US20150325435A1 - Pecvd deposition of smooth silicon films - Google Patents

Pecvd deposition of smooth silicon films Download PDF

Info

Publication number
US20150325435A1
US20150325435A1 US14/802,766 US201514802766A US2015325435A1 US 20150325435 A1 US20150325435 A1 US 20150325435A1 US 201514802766 A US201514802766 A US 201514802766A US 2015325435 A1 US2015325435 A1 US 2015325435A1
Authority
US
United States
Prior art keywords
silicon
smooth
less
film
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/802,766
Inventor
Alice G. Hollister
Sirish K. Reddy
Keith Fox
Mandyam Sriram
Joseph L. Womack
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US14/802,766 priority Critical patent/US20150325435A1/en
Publication of US20150325435A1 publication Critical patent/US20150325435A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L27/11556
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Definitions

  • the present invention pertains to the methods of depositing smooth silicon films having low compressive stress and to methods of depositing smooth tensile silicon films. Specifically, the invention is useful in semiconductor processing, particularly in the field of fabrication of three-dimensional (3D) memory devices and in deposition of hardmasks on semiconductor substrates.
  • Patterning film stacks for three-dimensional (3D) memory devices can be difficult.
  • Some conventional atomic layer deposition (ALD), chemical vapor deposition (CVD), high-density plasma chemical vapor deposition (HDP-CVD) and plasma-enhanced chemical vapor deposition (PECVD) processes for depositing film layers may produce unacceptably rough films, cause unacceptable interfacial mixing between film layers, and may lead to interfacial defects caused by vacuum breaks between successively deposited film layers.
  • the resulting rough film interfaces and interfacial defects may be magnified by subsequently deposited layers as the film stack is built, so that the top surface of the film stack may be unacceptably rough for downstream patterning processes.
  • interfacial defects within the film stack may lead to structural and/or electrical defects in the 3D memory device.
  • stress values of deposited films present an important consideration.
  • Smooth silicon and silicon germanium films with low compressive stress, and smooth tensile silicon and silicon germanium films are highly desirable for many applications employing stacks of layers of materials. Such films are particularly needed for 3D memory fabrication, where stacks containing more than 10, 20, or even 50 layers are deposited on a substrate, and are then patterned. In addition, such films are desirable for hardmask applications, where patterning calls for low-roughness and low compressive stress properties. Methods provided herein allow for deposition of smooth silicon and silicon germanium films by PECVD, where deposited films in addition to low roughness have low compressive stress or where the stress of deposited films is tensile.
  • smooth silicon or silicon germanium films suitable for use as hardmasks are characterized by surface roughness (Ra) of less than about 7 ⁇ , as measured by atomic force microscopy (AFM) and have a compressive stress of less than 500 MPa, such as less than about 300 MPa in absolute value (in other words, have stress value that is less negative than ⁇ 400 MPa or ⁇ 300 MPa).
  • silicon or silicon germanium films have surface roughness of less than about 7 ⁇ , and have a tensile stress (e.g., tensile stress of up to 200 MPa).
  • a method for forming a smooth silicon film on a substrate in a PECVD apparatus includes supplying a process gas to the PECVD apparatus, wherein the process gas comprises a silicon-containing precursor (e.g., silane), argon, and a second gas (e.g., helium, hydrogen or combinations thereof); and forming a plasma in the PECVD apparatus to deposit a smooth silicon film on the substrate, under conditions configured for depositing a silicon film characterized by roughness (Ra) of less than about 7 ⁇ , and a compressive stress of less than about 500 MPa in absolute value, more preferably less than about 300 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film (e.g., with a tensile stress of up to 200 MPa), characterized by Ra of less than about 7 ⁇ .
  • a silicon-containing precursor e.g., silane
  • argon argon
  • a second gas e.g., helium, hydrogen or combinations thereof
  • the deposited silicon films include amorphous and polycrystalline silicon films, and may be doped or undoped, e.g., with group III dopants, or group V dopants.
  • a source of dopant is added to the process gas.
  • a boron-containing reactant e.g., diborane
  • a phosphorus-containing reactant e.g., phosphine
  • an arsenic-containing reactant e.g., arsine
  • a method for forming a smooth silicon germanium film on a substrate in a PECVD apparatus includes supplying a process gas to the PECVD apparatus, wherein the process gas comprises a silicon-containing precursor (e.g., silane), a germanium-containing precursor (e.g., germane), argon, and a second gas (e.g., helium, hydrogen or combinations thereof); and forming a plasma in the PECVD apparatus to deposit a smooth silicon germanium film on the substrate, under conditions configured for depositing a silicon germanium film characterized by roughness (Ra) of less than about 7 ⁇ , and a compressive stress of less than about 500 MPa in absolute value, more preferably less than about 300 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon germanium film, characterized by Ra of less than about 7 ⁇ .
  • the process gas comprises a silicon-containing precursor (e.g., silane), a germanium-containing precursor (e.g., germane), argon,
  • the following parameters of the deposition process provide films with a combination of desirable stress and roughness properties.
  • the presence of argon in the process gas is significant for lowering compressive stress of the film.
  • a second gas such as helium, hydrogen, or combinations thereof is also included in the process gas.
  • concentration of a silicon-containing precursor in the process gas by volume should be relatively low. For example, in many embodiments, the flow rate of silane should not exceed 12% of the total process gas flow.
  • the flow rate of silane should be kept at below 5% of the total process gas flow, such as in a low range of between about 0.05-2%.
  • the flow of argon in some embodiments, is between about 15-85% of the total flow of the process gas.
  • the deposition is preferably performed using dual frequency plasma.
  • a low frequency radio frequency (LF RF) component in addition to the high frequency radio frequency (HF RF) component allows deposition of Si films with very low film roughness also having low compressive stress. This combination of properties cannot be easily achieved by conventional methods.
  • the LF power is between about 17-80% of total power supplied to generate dual frequency plasma.
  • Deposition of provided films can be performed at temperatures in the range of between about 300-650° C., more preferably at temperatures of between about 350-600° C. High temperatures of between about 500-600° C. are preferred in some embodiments.
  • the deposition pressures of between about 1-9 torr, such as 2-6 torr are found to be suitable.
  • the depositions of smooth silicon films with low compressive stress or smooth, tensile silicon films are performed using a process gas consisting essentially of silane, argon, helium and, optionally, hydrogen, using process conditions shown in Table 1.
  • the provided films are deposited for hardmask applications on a semiconductor substrate at a thickness of between about 500-10,000 ⁇ .
  • the smooth silicon or silicon germanium film is deposited as part of a memory device stack.
  • a stack may include, for example, alternating layers of smooth silicon, deposited using provided methods, and silicon oxide; alternating layers of smooth undoped silicon and doped silicon (of which one or both layers may be deposited using methods provided herein; or alternating layers of smooth silicon deposited using provided methods and silicon nitride layers.
  • stacks containing alternating layers of smooth undoped silicon and silicon nitride are provided.
  • smooth films provided herein doped or undoped silicon, or silicon germanium
  • the thickness of smooth films deposited in memory stacks is typically between about 200-800 ⁇ . Such films are deposited under conditions that provide films with surface roughness of less than about 5 ⁇ , such as less than about 4 ⁇ with a compressive stress of less than about 300 MPa in absolute value.
  • smooth silicon films are deposited, using both HF and LF plasma, wherein the process gas comprises between about 0.1-4.5% of silane and between about 1.5-82% of argon by volume, at a pressure of between about 1-9 torr, and a temperature of between about 350-650 degrees C.
  • the process gas further includes a second gas, e.g., helium, hydrogen, or combinations thereof.
  • Deposited smooth silicon films have compressive stress of less than about 500 MPa, such as less than about 300 MPa by absolute value or have a tensile stress.
  • the process gas comprises between about 0.05-1.5% of silane and between about 2-70% of argon by volume, and also includes a second gas (e.g., helium, hydrogen, or combinations thereof).
  • the deposition is performed using HF plasma at a pressure of between about 1-9 torr, and a temperature of between about 350-650 degrees C.
  • the methods provided herein can be integrated with patterning processes.
  • the methods can further include the steps of applying photoresist to the substrate; exposing the photoresist to light; patterning the resist and transferring the pattern to the substrate; and selectively removing the photoresist from the substrate.
  • an apparatus for depositing smooth silicon films or smooth silicon germanium films includes a PECVD process chamber having an inlet for introduction of a process gas; and a controller comprising program instructions for conducting any of the processes provided herein.
  • the controller may include program instructions for supplying a process gas to the PECVD chamber, wherein the process gas comprises a silicon-containing precursor, argon, and a second gas (e.g., helium, hydrogen or a mixture thereof); and forming a plasma in the apparatus to deposit a smooth silicon film on the substrate, under conditions configured for depositing a silicon film characterized by roughness (Ra) of less than about 7 ⁇ , and a compressive stress of less than about 500 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film, characterized by Ra of less than about 7 ⁇ .
  • Ra roughness
  • a system including such an apparatus, and a stepper is provided.
  • a non-transitory computer machine-readable medium comprising program instructions for control of a PECVD apparatus, wherein the program instructions include a code for conducting any of the processes described herein.
  • some embodiments provide a code for the process which includes steps of supplying a process gas wherein the process gas comprises a silicon-containing precursor, argon, and a second gas (e.g., helium, hydrogen, or combinations thereof); and forming a plasma in the PECVD apparatus to deposit a smooth silicon film on the substrate, under conditions configured for depositing a silicon film characterized by roughness (Ra) of less than about 7 ⁇ , and a compressive stress of less than about 500 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film, characterized by Ra of less than about 7 ⁇ .
  • Ra roughness
  • FIG. 1 is a process flow diagram illustrating a smooth silicon deposition method in accordance with an embodiment of the invention.
  • FIG. 2A is an experimental plot illustrating surface roughness and stress values of two series of deposited silicon films, where the first series was deposited using single-frequency PECVD in the absence of argon (diamonds), and the second series was deposited using dual frequency PECVD in the presence of argon (squares).
  • FIG. 2B is an experimental plot illustrating surface roughness and stress values of smooth silicon films deposited using dual frequency PECVD, in accordance with an embodiment provided herein.
  • FIG. 2C is an experimental plot illustrating dependence of surface roughness and stress values of deposited silicon films on LF RF power levels.
  • FIG. 3 is a process flow diagram illustrating a smooth silicon deposition method in accordance with an embodiment of the invention.
  • FIG. 4 is an experimental plot illustrating surface roughness and stress values of smooth silicon films deposited using HF RF-only PECVD, in accordance with an embodiment provided herein.
  • FIG. 5 shows a schematic depiction of a PECVD apparatus that is suitable for deposition of smooth silicon layers in accordance with embodiments provided herein.
  • FIG. 6 is a schematic cross-sectional view of a multi-layer stack which incorporates a layer of smooth silicon or smooth silicon germanium.
  • Smooth silicon and silicon germanium films are provided and methods of forming such films by PECVD are described.
  • Provided smooth films are also characterized by very low compressive stress or are characterized by tensile stress. This combination of roughness and stress properties is highly desirable in many applications, particularly in applications involving patterning of deposited layers, and is difficult to achieve using conventional PECVD techniques.
  • Smooth films refer to films having surface roughness of less than about 7 ⁇ . In many embodiments surface roughness of less than about 5 ⁇ , such as less than about 4 ⁇ , is achieved. Surface roughness refers to an R a value determined by atomic force microscopy (AFM). In the examples provided herein surface roughness is measured on a 1,000 ⁇ thick film deposited on 1,000 ⁇ of thermal oxide on a bare silicon substrate.
  • Provided smooth films have a compressive stress of less than about 500 MPa, such as less than about 400 MPa and less than about 300 MPa in absolute value (in other words, less negative stress values than ⁇ 500 MPa, ⁇ 400 MPa, or ⁇ 300 MPa). In some embodiments provided smooth films are characterized by tensile stress, e.g., tensile stress of up to about 200 MPa.
  • Smooth silicon films include undoped and doped silicon films, where the suitable dopants include but are not limited to boron, phosphorus, and arsenic.
  • the dopant is typically present at a concentration of less than about 30% atomic. Both amorphous and polycrystalline silicon are within the scope of the embodiments provided herein.
  • smooth silicon germanium films are provided.
  • Smooth films with low compressive stress and smooth films with tensile stress can be used in a variety of applications, particularly in semiconductor processing applications which require depositions of stacks of layers and/or in applications that require patterning.
  • the films are deposited on semiconductor substrates as hardmasks.
  • the films are deposited on semiconductor substrates as individual layers in stacks of layers used in 3D memory devices (e.g., NAND memories).
  • semiconductor substrate refers to substrates that include exposed or unexposed semiconductor materials (e.g., silcon wafers or chips).
  • Silicon and silicon germanium films that are characterized simultaneously by low roughness and low compressive stress are very difficult to obtain. This is due to the fact that in PECVD-deposited films roughness of deposited films tends to increase as the absolute value of compressive stress decreases. Therefore, PECVD films with Ra of less than about 7 ⁇ , and a compressive stress of less than about 300 MPa in absolute value, are particularly difficult to obtain. Methods for obtaining films with Ra less than about 7 ⁇ and a compressive stress of 300 MPa or lower in absolute value are provided herein. In some embodiments, methods for obtaining films with Ra of less than about 5 ⁇ and a compressive stress of 300 MPa and lower, are provided.
  • FIG. 1 A process flow diagram for depositing a silicon film with an Ra of less than about 7 ⁇ and a compressive stress of less than about 500 MPa (such as less than about 300 MPa) is shown in FIG. 1 .
  • the process starts in 101 , by providing the substrate to the PECVD process chamber.
  • a process gas comprising a silicon-containing precursor (e.g., silane or disilane), argon, and a second gas (e.g., helium, hydrogen, or both) is introduced into the process chamber in operation 103 .
  • a dual frequency plasma is formed to deposit a smooth silicon film with low compressive stress, or a smooth tensile silicon film.
  • the deposition temperature (referring to PECVD pedestal setting) can range from 300 to 650° C., more preferably from 350 to 650° C., and even more preferably from 500 to 600° C.
  • the deposition is typically performed at a pressure range of between about 1-9 torr, such as at between about 2-6 torr.
  • the composition of the process gas is of high importance.
  • the process gas includes a silicon-containing precursor, such as silane, preferably at a relatively low concentration of between about 0.05-12% of the total gas volume, such as of between about 0.05-5%, and, in some embodiments of between about 0.05-2% of the total process gas volume. Low concentration of silane significantly improves surface roughness of formed films.
  • the process gas further includes argon, which is found to be useful in reducing the compressive stress of formed films.
  • concentration of argon in some embodiments, ranges from between about 1% to 85% of the total gas volume, more preferably from between about 15-80% of the total gas volume.
  • the process gas further includes an additional gas, such as helium, hydrogen, or a combination of helium and hydrogen.
  • plasma is generated using dual frequency (HF RF and LF RF) generation.
  • the high frequency RF component is generally between about 2-60 MHz; in a preferred embodiment, the HF component is about 13.56 MHz.
  • the LF component frequency can range between about 100 kHz and 2 MHz.
  • a typical frequency range for LF plasma source is between about 50 kHz to 500 kHz, more preferably between about 370-430 kHz.
  • the power applied for plasma generation will depend on the size of the apparatus and on the number of processed substrates.
  • a suitable HF RF power level for processing four 300 mm wafers in one chamber is in the range of 100-4,000 watts (corresponding to a power density on the semiconductor substrate of 0.04 to 1.40 W/cm 2 ), more preferably in the range of 500-1500 watts (corresponding to a power density on the semiconductor substrate of 0.18 to 0.53 W/cm 2 ).
  • a suitable LF power for processing four 300 mm wafers in one chamber is in the range of 300-2,000 watts (corresponding to a power density on the semiconductor substrate of 0.11 to 0.71 W/cm 2 ), more preferably in the range of 300-1000 watts (corresponding to a power density on the semiconductor substrate of 0.11 to 0.35 W/cm 2 ).
  • LF power preferably should be between about 17-80% of total power.
  • FIG. 2A illustrates the effect of dual-frequency plasma having HF and LF components on roughness and stress characteristics of PECVD-deposited films.
  • the Ra values are shown on the X-axis, while stress values are shown on the Y-axis. Negative stress values correspond to compressive stress, while positive stress values correspond to tensile stress.
  • the plot shows roughness and stress values for two series of films.
  • the diamonds belong to the series with HF-only PECVD deposition which employs a process gas consisting of silane, argon, helium, and hydrogen, while squares belong to the series with dual frequency (HF and LF) PECVD deposition, where the process gas consists of silane, argon, helium, and hydrogen.
  • Exemplary process conditions for depositing smooth silicon films having Ra of less than about 7 ⁇ , and compressive stress of 300 MPa and less in absolute value, are provided in Table 1.
  • films are deposited using a process gas consisting essentially of silane, helium, argon and, optionally, hydrogen.
  • a smooth silicon film was deposited at a temperature of 530° C. and at a pressure of 5.1 Torr from a process gas consisting essentially of silane (0.19% of total process gas volume), argon (38% of total process gas volume), helium (57% of total process gas volume), and hydrogen (4% of total process gas volume) using dual frequency plasma (13.56 MHz and 400 kHz), where LF power was at 50% of total power.
  • the deposited smooth silicon film had Ra of 3.5 ⁇ and a stress of ⁇ 300 MPa.
  • a smooth silicon film was deposited at a temperature of 530° C. and at a pressure of 5.1 Torr from a process gas consisting essentially of silane (0.19% of total process gas volume), argon (38% of total process gas volume), helium (57% of total process gas volume), and hydrogen (4% of total process gas volume) using dual frequency plasma (13.56 MHz and 400 kHz), where LF power was at 75% of total power.
  • the deposited smooth silicon film had Ra of 4.2 ⁇ and a stress of ⁇ 280 MPa.
  • a smooth silicon film was deposited at a temperature of 350° C. and at a pressure of 3 Torr from a process gas consisting essentially of silane (0.13% of total process gas volume), argon (33% of total process gas volume), and helium (66% of total process gas volume), using dual frequency plasma (13.56 MHz and 400 kHz), where LF power was at 17% of total power.
  • the deposited smooth silicon film had Ra of 2.8 ⁇ and a stress of ⁇ 270 MPa.
  • Table 2 Another set of conditions, suitable for depositing smooth films having low compressive stress or smooth films having tensile stress is shown in Table 2.
  • the films have an Ra of less than about 5 ⁇ , and a compressive stress of less than 500 MPa in absolute value, including films having a compressive stress of less than 300 MPa in absolute value.
  • Deposition is performed using a process gas consisting essentially of silane, argon, helium, and hydrogen using dual frequency plasma.
  • the flow rates and power levels are listed for an apparatus accommodating four 300 mm wafers. It is understood that one of skill in the art would be able to scale these values to the apparatus of any size, if desired.
  • HF RF power density is preferably in the range of between about 0.04-1.41 W/cm2, while LF RF power density is preferably in the range of between about 0.11-0.71 W/cm2.
  • a smooth silicon film was deposited at a temperature of 550° C. from a process gas consisting essentially of silane (provided at 80 sccm, 0.5% of total process gas volume), argon (provided at 8,000 sccm, 55% of total process gas volume), helium (provided at 6,000 sccm), and hydrogen (provided at 500 sccm) using dual frequency plasma (13.56 MHz and 400 kHz), where HF power was 1,000 Watts, and LF power was 1,900 Watts for a chamber housing four 300 mm wafers.
  • the deposited smooth silicon film had Ra of 4.1 ⁇ and a stress of ⁇ 180 MPa.
  • a smooth silicon film was deposited at a temperature of 550° C. from a process gas consisting essentially of silane (provided at 80 sccm, 0.5% of total process gas volume), argon (provided at 8,000 sccm, 48% of total process gas volume), helium (provided at 8,000 sccm), and hydrogen (provided at 500 sccm) using dual frequency plasma (13.56 MHz and 400 kHz), where HF power was 1,000 Watts, and LF power was 600 Watts for a chamber housing four 300 mm wafers.
  • the deposited smooth silicon film had Ra of 2.7 ⁇ and a stress of ⁇ 399 MPa.
  • a smooth silicon film was deposited at a temperature of 550° C. from a process gas consisting essentially of silane (provided at 80 sccm, 0.5% of total process gas volume), argon (provided at 8,000 sccm, 48% of total process gas volume), helium (provided at 8,000 sccm), and hydrogen (provided at 500 sccm) using dual frequency plasma (13.56 MHz and 400 kHz), where HF power was 1,000 Watts, and LF power was 1300 Watts for a chamber housing four 300 mm wafers.
  • the deposited smooth silicon film had Ra of 3.1 ⁇ and a stress of ⁇ 250 MPa.
  • FIG. 2B illustrates properties of smooth silicon films deposited under the process conditions shown in Table 2.
  • Ra values are shown on the X-axis, and stress values are shown on the Y-axis. It can be seen that provided methods can be used to form films which simultaneously have Ra of less than 5 ⁇ , and compressive stress of less than 500 MPa in absolute value, including films that simultaneously have Ra of less than 5 ⁇ , and compressive stress of less than 300 MPa in absolute value. Films having Ra of less than about 3.5 ⁇ , and compressive stress of less than 300 MPa in absolute value, are also provided by these methods.
  • FIG. 2C is an experimental plot showing Ra and stress values of films deposited at different LF RF power levels. It can be seen that increasing LF RF power unexpectedly and dramatically improves stress values of the formed films, as shown by the series in triangles, while Ra values of less than about 5 ⁇ are maintained, as shown by the series in diamonds.
  • LF RF power during PECVD deposition is highly advantageous, in some embodiments it is possible to obtain smooth silicon films with low compressive stress, and smooth silicon films with tensile stress using single-frequency (HF-only) PECVD.
  • the composition of the process gas is of high importance, and, in particular, the concentration of silicon-containing precursor should be kept very low in order to provide acceptable roughness values.
  • the flow rate of silane is between about 0.05-1.5% of the total process gas flow.
  • a process flow diagram for depositing a smooth silicon film using HF-only PECVD deposition is provided in FIG. 3 .
  • the process starts in 301 by providing a substrate into a PECVD process chamber.
  • a process gas comprising 0.05-1.5% of silane, argon, and a second gas (e.g., helium and/or hydrogen) is introduced into the process chamber, as shown in 303 , and HF RF plasma is generated to deposit a smooth silicon film with low compressive stress, or a smooth silicon film with tensile stress, as shown in 305 .
  • a second gas e.g., helium and/or hydrogen
  • films with Ra of less than about 8 ⁇ and compressive stress values of less than about 500 MPa can be obtained by this method. Further, films with Ra of less than about 7 ⁇ (including films with Ra of less than about 5 ⁇ ) and compressive stress of less than about 300 MPa, can be obtained by this method.
  • Suitable process conditions for depositing smooth silicon films using single-frequency PECVD are provided in Table 3.
  • Deposition is performed using a process gas consisting essentially of silane, argon, helium, and, optionally, hydrogen using single frequency HF-only plasma.
  • the flow rates and power levels are listed for an apparatus accommodating four 300 mm wafers. It is understood that one of skill in the art would be able to scale these values to the apparatus of any size, if desired.
  • HF RF power density is preferably in the range of between about 0.04-1.41 W/cm 2 .
  • FIG. 4 illustrates properties of smooth silicon films deposited under the process conditions shown in Table 3. Ra values are shown on the X-axis, and stress values are shown on the Y-axis. It can be seen that provided methods can be used to form films which simultaneously have an Ra of less than 7 ⁇ , and compressive stress of less than 500 MPa in absolute value, including films that simultaneously have Ra of less than 5 ⁇ , and a compressive stress of less than 300 MPa in absolute value.
  • a smooth silicon film was deposited at a temperature of 550° C. and a pressure of 5.5 Torr from a process gas consisting essentially of silane (provided at 40 sccm, 0.4% of total process gas volume), argon (provided at 1,000 sccm, 11% of total process gas volume), and helium (provided at 8,000 sccm), using HF RF plasma (13.56 MHz), where HF power was 1,000 Watts, for a chamber housing four 300 mm wafers.
  • the deposited smooth silicon film had Ra of 4.9 ⁇ and a stress of ⁇ 129 MPa.
  • a smooth silicon film was deposited at a temperature of 550° C. and a pressure of 7.0 torr from a process gas consisting essentially of silane (provided at 40 sccm, 0.4% of total process gas volume), argon (provided at 1,000 sccm, 11% of total process gas volume), and helium (provided at 8,000 sccm), using HF RF plasma (13.56 MHz), where HF power was 1,000 Watts, for a chamber housing four 300 mm wafers.
  • the deposited smooth silicon film had Ra of 6.8 ⁇ and a tensile stress of 66 MPa.
  • Smooth silicon germanium films can be deposited using the same principles as in the methods illustrated in FIGS. 1 and 3 .
  • smooth silicon germanium films can be prepared using a process gas comprising a silicon-containing reactant (e.g., silane), a germanium-containing reactant (e.g., germane), argon, and a second gas (e.g., helium, hydrogen, or mixtures thereof) using dual frequency (HF RF and LF RF) PECVD, and in some embodiments, using HF-only PECVD.
  • a silicon-containing reactant e.g., silane
  • germanium-containing reactant e.g., germane
  • argon argon
  • a second gas e.g., helium, hydrogen, or mixtures thereof
  • the deposition of smooth silicon and silicon germanium films is implemented in a plasma enhanced chemical vapor deposition (PECVD) reactor.
  • PECVD plasma enhanced chemical vapor deposition
  • the apparatus will include one or more chambers or “reactors” (sometimes including multiple stations) that house one or more wafers and are suitable for wafer processing.
  • Each chamber may house one or more wafers for processing.
  • the one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g. rotation, vibration, or other agitation).
  • each wafer is held in place by a pedestal, wafer chuck and/or other wafer holding apparatus.
  • the apparatus may include a heater such as a heating plate.
  • PECVD apparatuses can be used to practice provided methods. Examples of suitable apparatuses for practicing embodiments of the invention include a VectorTM (e.g., C23 Vector) or SequelTM (e.g., C2 Sequel) reactor, produced by Novellus Systems of San Jose, Calif., and apparatuses described in the.
  • VectorTM e.g., C23 Vector
  • SequelTM e.g., C2 Sequel
  • FIG. 5 provides a simple block diagram depicting various reactor components arranged for implementing the present invention.
  • a reactor 500 includes a process chamber 524 , which encloses other components of the reactor and serves to contain the plasma generated by a capacitor type system including a showerhead 514 working in conjunction with a grounded heater block 520 .
  • a high-frequency RF generator 504 connected to a matching network 506 , and, optionally, a low-frequency RF generator 502 are connected to showerhead 514 .
  • the power and frequency supplied by matching network 506 is sufficient to generate a plasma from the process gas.
  • the high frequency RF component is generally between about 2-60 MHz; in a preferred embodiment, the HF component is about 13.56 MHz.
  • the LF component frequency (when used) can range between about 100 kHz and 2 MHz.
  • a typical frequency range for LF plasma source is between about 50 kHz to 500 kHz, more preferably, between about 370-430 kHz (e.g., 400 kHz).
  • a wafer pedestal 518 supports a substrate 516 .
  • the pedestal typically includes a chuck, a fork, or lift pins to hold and transfer the substrate during and between the deposition.
  • the chuck may be an electrostatic chuck, a mechanical chuck or various other types of chuck as are available for use in the industry and/or research.
  • the process gases are introduced via inlet 512 .
  • Multiple source gas lines 510 are connected to manifold 508 .
  • the gases may be premixed or not.
  • Appropriate valving and mass flow control mechanisms are employed to ensure that the correct gases are delivered during the deposition and plasma treatment phases of the process.
  • liquid flow control mechanisms are employed. The liquid is then vaporized and mixed with other process gases during its transportation in a manifold heated above its vaporization point before reaching the deposition chamber.
  • a vacuum pump 526 e.g., a one or two stage mechanical dry pump and/or a turbomolecular pump typically draws process gases out and maintains a suitably low pressure within the reactor by a close loop controlled flow restriction device, such as a throttle valve or a pendulum valve.
  • the deposition of smooth silicon and silicon germanium films may be implemented on a multi-station or single station tool.
  • the 300 mm Novellus VectorTM tool having a 4-station deposition scheme or the 200 mm SequelTM tool having a 6-station deposition scheme are used. It is possible to index the wafers after every deposition until all the required depositions are completed, or multiple depositions can be conducted at a single station before indexing the wafer.
  • a system controller (not shown) is associated with the apparatus and is employed to control process conditions during deposition of the films, insert and remove wafers, etc.
  • the controller will typically include one or more memory devices and one or more processors.
  • the processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • the controller controls all of the activities of the deposition apparatus.
  • the system controller executes system control software including sets of program instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer chuck or susceptor position, and other parameters of a particular process.
  • system control software including sets of program instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer chuck or susceptor position, and other parameters of a particular process.
  • instructions specifying flow rates of silicon-containing precursor, argon, and helium for silicon or silicon germanium film deposition may be included.
  • instructions may comprise instructions for process conditions for any of the processes described herein.
  • the controller may comprise different or identical instructions for different apparatus stations, thus allowing the apparatus stations to operate either independently or synchronously.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • the computer program code for controlling the deposition processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • the controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, etc. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller.
  • the signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.
  • a substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target.
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber.
  • a pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate.
  • a plasma control program may include code for setting RF power levels applied to the process electrodes at the target and the wafer chuck.
  • provided smooth silicon or smooth silicon germanium films are incorporated into stacks of multiple layers, such as stacks used during fabrication of 3D memory.
  • the low roughness of provided films is particularly advantageous for these applications, because large stacks having low roughness can be obtained.
  • smooth stacks containing at least about 10 layers, e.g., at least about 50 layers, containing at least about 30% of layers of smooth silicon or smooth silicon germanium provided herein can be prepared.
  • the measured surface roughness of the formed stacks in their entirety is less than about 10 ⁇ , such as less than about 5 ⁇ .
  • Low roughness of stacks is a particularly advantageous property for lithographic patterning, which is typically performed after the stacks have been deposited. More generally, these films can be used in a variety of applications, not limited to fabrication of 3D memory, e.g., these films can be used as hardmasks.
  • FIG. 6 is a schematic cross-sectional depiction of a stack of films in accordance with embodiments provided herein.
  • the stack 600 is deposited on a substrate 601 and contains a plurality of alternating layers 603 and 605 , at least some of which are layers of smooth silicon or smooth silicon germanium deposited according to the methods provided herein.
  • one of the types of layers e.g., 603
  • the other type of layers e.g., 605
  • is a layer of doped silicon e.g., boron-doped polysilicon
  • one of the types of layers is smooth silicon germanium, and the other type of layers (e.g., 605 ) is a layer of doped polysilicon (e.g., boron-doped polysilicon), undoped silicon, silicon oxide or silicon nitride.
  • doped polysilicon e.g., boron-doped polysilicon
  • undoped silicon silicon oxide or silicon nitride.
  • one of the types of layers (e.g., 603 ) is smooth doped polysilicon (e.g., boron-doped polysilicon)
  • the other type of layers e.g., 605
  • all or most of the layers of the stack are low-roughness layers having roughness of less than about 4 ⁇ .
  • Methods for depositing ultra-smooth silicon nitride and silicon oxide films are described in the U.S. application Ser. No. 12/970,853 filed Dec. 16, 2010, titled “SMOOTH SILICON-CONTAINING FILMS” naming Fox et al. as inventors, previously incorporated by reference in its entirety.
  • some of the layers of the stack may be deposited using conventional methods, and the stack as a whole would still have acceptable surface roughness, such as less than about 4 ⁇ .
  • the stacks contain between about 10-100 layers, where the layers alternate, e.g., smooth undoped polysilicon layer or a smooth silicon germanium layer alternates with a doped polysilicon layer, or smooth doped polysilicon layer alternates with a silicon nitride layer or a silicon oxide layer.
  • the layers need not be of the same thickness, as some layers in the stack can be thicker than others, although the stacks may contain a plurality of alternating layers having substantially the same thickness. In some embodiments, alternating layers have a thickness in the range of between about 200-800 ⁇ .
  • deposition of alternating layers in the stack is performed in one PECVD process chamber without a vacuum break.
  • deposition of alternating layers is performed at one station of a multi-station PECVD process chamber. The following are examples of several process sequences that can be employed (with or without a vacuum break between deposition of layers).
  • a layer of smooth doped silicon e.g., boron doped polysilicon
  • a layer of silicon oxide on a substrate deposit a second layer of silicon oxide onto a layer of smooth doped silicon.
  • a layer of smooth doped silicon e.g., boron doped silicon
  • a layer of silicon germanium on a substrate deposit a second layer of silicon germanium onto a layer of smooth doped silicon, where smooth doped silicon and/or silicon germanium are deposited using methods provided herein.
  • stacks containing layers of more than two types of materials are deposited.
  • forming the stacks includes depositing a layer of silicon oxide, depositing a layer of smooth undoped silicon, and depositing a layer of smooth doped silicon, where doped and/or undoped silicon is deposited using provided methods.
  • photolithographic patterning involves applying photoresist to the substrate; exposing the photoresist to light; patterning the resist and transferring the pattern to the substrate and selectively removing the photoresist from the substrate.
  • lithographic patterning tools or processes for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like.
  • such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a system including a PECVD apparatus having a controller with program instructions for performing provided deposition methods, and a stepper is used to implement deposition and patterning.
  • smooth silicon and silicon germanium films serve as hardmasks.
  • Hardmasks are typically deposited onto a layer of material (such as a layer of dielectric) residing on a semiconductor substrate to a thickness of between about 500-10,000 ⁇ .
  • a layer of photoresist and optionally another hardmask layer such as ashable carbon film is then typically deposited onto the smooth hardmask, and is lithographically patterned as described above, such that the desired pattern is transferred to the underlying hardmask layer.

Abstract

Smooth silicon films having low compressive stress and smooth tensile silicon films are deposited by plasma enhanced chemical vapor deposition (PECVD) using a process gas comprising a silicon-containing precursor (e.g., silane), argon, and a second gas, such as helium, hydrogen, or a combination of helium and hydrogen. Doped smooth silicon films and smooth silicon germanium films can be obtained by adding a source of dopant or a germanium-containing precursor to the process gas. In some embodiments dual frequency plasma comprising high frequency (HF) and low frequency (LF) components is used during deposition, resulting in improved film roughness. The films are characterized by roughness (Ra) of less than about 7 Å, such as less than about 5 Å as measured by atomic force microscopy (AFM), and a compressive stress of less than about 500 MPa in absolute value. In some embodiments smooth tensile silicon films are obtained.

Description

    CROSS REFERENCE TO RELATED PATENT APPLICATION
  • This application is a continuation claiming priority to U.S. patent application Ser. No. 13/478,999 filed May 23, 2012, titled “PECVD Deposition of Smooth Silicon Films” naming Hollister et al. as inventors, which is herein incorporated by reference in its entirety and for all purposes.
  • FIELD OF THE INVENTION
  • The present invention pertains to the methods of depositing smooth silicon films having low compressive stress and to methods of depositing smooth tensile silicon films. Specifically, the invention is useful in semiconductor processing, particularly in the field of fabrication of three-dimensional (3D) memory devices and in deposition of hardmasks on semiconductor substrates.
  • BACKGROUND OF THE INVENTION
  • Patterning film stacks for three-dimensional (3D) memory devices can be difficult. Some conventional atomic layer deposition (ALD), chemical vapor deposition (CVD), high-density plasma chemical vapor deposition (HDP-CVD) and plasma-enhanced chemical vapor deposition (PECVD) processes for depositing film layers may produce unacceptably rough films, cause unacceptable interfacial mixing between film layers, and may lead to interfacial defects caused by vacuum breaks between successively deposited film layers. The resulting rough film interfaces and interfacial defects may be magnified by subsequently deposited layers as the film stack is built, so that the top surface of the film stack may be unacceptably rough for downstream patterning processes. Further, interfacial defects within the film stack may lead to structural and/or electrical defects in the 3D memory device. In addition to roughness, stress values of deposited films present an important consideration.
  • SUMMARY OF THE INVENTION
  • Smooth silicon and silicon germanium films with low compressive stress, and smooth tensile silicon and silicon germanium films are highly desirable for many applications employing stacks of layers of materials. Such films are particularly needed for 3D memory fabrication, where stacks containing more than 10, 20, or even 50 layers are deposited on a substrate, and are then patterned. In addition, such films are desirable for hardmask applications, where patterning calls for low-roughness and low compressive stress properties. Methods provided herein allow for deposition of smooth silicon and silicon germanium films by PECVD, where deposited films in addition to low roughness have low compressive stress or where the stress of deposited films is tensile.
  • In some embodiments, smooth silicon or silicon germanium films, suitable for use as hardmasks are characterized by surface roughness (Ra) of less than about 7 Å, as measured by atomic force microscopy (AFM) and have a compressive stress of less than 500 MPa, such as less than about 300 MPa in absolute value (in other words, have stress value that is less negative than −400 MPa or −300 MPa). In other embodiments, silicon or silicon germanium films have surface roughness of less than about 7 Å, and have a tensile stress (e.g., tensile stress of up to 200 MPa). Several sets of PECVD conditions for depositing such films, was developed.
  • In one aspect, a method for forming a smooth silicon film on a substrate in a PECVD apparatus includes supplying a process gas to the PECVD apparatus, wherein the process gas comprises a silicon-containing precursor (e.g., silane), argon, and a second gas (e.g., helium, hydrogen or combinations thereof); and forming a plasma in the PECVD apparatus to deposit a smooth silicon film on the substrate, under conditions configured for depositing a silicon film characterized by roughness (Ra) of less than about 7 Å, and a compressive stress of less than about 500 MPa in absolute value, more preferably less than about 300 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film (e.g., with a tensile stress of up to 200 MPa), characterized by Ra of less than about 7 Å.
  • The deposited silicon films include amorphous and polycrystalline silicon films, and may be doped or undoped, e.g., with group III dopants, or group V dopants. When doped silicon films are deposited, a source of dopant is added to the process gas. For example, a boron-containing reactant (e.g., diborane) is added to deposit boron-doped silicon films, a phosphorus-containing reactant (e.g., phosphine) is added to deposit phosphorus-doped silicon films, and an arsenic-containing reactant (e.g., arsine) is added to deposit arsenic-doped silicon films. Provided methods are also useful for depositing smooth silicon germanium films with low compressive stress or silicon germanium films with tensile stress. A method for forming a smooth silicon germanium film on a substrate in a PECVD apparatus includes supplying a process gas to the PECVD apparatus, wherein the process gas comprises a silicon-containing precursor (e.g., silane), a germanium-containing precursor (e.g., germane), argon, and a second gas (e.g., helium, hydrogen or combinations thereof); and forming a plasma in the PECVD apparatus to deposit a smooth silicon germanium film on the substrate, under conditions configured for depositing a silicon germanium film characterized by roughness (Ra) of less than about 7 Å, and a compressive stress of less than about 500 MPa in absolute value, more preferably less than about 300 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon germanium film, characterized by Ra of less than about 7 Å.
  • The following parameters of the deposition process, either taken alone or, more preferably, in combination provide films with a combination of desirable stress and roughness properties. The presence of argon in the process gas is significant for lowering compressive stress of the film. Preferably, in addition to argon, a second gas (such as helium, hydrogen, or combinations thereof) is also included in the process gas. The concentration of a silicon-containing precursor in the process gas by volume (equivalent to the ratio of the flow rate of silicon-containing precursor relative to the total flow rate of the process gas) should be relatively low. For example, in many embodiments, the flow rate of silane should not exceed 12% of the total process gas flow. In many embodiments, the flow rate of silane should be kept at below 5% of the total process gas flow, such as in a low range of between about 0.05-2%. The flow of argon, in some embodiments, is between about 15-85% of the total flow of the process gas.
  • In some embodiments, the deposition is preferably performed using dual frequency plasma. The presence of a low frequency radio frequency (LF RF) component in addition to the high frequency radio frequency (HF RF) component allows deposition of Si films with very low film roughness also having low compressive stress. This combination of properties cannot be easily achieved by conventional methods. In some embodiments the LF power is between about 17-80% of total power supplied to generate dual frequency plasma.
  • Deposition of provided films can be performed at temperatures in the range of between about 300-650° C., more preferably at temperatures of between about 350-600° C. High temperatures of between about 500-600° C. are preferred in some embodiments. The deposition pressures of between about 1-9 torr, such as 2-6 torr are found to be suitable.
  • In one embodiment, the depositions of smooth silicon films with low compressive stress or smooth, tensile silicon films are performed using a process gas consisting essentially of silane, argon, helium and, optionally, hydrogen, using process conditions shown in Table 1.
  • TABLE 1
    Temperature 300-550° C.
    Pressure 2-6 Torr
    Silane % of total flow 0.05-12%
    Argon % of total flow 16-84%
    Helium % of total flow 25-83%
    LF % of total power 17-80%
  • In some embodiments, the provided films are deposited for hardmask applications on a semiconductor substrate at a thickness of between about 500-10,000 Å.
  • In some embodiments the smooth silicon or silicon germanium film is deposited as part of a memory device stack. Such a stack may include, for example, alternating layers of smooth silicon, deposited using provided methods, and silicon oxide; alternating layers of smooth undoped silicon and doped silicon (of which one or both layers may be deposited using methods provided herein; or alternating layers of smooth silicon deposited using provided methods and silicon nitride layers. Specifically, in some embodiments stacks containing alternating layers of smooth undoped silicon and silicon nitride are provided. In general, smooth films provided herein (doped or undoped silicon, or silicon germanium) can be used in stacks of alternating layers with layers of any suitable material. The thickness of smooth films deposited in memory stacks is typically between about 200-800 Å. Such films are deposited under conditions that provide films with surface roughness of less than about 5 Å, such as less than about 4 Å with a compressive stress of less than about 300 MPa in absolute value.
  • In one embodiment, smooth silicon films are deposited, using both HF and LF plasma, wherein the process gas comprises between about 0.1-4.5% of silane and between about 1.5-82% of argon by volume, at a pressure of between about 1-9 torr, and a temperature of between about 350-650 degrees C. The process gas further includes a second gas, e.g., helium, hydrogen, or combinations thereof. Deposited smooth silicon films have compressive stress of less than about 500 MPa, such as less than about 300 MPa by absolute value or have a tensile stress.
  • In some embodiments it is possible to obtain smooth silicon films with low compressive stress or smooth silicon films having tensile stress, using a single frequency (HF RF—only) deposition process. The following conditions for depositing a film having an Ra of less than about 5 Å, are used: the process gas comprises between about 0.05-1.5% of silane and between about 2-70% of argon by volume, and also includes a second gas (e.g., helium, hydrogen, or combinations thereof). The deposition is performed using HF plasma at a pressure of between about 1-9 torr, and a temperature of between about 350-650 degrees C.
  • The methods provided herein can be integrated with patterning processes. Thus, the methods can further include the steps of applying photoresist to the substrate; exposing the photoresist to light; patterning the resist and transferring the pattern to the substrate; and selectively removing the photoresist from the substrate.
  • In another aspect, an apparatus for depositing smooth silicon films or smooth silicon germanium films is provided. The apparatus includes a PECVD process chamber having an inlet for introduction of a process gas; and a controller comprising program instructions for conducting any of the processes provided herein. For example the controller may include program instructions for supplying a process gas to the PECVD chamber, wherein the process gas comprises a silicon-containing precursor, argon, and a second gas (e.g., helium, hydrogen or a mixture thereof); and forming a plasma in the apparatus to deposit a smooth silicon film on the substrate, under conditions configured for depositing a silicon film characterized by roughness (Ra) of less than about 7 Å, and a compressive stress of less than about 500 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film, characterized by Ra of less than about 7 Å.
  • In another aspect, a system including such an apparatus, and a stepper is provided.
  • In another aspect, a non-transitory computer machine-readable medium comprising program instructions for control of a PECVD apparatus, is provided, wherein the program instructions include a code for conducting any of the processes described herein. For example, some embodiments provide a code for the process which includes steps of supplying a process gas wherein the process gas comprises a silicon-containing precursor, argon, and a second gas (e.g., helium, hydrogen, or combinations thereof); and forming a plasma in the PECVD apparatus to deposit a smooth silicon film on the substrate, under conditions configured for depositing a silicon film characterized by roughness (Ra) of less than about 7 Å, and a compressive stress of less than about 500 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film, characterized by Ra of less than about 7 Å.
  • These and other features and advantages of the present invention will be described in more detail below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a process flow diagram illustrating a smooth silicon deposition method in accordance with an embodiment of the invention.
  • FIG. 2A is an experimental plot illustrating surface roughness and stress values of two series of deposited silicon films, where the first series was deposited using single-frequency PECVD in the absence of argon (diamonds), and the second series was deposited using dual frequency PECVD in the presence of argon (squares).
  • FIG. 2B is an experimental plot illustrating surface roughness and stress values of smooth silicon films deposited using dual frequency PECVD, in accordance with an embodiment provided herein.
  • FIG. 2C is an experimental plot illustrating dependence of surface roughness and stress values of deposited silicon films on LF RF power levels.
  • FIG. 3 is a process flow diagram illustrating a smooth silicon deposition method in accordance with an embodiment of the invention.
  • FIG. 4 is an experimental plot illustrating surface roughness and stress values of smooth silicon films deposited using HF RF-only PECVD, in accordance with an embodiment provided herein.
  • FIG. 5 shows a schematic depiction of a PECVD apparatus that is suitable for deposition of smooth silicon layers in accordance with embodiments provided herein.
  • FIG. 6 is a schematic cross-sectional view of a multi-layer stack which incorporates a layer of smooth silicon or smooth silicon germanium.
  • DETAILED DESCRIPTION
  • Smooth silicon and silicon germanium films are provided and methods of forming such films by PECVD are described. Provided smooth films are also characterized by very low compressive stress or are characterized by tensile stress. This combination of roughness and stress properties is highly desirable in many applications, particularly in applications involving patterning of deposited layers, and is difficult to achieve using conventional PECVD techniques.
  • Smooth films, as used in this description, refer to films having surface roughness of less than about 7 Å. In many embodiments surface roughness of less than about 5 Å, such as less than about 4 Å, is achieved. Surface roughness refers to an Ra value determined by atomic force microscopy (AFM). In the examples provided herein surface roughness is measured on a 1,000 Å thick film deposited on 1,000 Å of thermal oxide on a bare silicon substrate. Provided smooth films have a compressive stress of less than about 500 MPa, such as less than about 400 MPa and less than about 300 MPa in absolute value (in other words, less negative stress values than −500 MPa, −400 MPa, or −300 MPa). In some embodiments provided smooth films are characterized by tensile stress, e.g., tensile stress of up to about 200 MPa.
  • Smooth silicon films include undoped and doped silicon films, where the suitable dopants include but are not limited to boron, phosphorus, and arsenic. The dopant is typically present at a concentration of less than about 30% atomic. Both amorphous and polycrystalline silicon are within the scope of the embodiments provided herein. In some embodiments, smooth silicon germanium films, are provided.
  • Smooth films with low compressive stress and smooth films with tensile stress provided herein can be used in a variety of applications, particularly in semiconductor processing applications which require depositions of stacks of layers and/or in applications that require patterning. In some embodiments, the films are deposited on semiconductor substrates as hardmasks. In other embodiments, the films are deposited on semiconductor substrates as individual layers in stacks of layers used in 3D memory devices (e.g., NAND memories). The term “semiconductor substrate” as used herein refers to substrates that include exposed or unexposed semiconductor materials (e.g., silcon wafers or chips).
  • Silicon and silicon germanium films that are characterized simultaneously by low roughness and low compressive stress are very difficult to obtain. This is due to the fact that in PECVD-deposited films roughness of deposited films tends to increase as the absolute value of compressive stress decreases. Therefore, PECVD films with Ra of less than about 7 Å, and a compressive stress of less than about 300 MPa in absolute value, are particularly difficult to obtain. Methods for obtaining films with Ra less than about 7 Å and a compressive stress of 300 MPa or lower in absolute value are provided herein. In some embodiments, methods for obtaining films with Ra of less than about 5 Å and a compressive stress of 300 MPa and lower, are provided.
  • It was unexpectedly discovered that addition of argon in the PECVD process gas, when combined with dual frequency (HF and LF) plasma generation, leads to formation of smooth silicon films with very low compressive stress.
  • A process flow diagram for depositing a silicon film with an Ra of less than about 7 Å and a compressive stress of less than about 500 MPa (such as less than about 300 MPa) is shown in FIG. 1. The process starts in 101, by providing the substrate to the PECVD process chamber. A process gas comprising a silicon-containing precursor (e.g., silane or disilane), argon, and a second gas (e.g., helium, hydrogen, or both) is introduced into the process chamber in operation 103. In operation 105, a dual frequency plasma is formed to deposit a smooth silicon film with low compressive stress, or a smooth tensile silicon film.
  • The deposition temperature (referring to PECVD pedestal setting) can range from 300 to 650° C., more preferably from 350 to 650° C., and even more preferably from 500 to 600° C. The deposition is typically performed at a pressure range of between about 1-9 torr, such as at between about 2-6 torr. The composition of the process gas is of high importance. The process gas includes a silicon-containing precursor, such as silane, preferably at a relatively low concentration of between about 0.05-12% of the total gas volume, such as of between about 0.05-5%, and, in some embodiments of between about 0.05-2% of the total process gas volume. Low concentration of silane significantly improves surface roughness of formed films. The process gas further includes argon, which is found to be useful in reducing the compressive stress of formed films. The concentration of argon, in some embodiments, ranges from between about 1% to 85% of the total gas volume, more preferably from between about 15-80% of the total gas volume. Significantly, the process gas further includes an additional gas, such as helium, hydrogen, or a combination of helium and hydrogen. In the embodiment illustrated in FIG. 1, plasma is generated using dual frequency (HF RF and LF RF) generation. In a typical process, the high frequency RF component is generally between about 2-60 MHz; in a preferred embodiment, the HF component is about 13.56 MHz. The LF component frequency can range between about 100 kHz and 2 MHz. A typical frequency range for LF plasma source is between about 50 kHz to 500 kHz, more preferably between about 370-430 kHz. The power applied for plasma generation will depend on the size of the apparatus and on the number of processed substrates. A suitable HF RF power level for processing four 300 mm wafers in one chamber is in the range of 100-4,000 watts (corresponding to a power density on the semiconductor substrate of 0.04 to 1.40 W/cm2), more preferably in the range of 500-1500 watts (corresponding to a power density on the semiconductor substrate of 0.18 to 0.53 W/cm2). A suitable LF power for processing four 300 mm wafers in one chamber is in the range of 300-2,000 watts (corresponding to a power density on the semiconductor substrate of 0.11 to 0.71 W/cm2), more preferably in the range of 300-1000 watts (corresponding to a power density on the semiconductor substrate of 0.11 to 0.35 W/cm2). In some embodiments, LF power preferably should be between about 17-80% of total power.
  • FIG. 2A illustrates the effect of dual-frequency plasma having HF and LF components on roughness and stress characteristics of PECVD-deposited films. The Ra values are shown on the X-axis, while stress values are shown on the Y-axis. Negative stress values correspond to compressive stress, while positive stress values correspond to tensile stress. The plot shows roughness and stress values for two series of films. The diamonds belong to the series with HF-only PECVD deposition which employs a process gas consisting of silane, argon, helium, and hydrogen, while squares belong to the series with dual frequency (HF and LF) PECVD deposition, where the process gas consists of silane, argon, helium, and hydrogen. While in both cases roughness negatively correlates with compressive stress (films with lower roughness have more compressive stress), it can be seen that addition of LF component shifts the film properties to a more desirable space, characterized generally by lower roughness and lower compressive stress. Thus, for example, under dual-frequency conditions silicon films with Ra of less than about 5 Å and compressive stress of less than about 300 MPa in absolute value (less negative than −300 MPa), can be obtained.
  • Several examples of suitable conditions for depositing smooth films with low compressive stress, and smooth films with tensile stress will now be illustrated.
  • Exemplary process conditions for depositing smooth silicon films having Ra of less than about 7 Å, and compressive stress of 300 MPa and less in absolute value, are provided in Table 1. In this example, films are deposited using a process gas consisting essentially of silane, helium, argon and, optionally, hydrogen.
  • TABLE 1
    Temperature 300-550° C.
    Pressure 2-6 Torr
    Silane % of total flow 0.05-12%
    Argon % of total flow 16-84%
    Helium % of total flow 25-83%
    LF % of total power 17-80%
  • Example 1
  • In one experimentally validated example, a smooth silicon film was deposited at a temperature of 530° C. and at a pressure of 5.1 Torr from a process gas consisting essentially of silane (0.19% of total process gas volume), argon (38% of total process gas volume), helium (57% of total process gas volume), and hydrogen (4% of total process gas volume) using dual frequency plasma (13.56 MHz and 400 kHz), where LF power was at 50% of total power. The deposited smooth silicon film had Ra of 3.5 Å and a stress of −300 MPa.
  • Example 2
  • In another experimental example, a smooth silicon film was deposited at a temperature of 530° C. and at a pressure of 5.1 Torr from a process gas consisting essentially of silane (0.19% of total process gas volume), argon (38% of total process gas volume), helium (57% of total process gas volume), and hydrogen (4% of total process gas volume) using dual frequency plasma (13.56 MHz and 400 kHz), where LF power was at 75% of total power. The deposited smooth silicon film had Ra of 4.2 Å and a stress of −280 MPa.
  • Example 3
  • In another experimental example, a smooth silicon film was deposited at a temperature of 350° C. and at a pressure of 3 Torr from a process gas consisting essentially of silane (0.13% of total process gas volume), argon (33% of total process gas volume), and helium (66% of total process gas volume), using dual frequency plasma (13.56 MHz and 400 kHz), where LF power was at 17% of total power. The deposited smooth silicon film had Ra of 2.8 Å and a stress of −270 MPa.
  • Another set of conditions, suitable for depositing smooth films having low compressive stress or smooth films having tensile stress is shown in Table 2. The films have an Ra of less than about 5 Å, and a compressive stress of less than 500 MPa in absolute value, including films having a compressive stress of less than 300 MPa in absolute value. Deposition is performed using a process gas consisting essentially of silane, argon, helium, and hydrogen using dual frequency plasma. The flow rates and power levels are listed for an apparatus accommodating four 300 mm wafers. It is understood that one of skill in the art would be able to scale these values to the apparatus of any size, if desired.
  • TABLE 2
    Temperature 350-650° C.
    Pressure 1-9 Torr
    Silane, % of total flow 0.1-4.4%
    Argon, % of total flow  1.6-82%
    Silane flow 40-120 sccm
    Helium flow 2000-20,000 sccm
    Hydrogen flow 200-2,000 sccm
    Argon flow 500-10,000 sccm
    Total flow 2,740-32,120 sccm
    HF power 100-4,000 watts
    LF power 300-2,000 watts
  • In this embodiment, HF RF power density is preferably in the range of between about 0.04-1.41 W/cm2, while LF RF power density is preferably in the range of between about 0.11-0.71 W/cm2.
  • Example 4
  • In one experimentally validated example, a smooth silicon film was deposited at a temperature of 550° C. from a process gas consisting essentially of silane (provided at 80 sccm, 0.5% of total process gas volume), argon (provided at 8,000 sccm, 55% of total process gas volume), helium (provided at 6,000 sccm), and hydrogen (provided at 500 sccm) using dual frequency plasma (13.56 MHz and 400 kHz), where HF power was 1,000 Watts, and LF power was 1,900 Watts for a chamber housing four 300 mm wafers. The deposited smooth silicon film had Ra of 4.1 Å and a stress of −180 MPa.
  • Example 5
  • In another experimental example, a smooth silicon film was deposited at a temperature of 550° C. from a process gas consisting essentially of silane (provided at 80 sccm, 0.5% of total process gas volume), argon (provided at 8,000 sccm, 48% of total process gas volume), helium (provided at 8,000 sccm), and hydrogen (provided at 500 sccm) using dual frequency plasma (13.56 MHz and 400 kHz), where HF power was 1,000 Watts, and LF power was 600 Watts for a chamber housing four 300 mm wafers. The deposited smooth silicon film had Ra of 2.7 Å and a stress of −399 MPa.
  • Example 6
  • In another experimental example, a smooth silicon film was deposited at a temperature of 550° C. from a process gas consisting essentially of silane (provided at 80 sccm, 0.5% of total process gas volume), argon (provided at 8,000 sccm, 48% of total process gas volume), helium (provided at 8,000 sccm), and hydrogen (provided at 500 sccm) using dual frequency plasma (13.56 MHz and 400 kHz), where HF power was 1,000 Watts, and LF power was 1300 Watts for a chamber housing four 300 mm wafers. The deposited smooth silicon film had Ra of 3.1 Å and a stress of −250 MPa.
  • FIG. 2B illustrates properties of smooth silicon films deposited under the process conditions shown in Table 2. Ra values are shown on the X-axis, and stress values are shown on the Y-axis. It can be seen that provided methods can be used to form films which simultaneously have Ra of less than 5 Å, and compressive stress of less than 500 MPa in absolute value, including films that simultaneously have Ra of less than 5 Å, and compressive stress of less than 300 MPa in absolute value. Films having Ra of less than about 3.5 Å, and compressive stress of less than 300 MPa in absolute value, are also provided by these methods.
  • The effect of LF power on stress values and roughness values of deposited films is illustrated in FIG. 2C, which is an experimental plot showing Ra and stress values of films deposited at different LF RF power levels. It can be seen that increasing LF RF power unexpectedly and dramatically improves stress values of the formed films, as shown by the series in triangles, while Ra values of less than about 5 Å are maintained, as shown by the series in diamonds.
  • While the use of LF RF power during PECVD deposition is highly advantageous, in some embodiments it is possible to obtain smooth silicon films with low compressive stress, and smooth silicon films with tensile stress using single-frequency (HF-only) PECVD. During such deposition the composition of the process gas is of high importance, and, in particular, the concentration of silicon-containing precursor should be kept very low in order to provide acceptable roughness values. In some embodiments during single frequency deposition it is preferable that the flow rate of silane is between about 0.05-1.5% of the total process gas flow.
  • A process flow diagram for depositing a smooth silicon film using HF-only PECVD deposition is provided in FIG. 3. The process starts in 301 by providing a substrate into a PECVD process chamber. A process gas comprising 0.05-1.5% of silane, argon, and a second gas (e.g., helium and/or hydrogen) is introduced into the process chamber, as shown in 303, and HF RF plasma is generated to deposit a smooth silicon film with low compressive stress, or a smooth silicon film with tensile stress, as shown in 305.
  • Films with Ra of less than about 8 Å and compressive stress values of less than about 500 MPa (or films with tensile stress) can be obtained by this method. Further, films with Ra of less than about 7 Å (including films with Ra of less than about 5 Å) and compressive stress of less than about 300 MPa, can be obtained by this method.
  • Suitable process conditions for depositing smooth silicon films using single-frequency PECVD, are provided in Table 3. Deposition is performed using a process gas consisting essentially of silane, argon, helium, and, optionally, hydrogen using single frequency HF-only plasma. The flow rates and power levels are listed for an apparatus accommodating four 300 mm wafers. It is understood that one of skill in the art would be able to scale these values to the apparatus of any size, if desired.
  • TABLE 3
    Temperature 350-650° C.
    Pressure 1-9 Torr
    Silane, % of total flow 0.05-1.3%
    Argon, % of total flow 2.3-67%
    Silane flow 10-60 sccm
    Helium flow 4,000-18,000 sccm
    Hydrogen flow 0-500 sccm
    Argon flow 500-3,000 sccm
    Total flow 4,510-21,560 sccm
    HF power 100-4,000 watts
  • In this embodiment, HF RF power density is preferably in the range of between about 0.04-1.41 W/cm2.
  • FIG. 4 illustrates properties of smooth silicon films deposited under the process conditions shown in Table 3. Ra values are shown on the X-axis, and stress values are shown on the Y-axis. It can be seen that provided methods can be used to form films which simultaneously have an Ra of less than 7 Å, and compressive stress of less than 500 MPa in absolute value, including films that simultaneously have Ra of less than 5 Å, and a compressive stress of less than 300 MPa in absolute value.
  • Example 7
  • In one experimental example, a smooth silicon film was deposited at a temperature of 550° C. and a pressure of 5.5 Torr from a process gas consisting essentially of silane (provided at 40 sccm, 0.4% of total process gas volume), argon (provided at 1,000 sccm, 11% of total process gas volume), and helium (provided at 8,000 sccm), using HF RF plasma (13.56 MHz), where HF power was 1,000 Watts, for a chamber housing four 300 mm wafers. The deposited smooth silicon film had Ra of 4.9 Å and a stress of −129 MPa.
  • Example 8
  • In another experimental example, a smooth silicon film was deposited at a temperature of 550° C. and a pressure of 7.0 torr from a process gas consisting essentially of silane (provided at 40 sccm, 0.4% of total process gas volume), argon (provided at 1,000 sccm, 11% of total process gas volume), and helium (provided at 8,000 sccm), using HF RF plasma (13.56 MHz), where HF power was 1,000 Watts, for a chamber housing four 300 mm wafers. The deposited smooth silicon film had Ra of 6.8 Å and a tensile stress of 66 MPa.
  • Smooth silicon germanium films can be deposited using the same principles as in the methods illustrated in FIGS. 1 and 3. Specifically, smooth silicon germanium films can be prepared using a process gas comprising a silicon-containing reactant (e.g., silane), a germanium-containing reactant (e.g., germane), argon, and a second gas (e.g., helium, hydrogen, or mixtures thereof) using dual frequency (HF RF and LF RF) PECVD, and in some embodiments, using HF-only PECVD.
  • Apparatus
  • The deposition of smooth silicon and silicon germanium films is implemented in a plasma enhanced chemical vapor deposition (PECVD) reactor. Such a reactor may take many different forms. Generally, the apparatus will include one or more chambers or “reactors” (sometimes including multiple stations) that house one or more wafers and are suitable for wafer processing. Each chamber may house one or more wafers for processing. The one or more chambers maintain the wafer in a defined position or positions (with or without motion within that position, e.g. rotation, vibration, or other agitation).
  • While in process, each wafer is held in place by a pedestal, wafer chuck and/or other wafer holding apparatus. For certain operations in which the wafer is to be heated, the apparatus may include a heater such as a heating plate. A wide variety of PECVD apparatuses can be used to practice provided methods. Examples of suitable apparatuses for practicing embodiments of the invention include a Vector™ (e.g., C23 Vector) or Sequel™ (e.g., C2 Sequel) reactor, produced by Novellus Systems of San Jose, Calif., and apparatuses described in the. U.S. application Ser. No. 12/970,853 filed Dec. 16, 2010, titled “SMOOTH SILICON-CONTAINING FILMS” naming Fox et al. as inventors, which is herein incorporated by reference in its entirety.
  • FIG. 5 provides a simple block diagram depicting various reactor components arranged for implementing the present invention. As shown, a reactor 500 includes a process chamber 524, which encloses other components of the reactor and serves to contain the plasma generated by a capacitor type system including a showerhead 514 working in conjunction with a grounded heater block 520. A high-frequency RF generator 504, connected to a matching network 506, and, optionally, a low-frequency RF generator 502 are connected to showerhead 514. The power and frequency supplied by matching network 506 is sufficient to generate a plasma from the process gas. In a typical process, the high frequency RF component is generally between about 2-60 MHz; in a preferred embodiment, the HF component is about 13.56 MHz. The LF component frequency (when used) can range between about 100 kHz and 2 MHz. A typical frequency range for LF plasma source is between about 50 kHz to 500 kHz, more preferably, between about 370-430 kHz (e.g., 400 kHz).
  • Within the reactor, a wafer pedestal 518 supports a substrate 516. The pedestal typically includes a chuck, a fork, or lift pins to hold and transfer the substrate during and between the deposition. The chuck may be an electrostatic chuck, a mechanical chuck or various other types of chuck as are available for use in the industry and/or research.
  • The process gases are introduced via inlet 512. Multiple source gas lines 510 are connected to manifold 508. The gases may be premixed or not. Appropriate valving and mass flow control mechanisms are employed to ensure that the correct gases are delivered during the deposition and plasma treatment phases of the process. In case the chemical precursor(s) is delivered in the liquid form, liquid flow control mechanisms are employed. The liquid is then vaporized and mixed with other process gases during its transportation in a manifold heated above its vaporization point before reaching the deposition chamber.
  • Process gases exit chamber 500 via an outlet 522. A vacuum pump 526 (e.g., a one or two stage mechanical dry pump and/or a turbomolecular pump) typically draws process gases out and maintains a suitably low pressure within the reactor by a close loop controlled flow restriction device, such as a throttle valve or a pendulum valve.
  • The deposition of smooth silicon and silicon germanium films may be implemented on a multi-station or single station tool. In specific embodiments, the 300 mm Novellus Vector™ tool having a 4-station deposition scheme or the 200 mm Sequel™ tool having a 6-station deposition scheme are used. It is possible to index the wafers after every deposition until all the required depositions are completed, or multiple depositions can be conducted at a single station before indexing the wafer.
  • In certain embodiments, a system controller (not shown) is associated with the apparatus and is employed to control process conditions during deposition of the films, insert and remove wafers, etc. The controller will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • In certain embodiments, the controller controls all of the activities of the deposition apparatus. The system controller executes system control software including sets of program instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer chuck or susceptor position, and other parameters of a particular process. For example, instructions specifying flow rates of silicon-containing precursor, argon, and helium for silicon or silicon germanium film deposition may be included. In general, instructions may comprise instructions for process conditions for any of the processes described herein. The controller may comprise different or identical instructions for different apparatus stations, thus allowing the apparatus stations to operate either independently or synchronously.
  • Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.
  • Typically there will be a user interface associated with controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • The computer program code for controlling the deposition processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • The controller parameters relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, etc. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the deposition apparatus.
  • The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.
  • A substrate positioning program may include program code for controlling chamber components that are used to load the substrate onto a pedestal or chuck and to control the spacing between the substrate and other parts of the chamber such as a gas inlet and/or target. A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. A pressure control program may include code for controlling the pressure in the chamber by regulating, e.g., a throttle valve in the exhaust system of the chamber. A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. A plasma control program may include code for setting RF power levels applied to the process electrodes at the target and the wafer chuck.
  • Examples of chamber sensors that may be monitored during deposition and/or resputtering include mass flow controllers, pressure sensors such as manometers, and thermocouples located in pedestal or chuck. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain desired process conditions.
  • Applications
  • In many embodiments, provided smooth silicon or smooth silicon germanium films are incorporated into stacks of multiple layers, such as stacks used during fabrication of 3D memory. The low roughness of provided films is particularly advantageous for these applications, because large stacks having low roughness can be obtained. For example, smooth stacks containing at least about 10 layers, e.g., at least about 50 layers, containing at least about 30% of layers of smooth silicon or smooth silicon germanium provided herein can be prepared. In many embodiments, the measured surface roughness of the formed stacks in their entirety is less than about 10 Å, such as less than about 5 Å. Low roughness of stacks is a particularly advantageous property for lithographic patterning, which is typically performed after the stacks have been deposited. More generally, these films can be used in a variety of applications, not limited to fabrication of 3D memory, e.g., these films can be used as hardmasks.
  • FIG. 6 is a schematic cross-sectional depiction of a stack of films in accordance with embodiments provided herein. The stack 600 is deposited on a substrate 601 and contains a plurality of alternating layers 603 and 605, at least some of which are layers of smooth silicon or smooth silicon germanium deposited according to the methods provided herein. For example, in one embodiment one of the types of layers (e.g., 603) is smooth undoped polysilicon, and the other type of layers (e.g., 605) is a layer of doped silicon (e.g., boron-doped polysilicon), a layer of silicon germanium, a layer of silicon oxide, or a layer of silicon nitride. In another embodiment one of the types of layers (e.g., 603) is smooth silicon germanium, and the other type of layers (e.g., 605) is a layer of doped polysilicon (e.g., boron-doped polysilicon), undoped silicon, silicon oxide or silicon nitride. In yet another embodiment one of the types of layers (e.g., 603) is smooth doped polysilicon (e.g., boron-doped polysilicon), and the other type of layers (e.g., 605) is a layer of undoped polysilicon, silicon germanium, silicon oxide or silicon nitride. In some embodiments, it is preferable that all or most of the layers of the stack (including silicon oxide and silicon nitride layers, if present) are low-roughness layers having roughness of less than about 4 Å. Methods for depositing ultra-smooth silicon nitride and silicon oxide films are described in the U.S. application Ser. No. 12/970,853 filed Dec. 16, 2010, titled “SMOOTH SILICON-CONTAINING FILMS” naming Fox et al. as inventors, previously incorporated by reference in its entirety. In other embodiments, some of the layers of the stack may be deposited using conventional methods, and the stack as a whole would still have acceptable surface roughness, such as less than about 4 Å.
  • In some embodiments, the stacks contain between about 10-100 layers, where the layers alternate, e.g., smooth undoped polysilicon layer or a smooth silicon germanium layer alternates with a doped polysilicon layer, or smooth doped polysilicon layer alternates with a silicon nitride layer or a silicon oxide layer. The layers need not be of the same thickness, as some layers in the stack can be thicker than others, although the stacks may contain a plurality of alternating layers having substantially the same thickness. In some embodiments, alternating layers have a thickness in the range of between about 200-800 Å.
  • Advantageously, in some embodiments deposition of alternating layers in the stack is performed in one PECVD process chamber without a vacuum break. In some embodiments deposition of alternating layers is performed at one station of a multi-station PECVD process chamber. The following are examples of several process sequences that can be employed (with or without a vacuum break between deposition of layers).
  • (1) Deposit a layer of smooth doped silicon (e.g., boron doped polysilicon) using methods provided herein onto a layer of silicon oxide on a substrate; deposit a second layer of silicon oxide onto a layer of smooth doped silicon.
  • (2) Deposit a layer of smooth doped silicon (e.g., boron doped polysilicon) using methods provided herein onto a layer of silicon nitride on a substrate; deposit a second layer of silicon nitride onto a layer of smooth doped silicon.
  • (3) Deposit a layer of smooth doped silicon (e.g., boron doped polysilicon) onto a layer of undoped silicon on a substrate; deposit a second layer of undoped silicon onto a layer of smooth doped silicon, where smooth doped silicon and/or undoped silicon are deposited using methods provided herein.
  • (4) Deposit a layer of smooth doped silicon (e.g., boron doped silicon) onto a layer of silicon germanium on a substrate; deposit a second layer of silicon germanium onto a layer of smooth doped silicon, where smooth doped silicon and/or silicon germanium are deposited using methods provided herein.
  • (5) Deposit a layer of smooth undoped silicon onto a layer of doped silicon on a substrate; deposit a second layer of doped silicon onto a layer of smooth undoped silicon, where doped silicon and/or undoped silicon are deposited using methods provided herein.
  • (6) Deposit a layer of smooth silicon germanium onto a layer of doped silicon on a substrate; deposit a second layer of doped silicon onto a layer of smooth silicon germanium, where doped silicon and/or silicon germanium are deposited using methods provided herein.
  • (7) Deposit a layer of smooth undoped silicon using methods provided herein onto a layer of silicon nitride on a substrate; deposit a second layer of silicon nitride onto a layer of smooth undoped silicon.
  • In general, any combination of layers mentioned above can be deposited. In some embodiments, stacks containing layers of more than two types of materials are deposited. For example, in some embodiments, forming the stacks includes depositing a layer of silicon oxide, depositing a layer of smooth undoped silicon, and depositing a layer of smooth doped silicon, where doped and/or undoped silicon is deposited using provided methods.
  • After the stacks have been formed they are typically subjected to photolithographic patterning, which involves applying photoresist to the substrate; exposing the photoresist to light; patterning the resist and transferring the pattern to the substrate and selectively removing the photoresist from the substrate. The apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, a system including a PECVD apparatus having a controller with program instructions for performing provided deposition methods, and a stepper is used to implement deposition and patterning.
  • In other embodiments, smooth silicon and silicon germanium films serve as hardmasks. Hardmasks are typically deposited onto a layer of material (such as a layer of dielectric) residing on a semiconductor substrate to a thickness of between about 500-10,000 Å. A layer of photoresist and optionally another hardmask layer such as ashable carbon film is then typically deposited onto the smooth hardmask, and is lithographically patterned as described above, such that the desired pattern is transferred to the underlying hardmask layer.
  • It is understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art. Although various details have been omitted for clarity's sake, various design alternatives may be implemented. Therefore, the present examples are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope of the appended claims.

Claims (21)

1. (canceled)
2. A method for forming a smooth silicon germanium film on a semiconductor substrate in a plasma-enhanced chemical vapor deposition apparatus, the method comprising:
supplying a process gas comprising a silicon-containing reactant, a germanium-containing reactant, argon, and a second gas, to the plasma enhanced chemical vapor deposition apparatus; and
forming a plasma in said apparatus to deposit a smooth silicon germanium film on the semiconductor substrate, under conditions configured for depositing a silicon germanium film characterized by roughness (Ra) of less than about 7 Å and a compressive stress of less than about 500 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon germanium film, characterized by Ra of less than about 7 Å.
2. The method of claim 1, wherein the deposition is performed under conditions configured for depositing a smooth silicon germanium film characterized by Ra of less than about 5 Å, and a compressive stress of less than about 300 MPa in absolute value, or under conditions configured for depositing a smooth tensile silicon film, characterized by Ra of less than about 5 Å.
3. The method of claim 1, wherein the second gas is selected from the group consisting of helium, hydrogen and combinations thereof.
4. The method of claim 1, wherein the silicon-containing reactant is silane, and wherein the process gas comprises less than about 12% by volume of silane.
5. The method of claim 4, wherein the process gas comprises less than about 5% by volume of silane.
6. The method of claim 1, wherein the process gas comprises between about 15-85% by volume of argon.
7. The method of claim 1, comprising forming plasma using both high frequency (HF) and low frequency (LF) plasma generation, wherein the LF power is between about 17-80% of total power.
8. The method of claim 1, wherein the germanium-containing reactant is germane.
9. The method of claim 8, wherein the deposited film is a hardmask.
10. The method of claim 9, wherein the smooth silicon germanium hardmask film is deposited to a thickness of between about 500-10,000 Å.
11. The method of claim 1, wherein the smooth silicon germanium film is deposited in a memory device stack.
12. The method of claim 11, wherein the thickness of the deposited film in a memory device stack is between about 200-800 Å.
13. The method of claim 1, wherein the film is deposited at a temperature of between about 300-650° C.
14. The method of claim 1, wherein the film is deposited at a pressure of between about 1-9 Torr.
15. The method of claim 1, wherein the second gas is selected from the group consisting of helium, hydrogen and combinations thereof, the silicon-containing reactant is silane, and wherein the process gas comprises less than about 12% by volume of silane, the germanium-containing reactant is germane, and wherein the silicon germanium film is deposited at a temperature of between about 300-650° C. and at a pressure of between about 1-9 Torr.
16. The method of claim 15, wherein the silicon germanium film is deposited at a pressure of between about 2-6 Torr.
17. The method of claim 15, wherein depositing the silicon germanium film comprises forming plasma using both high frequency (HF) and low frequency (LF) plasma generation, wherein the LF power is between about 17-80% of total power.
18. A method for forming a smooth silicon film on a semiconductor substrate in a plasma-enhanced chemical vapor deposition (PECVD) apparatus comprising a PECVD process chamber, the method comprising:
supplying a process gas to the PECVD apparatus, wherein the process gas comprises a silicon-containing reactant, argon, and helium, wherein the silicon-containing reactant is disilane; and
depositing a smooth silicon film on the semiconductor substrate, the depositing comprising:
(a) flowing the process gas to the PECVD process chamber wherein the flow rate of disilane is 0.05-12% of the total process gas flow rate, the flow rate of argon is 16-84% of the total process gas flow rate, and the flow rate of helium is 25-83% of the total process gas flow rate;
(b) forming a plasma using both high frequency (HF) and low frequency (LF) plasma generation, wherein the LF power is 17-80% of the total (HF and LF) power; and
(c) maintaining a deposition temperature of 350-550° C. and maintaining a pressure of 2-6 Torr in the PECVD process chamber;
wherein the deposited smooth silicon film is characterized by roughness (Ra) of less than about 7 Å, and a compressive stress of less than about 500 MPa in absolute value, or wherein the deposited smooth silicon film is tensile and is characterized by Ra of less than about 7 Å.
19. The method of claim 18, wherein the deposited smooth silicon film is characterized by Ra of less than about 5 Å, and a compressive stress of less than about 300 MPa in absolute value, or wherein the deposited smooth silicon film is a tensile film and is characterized by Ra of less than about 5 Å.
20. The method of claim 18, wherein the process gas comprises less than about 5% by volume of disilane.
US14/802,766 2012-05-23 2015-07-17 Pecvd deposition of smooth silicon films Abandoned US20150325435A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/802,766 US20150325435A1 (en) 2012-05-23 2015-07-17 Pecvd deposition of smooth silicon films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/478,999 US9117668B2 (en) 2012-05-23 2012-05-23 PECVD deposition of smooth silicon films
US14/802,766 US20150325435A1 (en) 2012-05-23 2015-07-17 Pecvd deposition of smooth silicon films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/478,999 Continuation US9117668B2 (en) 2012-05-23 2012-05-23 PECVD deposition of smooth silicon films

Publications (1)

Publication Number Publication Date
US20150325435A1 true US20150325435A1 (en) 2015-11-12

Family

ID=49621921

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/478,999 Active 2032-09-19 US9117668B2 (en) 2012-05-23 2012-05-23 PECVD deposition of smooth silicon films
US14/802,766 Abandoned US20150325435A1 (en) 2012-05-23 2015-07-17 Pecvd deposition of smooth silicon films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/478,999 Active 2032-09-19 US9117668B2 (en) 2012-05-23 2012-05-23 PECVD deposition of smooth silicon films

Country Status (3)

Country Link
US (2) US9117668B2 (en)
KR (4) KR20130131242A (en)
TW (1) TWI636505B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10214816B2 (en) 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
WO2022245903A1 (en) * 2021-05-19 2022-11-24 Applied Materials, Inc. Thermal deposition of silicon-germanium

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
MY174446A (en) * 2010-06-25 2020-04-19 Petroliam Nasional Berhad Petronas A method and system for validating energy measurement in a high pressure gas distribution network
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US8895415B1 (en) * 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
JP6861479B2 (en) 2016-06-24 2021-04-21 東京エレクトロン株式会社 Plasma deposition method and plasma deposition equipment
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
CN110235248B (en) * 2017-04-27 2024-03-26 应用材料公司 Low dielectric constant oxide and low resistance OP stack for 3D NAND applications
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
KR20190061872A (en) * 2017-11-28 2019-06-05 주식회사 원익아이피에스 Method of fabricating amorphous silicon layer
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
JP7045954B2 (en) * 2018-07-25 2022-04-01 東京エレクトロン株式会社 A method and device for forming a hard mask film, and a method for manufacturing a semiconductor device.
US11646216B2 (en) * 2020-10-16 2023-05-09 Applied Materials, Inc. Systems and methods of seasoning electrostatic chucks with dielectric seasoning films
US20220238331A1 (en) * 2021-01-25 2022-07-28 Applied Materials, Inc. Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358573B1 (en) * 1997-12-01 2002-03-19 Applied Materials, Inc. Mixed frequency CVD process
US6818533B2 (en) * 2002-05-09 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd Epitaxial plasma enhanced chemical vapor deposition (PECVD) method providing epitaxial layer with attenuated defects
US20060166467A1 (en) * 2005-01-24 2006-07-27 Interuniversitair Microelektronica Centrum (Imec) Method of producing microcrystalline silicon germanium suitable for micromachining
US20080118754A1 (en) * 2006-11-17 2008-05-22 Samsung Electronics Co., Ltd. Single crystal silicon rod fabrication methods and a single crystal silicon rod structure
US20090246942A1 (en) * 2008-03-31 2009-10-01 Ngk Insulators, Ltd. Apparatus for depositing silicon-based thin film and method for depositing silicon-based thin film
US20100012030A1 (en) * 2001-02-12 2010-01-21 Asm America, Inc. Process for Deposition of Semiconductor Films
US20100032812A1 (en) * 2005-12-21 2010-02-11 Interuniversitair Microelektronica Centrum (Imec) Method for forming silicon germanium layers at low temperatures, layers formed therewith and structures comprising such layers

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
JPH0831454B2 (en) 1989-04-21 1996-03-27 日本電気株式会社 Method for manufacturing semiconductor device
JP2875945B2 (en) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Method of depositing silicon nitride thin film on large area glass substrate at high deposition rate by CVD
JP3164956B2 (en) 1993-01-28 2001-05-14 アプライド マテリアルズ インコーポレイテッド Method for depositing amorphous silicon thin film at high deposition rate on large area glass substrate by CVD
JPH06240459A (en) 1993-02-16 1994-08-30 G T C:Kk Formation of silicon oxide thin film
US5851602A (en) 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
DE69424759T2 (en) 1993-12-28 2001-02-08 Applied Materials Inc Vapor deposition process in a single chamber for thin film transistors
WO1997022136A1 (en) 1995-12-08 1997-06-19 Balzers Aktiengesellschaft HF-PLASMA COATING CHAMBER OR PECVD COATING CHAMBER, ITS USE AND METHOD OF PLATING CDs USING THE CHAMBER
US6235650B1 (en) 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US6066550A (en) 1998-06-05 2000-05-23 United Integrated Circuits Corp. Method of improving selectivity between silicon nitride and silicon oxide
KR100296137B1 (en) 1998-06-16 2001-08-07 박종섭 method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer
KR100299594B1 (en) 1998-07-13 2001-09-22 윤종용 Manufacturing method of DRAM device
JP2000208422A (en) 1999-01-08 2000-07-28 Sony Corp Forming method of laminated film and thin film forming system
JP2001044191A (en) 1999-07-27 2001-02-16 Sony Corp Laminated insulating film, manufacture thereof, semiconductor device and manufacture thereof
US6580170B2 (en) 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
DE10143235A1 (en) 2001-09-04 2003-03-27 Infineon Technologies Ag Semiconductor memory component used as flash memory comprises substrate, floating gate electrically isolated from the substrate, tunnel barrier arrangement and device for controlling charge transmission of barrier arrangement
KR20040008527A (en) 2002-07-18 2004-01-31 주식회사 하이닉스반도체 Method of semiconductor device
DE10240176A1 (en) 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale A dielectric layer stack with a low dielectric constant including an etching indicator layer for use in dual damascene technology
US6936528B2 (en) 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US6727134B1 (en) 2002-11-05 2004-04-27 Taiwan Semiconductor Manufacturing Company Method of forming a nitride gate dielectric layer for advanced CMOS devices
US6811831B1 (en) 2002-11-20 2004-11-02 Silicon Magnetic Systems Method for depositing silicon nitride
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7608300B2 (en) 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
JP4982355B2 (en) * 2004-02-27 2012-07-25 エーエスエム アメリカ インコーポレイテッド Method for forming germanium film
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20050233092A1 (en) 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20060049139A1 (en) 2004-08-26 2006-03-09 Tokyo Electron Limited Method and system for etching a gate stack
JP4489618B2 (en) 2005-03-14 2010-06-23 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US7291539B2 (en) 2005-06-01 2007-11-06 International Business Machines Corporation Amorphization/templated recrystallization method for hybrid orientation substrates
US20080050883A1 (en) 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US7635651B2 (en) 2005-08-23 2009-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of smoothening dielectric layer
US20070059942A1 (en) 2005-09-09 2007-03-15 Chi Lin Technology Co., Ltd. Plasma cvd process for manufacturing multilayer anti-reflection coatings
WO2007044514A2 (en) 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
KR20070094527A (en) 2006-03-17 2007-09-20 가부시키가이샤 에키쇼센탄 기쥬쓰 가이하쓰센타 Crystallization method, thin film transistor manufacturing method, thin film transistor, display, and semiconductor device
US20070264842A1 (en) 2006-05-12 2007-11-15 Samsung Electronics Co., Ltd. Insulation film deposition method for a semiconductor device
US7465681B2 (en) 2006-08-25 2008-12-16 Corning Incorporated Method for producing smooth, dense optical films
JP2008124111A (en) 2006-11-09 2008-05-29 Nissin Electric Co Ltd Method for forming silicon thin film by plasma cvd method
US7638443B2 (en) 2006-11-14 2009-12-29 Asm Japan K.K. Method of forming ultra-thin SiN film by plasma CVD
WO2008127469A2 (en) 2006-12-15 2008-10-23 University Of South Carolina A novel fabrication technique for high frequency, high power group iii nitride electronic devices
KR20080057562A (en) 2006-12-20 2008-06-25 주식회사 하이닉스반도체 Method for forming fine pattern of semiconductor device
CN100577866C (en) 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 Gas sprayer assembly applied in plasma reaction chamber, manufacture method and renewing reutilization method thereof
US20090159119A1 (en) 2007-03-28 2009-06-25 Basol Bulent M Technique and apparatus for manufacturing flexible and moisture resistive photovoltaic modules
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US8114484B2 (en) 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP5248995B2 (en) 2007-11-30 2013-07-31 株式会社半導体エネルギー研究所 Method for manufacturing photoelectric conversion device
WO2009098548A1 (en) 2008-02-08 2009-08-13 Freescale Semiconductor, Inc. Intermediate product for a multichannel fet and process for obtaining an intermediate product
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8013389B2 (en) 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR20110009762A (en) 2009-07-23 2011-01-31 삼성전자주식회사 Transistor and method of manufacturing the same
TWI408372B (en) 2009-08-14 2013-09-11 Univ Chung Hua Radio frequency identification based thermal bubble type accelerometer
US20110143019A1 (en) * 2009-12-14 2011-06-16 Amprius, Inc. Apparatus for Deposition on Two Sides of the Web
JP2013515376A (en) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド PECVD (plasma chemical vapor deposition) multi-step process using continuous plasma
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8076250B1 (en) 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
US8318575B2 (en) 2011-02-07 2012-11-27 Infineon Technologies Ag Compressive polycrystalline silicon film and method of manufacture thereof
KR20140147086A (en) 2012-02-14 2014-12-29 노벨러스 시스템즈, 인코포레이티드 Silicon nitride films for semiconductor device applications
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US8925588B2 (en) 2012-08-17 2015-01-06 Novellus Systems, Inc. Flow balancing in gas distribution networks
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358573B1 (en) * 1997-12-01 2002-03-19 Applied Materials, Inc. Mixed frequency CVD process
US20100012030A1 (en) * 2001-02-12 2010-01-21 Asm America, Inc. Process for Deposition of Semiconductor Films
US6818533B2 (en) * 2002-05-09 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd Epitaxial plasma enhanced chemical vapor deposition (PECVD) method providing epitaxial layer with attenuated defects
US20060166467A1 (en) * 2005-01-24 2006-07-27 Interuniversitair Microelektronica Centrum (Imec) Method of producing microcrystalline silicon germanium suitable for micromachining
US20100032812A1 (en) * 2005-12-21 2010-02-11 Interuniversitair Microelektronica Centrum (Imec) Method for forming silicon germanium layers at low temperatures, layers formed therewith and structures comprising such layers
US20080118754A1 (en) * 2006-11-17 2008-05-22 Samsung Electronics Co., Ltd. Single crystal silicon rod fabrication methods and a single crystal silicon rod structure
US20090246942A1 (en) * 2008-03-31 2009-10-01 Ngk Insulators, Ltd. Apparatus for depositing silicon-based thin film and method for depositing silicon-based thin film

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Ong et al., Process Analysis and Optimization on PECVD Amorphous Silicon on Glass Substrate, 2006, Journal of Physics: Conference Series 34, pp. 812-817. *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10214816B2 (en) 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
WO2022225831A1 (en) * 2021-04-20 2022-10-27 Applied Materials, Inc. Helium-free silicon formation
WO2022245903A1 (en) * 2021-05-19 2022-11-24 Applied Materials, Inc. Thermal deposition of silicon-germanium
US11830734B2 (en) 2021-05-19 2023-11-28 Applied Materials, Inc. Thermal deposition of silicon-germanium

Also Published As

Publication number Publication date
US20130316518A1 (en) 2013-11-28
KR20210016453A (en) 2021-02-15
KR20210143706A (en) 2021-11-29
TWI636505B (en) 2018-09-21
TW201411722A (en) 2014-03-16
KR20130131242A (en) 2013-12-03
KR102628605B1 (en) 2024-01-24
US9117668B2 (en) 2015-08-25
KR20230035000A (en) 2023-03-10
KR102330184B1 (en) 2021-11-23
KR102505139B1 (en) 2023-02-28

Similar Documents

Publication Publication Date Title
KR102505139B1 (en) Pecvd deposition of smooth silicon films
KR102510157B1 (en) Doped ald films for semiconductor patterning applications
KR102430939B1 (en) Low-Temperature Formation of High-Quality Silicon Oxide Films in Semiconductor Device Manufacturing
US20120142172A1 (en) Pecvd deposition of smooth polysilicon films
US20230366094A1 (en) Pecvd apparatus for in-situ deposition of film stacks
US8709551B2 (en) Smooth silicon-containing films
TWI718131B (en) Ultrathin atomic layer deposition film accuracy thickness control
KR101913443B1 (en) Plasma-activated deposition of conformal films
KR20160131943A (en) Methods of modulating residual stress in thin films
US20120258261A1 (en) Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US20180308690A1 (en) Densifying films in semiconductor device
JP2022543953A (en) Tuned atomic layer deposition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION