US20170040274A1 - Bond pad structure for low temperature flip chip bonding - Google Patents

Bond pad structure for low temperature flip chip bonding Download PDF

Info

Publication number
US20170040274A1
US20170040274A1 US15/296,770 US201615296770A US2017040274A1 US 20170040274 A1 US20170040274 A1 US 20170040274A1 US 201615296770 A US201615296770 A US 201615296770A US 2017040274 A1 US2017040274 A1 US 2017040274A1
Authority
US
United States
Prior art keywords
bond pad
bond pads
segments
metal segments
bond
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/296,770
Inventor
Luke England
Christian KLEWER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/296,770 priority Critical patent/US20170040274A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ENGLAND, LUKE, KLEWER, CHRISTIAN
Publication of US20170040274A1 publication Critical patent/US20170040274A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03011Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05551Shape comprising apertures or cavities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05553Shape in top view being rectangular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06133Square or rectangular array with a staggered arrangement, e.g. depopulated array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/0807Shape of bonding interfaces, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08123Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting directly to at least two bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8019Arrangement of the bonding areas prior to mounting
    • H01L2224/80194Lateral distribution of the bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81009Pre-treatment of the bump connector or the bonding area
    • H01L2224/8103Reshaping the bump connector in the bonding apparatus, e.g. flattening the bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout

Definitions

  • the present disclosure relates to fabrication of three-dimensional (3D) integrated semiconductor devices.
  • the present disclosure relates to bond pad structures for low temperature flip chip bonding.
  • a flip chip is a method for interconnecting semiconductor devices by flipping over one of the devices so that its top side faces down, aligning the bond pads to match with the other device's bond pads, and bonding the devices together.
  • aligning, and bonding vias and bond pads are patterned on pre-processed wafers and filled by a copper damascene process.
  • dielectric layers for example low temperature inorganic dielectrics such as silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), and/or silicon carbide (SiC) are formed on the wafer and etched to form vias. Then, copper is deposited in the vias by plating or chemical vapor deposition (CVD) of the copper. Since copper diffuses rapidly in dielectrics, a barrier material such as TiN is deposited as a liner before copper is deposited. Excess copper is then removed and the surface of the copper and dielectric layer is planarized by chemical-mechanical polishing (CMP).
  • CMP chemical-mechanical polishing
  • the preprocessed wafers are aligned and bonded together at or near room temperature using chemical or plasma activated fusion bonding processes compatible with back-end-of-the-line (BEOL) wafers.
  • BEOL back-end-of-the-line
  • dishing leads to voids 109 in the copper interconnect. Since even a few nanometers of dishing below the dielectric surface can prevent successful joint formation, the dishing of the bond pads needs to be controlled or minimized.
  • the top and bottom dies only show the top pad in a BEOL stack for illustration convenience. There may be multiple metal/dielectric layers in the BEOL stack.
  • An aspect of the present disclosure relates to methods for fabricating a 3D integrated semiconductor device, in which the effect of dishing of bond pad surfaces after CMP and the resulting voids between joined semiconductor devices is avoided or minimized by adjoining bond pads with different or rotated configurations of metal segments.
  • Another aspect relates to a device having adjoined bond pads with different or rotated configurations of metal segments.
  • some technical effects may be achieved in part by a method including: forming a first and a second bond pad on a first and a second semiconductor device, respectively, the first and the second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having the same configuration as a configuration of the metal segments of the second bond pad but rotated with respect to the second bond pad; and bonding the first and second semiconductor devices together through the first and second bond pads.
  • aspects of the present disclosure also include forming a larger first bond pad on the first semiconductor device compared to the second bond pad on the second semiconductor device.
  • Other aspects include patterning the first and second bond pads on the first and second semiconductor devices, respectively, by a copper damascene process.
  • Still other aspects include surrounding the first and second bond pads on the first and second semiconductor devices, respectively, by a dielectric layer.
  • Further aspects include bonding the first and second semiconductor devices together through the dielectric layers in a chemical or plasma activated fusion bonding process.
  • Still further aspects include using a low temperature inorganic layer around the metal segments of the first and second semiconductor devices, and planarizing the first and second bond pads and the low temperature inorganic layer on the first and second semiconductor devices, respectively, by CMP before bonding together.
  • Additional aspects include patterning the bond pads on the first and second semiconductor devices by a copper damascene process, and bonding the first and second semiconductor devices together through copper-to-copper bonds in the patterned bond pads.
  • Other additional aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns of segments, the columns being staggered with respect to each other, and arranging the metal segments of the second bond pad on the second semiconductor device into rows of segments, the rows being staggered with respect to each other, wherein the columns of segments are perpendicular to the rows of segments.
  • Still other additional aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns and rows of metal islands, and arranging the metal segments of the second bond pad on the second semiconductor device into lines of segments, the lines being staggered with respect to each other, wherein the lines of segments are at a 45 degree angle to the columns and rows of metal islands. Further aspects include arranging the metal segments of first bond pad on the first semiconductor device into a first grid of rows and columns, and arranging the metal segments of the second bond pad on the second semiconductor device into a second grid of rows and columns, wherein the second grid of rows and columns is at a 45 degree angle with respect to the first grid of rows and columns.
  • some technical effects may be achieved in part by a method including forming a first bond pad with metal segments forming a pattern having a first orientation on a first semiconductor device; forming a second bond pad with metal segments forming a pattern having a second orientation on a second semiconductor device, wherein the first orientation is at a 45 degree to a 90 degree angle with respect to the second orientation; and bonding the first and second semiconductor devices together through the first and second bond pads.
  • aspects of the present disclosure also include having the first orientation and the second orientation perpendicular to each other.
  • Other aspects include having the first and second semiconductor devices include low temperature inorganic dielectric layers, and bonding the first and second semiconductor devices together through the low temperature inorganic dielectric layers through a chemical or plasma activated fusion bonding process.
  • Still other aspects include patterning the bond pads by a copper damascene process, and bonding the first and second semiconductor devices together through copper-to-copper bonds in the damascene patterned copper first and second bond pads.
  • a device including a first and a second semiconductor device having first and second bond pads, respectively, bonded together through the first and second bond pads, the first and second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having a configuration rotated with respect to a configuration of the metal segments of the second bond pad.
  • aspects of the present disclosure also include having the first bond pad on the first semiconductor device larger than the second bond pad on the second semiconductor device.
  • Other aspects include the first and second bond pads each having plural copper segments.
  • Still other aspects include having the first configuration rotated at a 45 degree to a 90 degree angle with respect to the second configuration.
  • Further aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns of segments, the columns being staggered with respect to each other, and arranging the metal segments of the second bond pad on the second semiconductor device into rows of segments, the rows being staggered with respect to each other, wherein the columns of segments are perpendicular to the rows of segments.
  • Still further aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns and rows of metal islands, and arranging the metal segments of the second bond pad on the second semiconductor device into lines of segments, the lines being staggered with respect to each other, wherein the lines of segments are at a 45 degree angle to the columns and rows of metal islands. Additional aspects include arranging the metal segments of first bond pad on the first semiconductor device into a first grid of rows and columns, and arranging the metal segments of the second bond pad on the second semiconductor device into a second grid of rows and columns, wherein the second grid of rows and columns is at a 45 degree angle with respect to the first grid of rows and columns.
  • FIGS. 1A and 1B illustrate a conventional 3D integration of semiconductor devices
  • FIGS. 2A through 2C illustrate a bond pad pattern, according to an exemplary embodiment
  • FIG. 3 illustrates a cross-sectional view of a 3D integration of semiconductor devices, according to an exemplary embodiment.
  • FIGS. 4A through 4C illustrate another bond pad pattern, according to an exemplary embodiment
  • FIGS. 5A through 5C illustrate another bond pad pattern, according to an exemplary embodiment.
  • the present disclosure addresses and solves the current problem of dishing of bond pad surfaces attendant upon CMP of the bond pad surfaces prior to bonding and the resulting voids in interconnects between semiconductor devices, e.g., ICs and MEMS, in 3D integrated devices.
  • a method in accordance with embodiments of the present disclosure includes forming a first and a second bond pad on a first and a second semiconductor device, respectively, the first and the second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having the same configuration as a configuration of the metal segments of the second bond pad but rotated with respect to the second bond pad; and bonding the first and second semiconductor devices together through the first and second bond pads.
  • the replacement of large solid bond pads used in conventional 3D integration processes with bond pads having different configurations or rotated configurations between two semiconductor devices minimizes the effect of copper dishing during planarization by CMP and the resulting voids between adjoined semiconductor devices and allows for improved bonding. That is, rather than a single large point of contact between the bond pads on adjoined devices, interconnects are formed through multiple smaller contact points.
  • the bond pad design can be adjusted to allow for required resistance values depending on specific needs of each interconnect function (i.e. power/ground, I/O, etc).
  • the integration falls directly within existing dual damascene process techniques, so it can be easily implemented. It also allows the elimination of top bond pad layers for improved flip chip cost structure as well.
  • the first and second semiconductor devices may be bonded together in a face-to-face (F2F), wafer-to-wafer (W2W), die-to-wafer (D2W), or die-to-die (D2D) manner.
  • the first bond pad on the first device may be larger than the second bond pad on the second device to allow for any misalignment during placement, especially for individual die placement, e.g. D2W or D2D.
  • the first and second bond pads on the first and second devices, respectively, may be patterned in the dielectric layers on the devices by a copper damascene process.
  • the first and second devices may be bonded together through the dielectric layers using a chemical or plasma activated fusion bonding process.
  • the first and second devices may include a low temperature inorganic layer around the metal segments, in which the first and second bond pads and the low temperature inorganic layer are planarized to be ultra-smooth by CMP before bonding together.
  • the bond pads on the first and second may be patterned by a damascene process and the first and second devices may be bonded together through copper-to-copper bonds in the patterned bond pads.
  • FIGS. 2A through 2C illustrate the metal segments of bond pads having a pattern of perpendicular lines, in accordance with an exemplary embodiment.
  • the metal segments of the first bond pad 201 on the first semiconductor device include columns of segments 203 , the columns being staggered with respect to each other.
  • the metal segments of the second bond pad 205 on the second semiconductor device include rows of segments 207 , the rows being staggered with respect to each other, as illustrated in FIG. 2B .
  • the columns of segments 203 are perpendicular to the rows of segments 207 .
  • the angle could range from 45° to 90°. Also shown in FIGS.
  • FIG. 2A and 2B is a dielectric 209 surrounding the first and second bond pads.
  • the dielectric layers may, for example, be low temperature inorganic dielectric layers.
  • the bond pads may be patterned in the dielectric layers by a copper damascene process.
  • FIG. 2C illustrates the overlay of the metal segments of the first bond pad 201 on the metal segments of the second bond pad 205 .
  • the two semiconductor devices may be bonded together through the dielectric layers, e.g. by chemical or plasma activated fusion bonding.
  • the bond pads may be copper, the devices may be bonded through copper-to-copper bonds formed after annealing.
  • FIG. 3 illustrates a cross-sectional view of a 3D integration 301 for F2F bonding of two semiconductor devices with the metal segments of bond pads having a pattern of perpendicular lines.
  • the device 301 includes top die 303 and bottom die 305 , each of which includes a dielectric layer 307 . Dies 303 and 305 are joined together along bond plane 309 . Also shown are the top and bottom metal routing layers, 311 and 313 , respectively, which are connected to the top and bottom bond pads, 315 and 317 , respectively, through vias 319 . As illustrated, the bond pads 315 and 317 are in good contact with each other along the bond plane 309 . (In FIG. 3 , the top and bottom dies only show the top pad in a BEOL stack for illustration convenience. There may be multiple metal/dielectric layers in the BEOL stack.)
  • FIGS. 4A through 4C illustrate the metal segments of bond pads having a pattern of lines on islands, in accordance with an exemplary embodiment.
  • the metal segments of the first bond pad 401 on the first semiconductor device include columns and rows of metal islands 403 .
  • the metal segments of the second bond pad 405 on the second semiconductor device include lines of diagonal segments 407 , the lines being staggered with respect to each other.
  • the lines of segments 407 are at a 45° angle to the columns and rows of metal islands 403 . However, the angle could range from 45° to 90°.
  • a dielectric 409 surrounds the first and second bond pads.
  • the dielectric layers may, for example, be low temperature inorganic dielectric layers.
  • the bond pads may be patterned in the dielectric layers by a copper damascene process.
  • FIG. 4C illustrates the overlay of the metal segments of the first bond pad 401 on the metal segments of the second bond pad 405 .
  • the two semiconductor devices may be bonded together through the dielectric layers, e.g. by chemical or plasma activated fusion bonding.
  • the bond pads may be copper, the devices may be bonded through copper-to-copper bonds formed after annealing.
  • FIGS. 5A through 5C illustrate the metal segments of bond pads having a pattern of an alternating cross-hatch, in accordance with an exemplary embodiment.
  • the metal segments of first bond pad 501 on the first semiconductor device include a first grid 503 of rows and columns.
  • the metal segments of the second bond pad 505 on the second semiconductor device include a second grid 507 of rows and columns.
  • the second grid 507 of rows and columns is at a 45 degree angle with respect to the first grid 503 of rows and columns.
  • a dielectric 509 surrounds the first and second bond pads.
  • the dielectric layers may, for example, be low temperature inorganic dielectric layers.
  • the bond pads may be patterned in the dielectric layers by a copper damascene process.
  • FIG. 5C illustrates the overlay of the metal segments of the first bond pad 501 on the metal segments of the second bond pad 505 .
  • the two semiconductor devices may be bonded together through the dielectric layers, e.g. by chemical or plasma activated fusion bonding.
  • the bond pads may be copper, the devices may be bonded through copper-to-copper bonds formed after annealing.
  • the metal segments of the bond pads on the semiconductor devices may have a pattern of perpendicular lines.
  • the metal segments of bond pads on the semiconductor devices may have a pattern of lines on islands.
  • the metal segments of bond pads on the semiconductor devices may have a pattern of an alternating cross-hatch.
  • the first bond pad may be larger than the second bond pad.
  • the embodiments of the present disclosure can achieve several technical effects, such as reduced dishing resulting in improved interconnect contacts for ICs or MEMS implemented with existing dual damascene techniques.
  • Devices formed in accordance with embodiments of the present disclosure are useful in various industrial applications, e.g., microprocessors, smart phones, mobile phones, cellular handsets, set-top boxes, DVD recorders and players, automotive navigation, printers and peripherals, networking and telecom equipment, gaming systems, and digital cameras.
  • the present disclosure therefore has industrial applicability in any of various types of highly integrated semiconductor devices.

Abstract

Methods for preparing 3D integrated semiconductor devices and the resulting devices are disclosed. Embodiments include forming a first and a second bond pad on a first and a second semiconductor device, respectively, the first and the second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having the same configuration as a configuration of the metal segments of the second bond pad but rotated with respect to the second bond pad; and bonding the first and second semiconductor devices together through the first and second bond pads.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a Divisional of U.S. application Ser. No. 14/515,969, filed Oct. 16, 2014, the content of which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • The present disclosure relates to fabrication of three-dimensional (3D) integrated semiconductor devices. In particular, the present disclosure relates to bond pad structures for low temperature flip chip bonding.
  • BACKGROUND
  • One of the emerging chip architectures/technologies for both integrated circuits (ICs) and microelectro-mechanical systems (MEMS) is 3D integration based on bonding together semiconductor devices with pre-fabricated components. For example, a flip chip is a method for interconnecting semiconductor devices by flipping over one of the devices so that its top side faces down, aligning the bond pads to match with the other device's bond pads, and bonding the devices together. Prior to the flipping, aligning, and bonding, vias and bond pads are patterned on pre-processed wafers and filled by a copper damascene process. Specifically, dielectric layers, for example low temperature inorganic dielectrics such as silicon dioxide (SiO2), silicon nitride (Si3N4), and/or silicon carbide (SiC) are formed on the wafer and etched to form vias. Then, copper is deposited in the vias by plating or chemical vapor deposition (CVD) of the copper. Since copper diffuses rapidly in dielectrics, a barrier material such as TiN is deposited as a liner before copper is deposited. Excess copper is then removed and the surface of the copper and dielectric layer is planarized by chemical-mechanical polishing (CMP). The preprocessed wafers are aligned and bonded together at or near room temperature using chemical or plasma activated fusion bonding processes compatible with back-end-of-the-line (BEOL) wafers. As the surfaces of the dielectric layers are physically bonded together, the planar copper layers come in contact and, after annealing, interconnects between the devices are formed through copper-to-copper bonds in the bond pads for both structural integrity and inter-wafer electrical interconnections.
  • As shown in FIGS. 1A and IB, a Si device (either a wafer or die) 101 having a dielectric layer 103 and copper bond pads 105, when subjected to CMP often results in dishing 107 of the bond pads. When two planarized Si devices 101 are joined, aligned and bonded together, dishing leads to voids 109 in the copper interconnect. Since even a few nanometers of dishing below the dielectric surface can prevent successful joint formation, the dishing of the bond pads needs to be controlled or minimized. (In FIGS. 1A and IB, the top and bottom dies only show the top pad in a BEOL stack for illustration convenience. There may be multiple metal/dielectric layers in the BEOL stack.)
  • A need therefore exists for methodology enabling fabrication of 3D integrated ICs and MEMS with controlled and/or reduced dishing at the bond pads.
  • SUMMARY
  • An aspect of the present disclosure relates to methods for fabricating a 3D integrated semiconductor device, in which the effect of dishing of bond pad surfaces after CMP and the resulting voids between joined semiconductor devices is avoided or minimized by adjoining bond pads with different or rotated configurations of metal segments.
  • Another aspect relates to a device having adjoined bond pads with different or rotated configurations of metal segments.
  • Additional aspects and other features of the present disclosure will be set forth in the description which follows and in part will be apparent to those having ordinary skill in the art upon examination of the following or may be learned from the practice of the present disclosure. The advantages of the present disclosure may be realized and obtained as particularly pointed out in the appended claims.
  • According to the present disclosure, some technical effects may be achieved in part by a method including: forming a first and a second bond pad on a first and a second semiconductor device, respectively, the first and the second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having the same configuration as a configuration of the metal segments of the second bond pad but rotated with respect to the second bond pad; and bonding the first and second semiconductor devices together through the first and second bond pads.
  • Aspects of the present disclosure also include forming a larger first bond pad on the first semiconductor device compared to the second bond pad on the second semiconductor device. Other aspects include patterning the first and second bond pads on the first and second semiconductor devices, respectively, by a copper damascene process. Still other aspects include surrounding the first and second bond pads on the first and second semiconductor devices, respectively, by a dielectric layer. Further aspects include bonding the first and second semiconductor devices together through the dielectric layers in a chemical or plasma activated fusion bonding process. Still further aspects include using a low temperature inorganic layer around the metal segments of the first and second semiconductor devices, and planarizing the first and second bond pads and the low temperature inorganic layer on the first and second semiconductor devices, respectively, by CMP before bonding together. Additional aspects include patterning the bond pads on the first and second semiconductor devices by a copper damascene process, and bonding the first and second semiconductor devices together through copper-to-copper bonds in the patterned bond pads. Other additional aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns of segments, the columns being staggered with respect to each other, and arranging the metal segments of the second bond pad on the second semiconductor device into rows of segments, the rows being staggered with respect to each other, wherein the columns of segments are perpendicular to the rows of segments. Still other additional aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns and rows of metal islands, and arranging the metal segments of the second bond pad on the second semiconductor device into lines of segments, the lines being staggered with respect to each other, wherein the lines of segments are at a 45 degree angle to the columns and rows of metal islands. Further aspects include arranging the metal segments of first bond pad on the first semiconductor device into a first grid of rows and columns, and arranging the metal segments of the second bond pad on the second semiconductor device into a second grid of rows and columns, wherein the second grid of rows and columns is at a 45 degree angle with respect to the first grid of rows and columns.
  • According to the present disclosure, some technical effects may be achieved in part by a method including forming a first bond pad with metal segments forming a pattern having a first orientation on a first semiconductor device; forming a second bond pad with metal segments forming a pattern having a second orientation on a second semiconductor device, wherein the first orientation is at a 45 degree to a 90 degree angle with respect to the second orientation; and bonding the first and second semiconductor devices together through the first and second bond pads.
  • Aspects of the present disclosure also include having the first orientation and the second orientation perpendicular to each other. Other aspects include having the first and second semiconductor devices include low temperature inorganic dielectric layers, and bonding the first and second semiconductor devices together through the low temperature inorganic dielectric layers through a chemical or plasma activated fusion bonding process. Still other aspects include patterning the bond pads by a copper damascene process, and bonding the first and second semiconductor devices together through copper-to-copper bonds in the damascene patterned copper first and second bond pads.
  • According to the present disclosure, some technical effects may be achieved in part by a device including a first and a second semiconductor device having first and second bond pads, respectively, bonded together through the first and second bond pads, the first and second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having a configuration rotated with respect to a configuration of the metal segments of the second bond pad.
  • Aspects of the present disclosure also include having the first bond pad on the first semiconductor device larger than the second bond pad on the second semiconductor device. Other aspects include the first and second bond pads each having plural copper segments. Still other aspects include having the first configuration rotated at a 45 degree to a 90 degree angle with respect to the second configuration. Further aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns of segments, the columns being staggered with respect to each other, and arranging the metal segments of the second bond pad on the second semiconductor device into rows of segments, the rows being staggered with respect to each other, wherein the columns of segments are perpendicular to the rows of segments. Still further aspects include arranging the metal segments of the first bond pad on the first semiconductor device into columns and rows of metal islands, and arranging the metal segments of the second bond pad on the second semiconductor device into lines of segments, the lines being staggered with respect to each other, wherein the lines of segments are at a 45 degree angle to the columns and rows of metal islands. Additional aspects include arranging the metal segments of first bond pad on the first semiconductor device into a first grid of rows and columns, and arranging the metal segments of the second bond pad on the second semiconductor device into a second grid of rows and columns, wherein the second grid of rows and columns is at a 45 degree angle with respect to the first grid of rows and columns.
  • Additional aspects and technical effects of the present disclosure will become readily apparent to those skilled in the art from the following detailed description wherein embodiments of the present disclosure are described simply by way of illustration of the best mode contemplated to carry out the present disclosure. As will be realized, the present disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present disclosure. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawing and in which like reference numerals refer to similar elements and in which:
  • FIGS. 1A and 1B illustrate a conventional 3D integration of semiconductor devices;
  • FIGS. 2A through 2C illustrate a bond pad pattern, according to an exemplary embodiment;
  • FIG. 3 illustrates a cross-sectional view of a 3D integration of semiconductor devices, according to an exemplary embodiment.
  • FIGS. 4A through 4C illustrate another bond pad pattern, according to an exemplary embodiment; and
  • FIGS. 5A through 5C illustrate another bond pad pattern, according to an exemplary embodiment.
  • DETAILED DESCRIPTION
  • In the following description, for the purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of exemplary embodiments. It should be apparent, however, that exemplary embodiments may be practiced without these specific details or with an equivalent arrangement. In other instances, well-known structures and devices are shown in block diagram form in order to avoid unnecessarily obscuring exemplary embodiments. In addition, unless otherwise indicated, all numbers expressing quantities, ratios, and numerical properties of ingredients, reaction conditions, and so forth used in the specification and claims are to be understood as being modified in all instances by the term “about.”
  • The present disclosure addresses and solves the current problem of dishing of bond pad surfaces attendant upon CMP of the bond pad surfaces prior to bonding and the resulting voids in interconnects between semiconductor devices, e.g., ICs and MEMS, in 3D integrated devices. In order to avoid or minimize the effect of dishing of bond pad surfaces after CMP and the resulting voids between semiconductor devices, a method in accordance with embodiments of the present disclosure includes forming a first and a second bond pad on a first and a second semiconductor device, respectively, the first and the second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having the same configuration as a configuration of the metal segments of the second bond pad but rotated with respect to the second bond pad; and bonding the first and second semiconductor devices together through the first and second bond pads.
  • The replacement of large solid bond pads used in conventional 3D integration processes with bond pads having different configurations or rotated configurations between two semiconductor devices, minimizes the effect of copper dishing during planarization by CMP and the resulting voids between adjoined semiconductor devices and allows for improved bonding. That is, rather than a single large point of contact between the bond pads on adjoined devices, interconnects are formed through multiple smaller contact points. The bond pad design can be adjusted to allow for required resistance values depending on specific needs of each interconnect function (i.e. power/ground, I/O, etc). The integration falls directly within existing dual damascene process techniques, so it can be easily implemented. It also allows the elimination of top bond pad layers for improved flip chip cost structure as well.
  • The first and second semiconductor devices may be bonded together in a face-to-face (F2F), wafer-to-wafer (W2W), die-to-wafer (D2W), or die-to-die (D2D) manner. The first bond pad on the first device may be larger than the second bond pad on the second device to allow for any misalignment during placement, especially for individual die placement, e.g. D2W or D2D. The first and second bond pads on the first and second devices, respectively, may be patterned in the dielectric layers on the devices by a copper damascene process. The first and second devices may be bonded together through the dielectric layers using a chemical or plasma activated fusion bonding process. The first and second devices may include a low temperature inorganic layer around the metal segments, in which the first and second bond pads and the low temperature inorganic layer are planarized to be ultra-smooth by CMP before bonding together. The bond pads on the first and second may be patterned by a damascene process and the first and second devices may be bonded together through copper-to-copper bonds in the patterned bond pads.
  • FIGS. 2A through 2C illustrate the metal segments of bond pads having a pattern of perpendicular lines, in accordance with an exemplary embodiment. As shown in FIG. 2A, the metal segments of the first bond pad 201 on the first semiconductor device (not shown for illustrative convenience) include columns of segments 203, the columns being staggered with respect to each other. The metal segments of the second bond pad 205 on the second semiconductor device (not shown for illustrative convenience) include rows of segments 207, the rows being staggered with respect to each other, as illustrated in FIG. 2B. As shown, the columns of segments 203 are perpendicular to the rows of segments 207. However, the angle could range from 45° to 90°. Also shown in FIGS. 2A and 2B is a dielectric 209 surrounding the first and second bond pads. The dielectric layers may, for example, be low temperature inorganic dielectric layers. Further the bond pads may be patterned in the dielectric layers by a copper damascene process. FIG. 2C illustrates the overlay of the metal segments of the first bond pad 201 on the metal segments of the second bond pad 205. The two semiconductor devices may be bonded together through the dielectric layers, e.g. by chemical or plasma activated fusion bonding. In addition, as the bond pads may be copper, the devices may be bonded through copper-to-copper bonds formed after annealing.
  • FIG. 3 illustrates a cross-sectional view of a 3D integration 301 for F2F bonding of two semiconductor devices with the metal segments of bond pads having a pattern of perpendicular lines. The device 301 includes top die 303 and bottom die 305, each of which includes a dielectric layer 307. Dies 303 and 305 are joined together along bond plane 309. Also shown are the top and bottom metal routing layers, 311 and 313, respectively, which are connected to the top and bottom bond pads, 315 and 317, respectively, through vias 319. As illustrated, the bond pads 315 and 317 are in good contact with each other along the bond plane 309. (In FIG. 3, the top and bottom dies only show the top pad in a BEOL stack for illustration convenience. There may be multiple metal/dielectric layers in the BEOL stack.)
  • FIGS. 4A through 4C illustrate the metal segments of bond pads having a pattern of lines on islands, in accordance with an exemplary embodiment. As shown in FIG. 4A, the metal segments of the first bond pad 401 on the first semiconductor device (not shown for illustrative convenience) include columns and rows of metal islands 403. Adverting to FIG. 4B, the metal segments of the second bond pad 405 on the second semiconductor device (not shown for illustrative convenience) include lines of diagonal segments 407, the lines being staggered with respect to each other. As shown, the lines of segments 407 are at a 45° angle to the columns and rows of metal islands 403. However, the angle could range from 45° to 90°. A dielectric 409 surrounds the first and second bond pads. The dielectric layers may, for example, be low temperature inorganic dielectric layers. Further the bond pads may be patterned in the dielectric layers by a copper damascene process. FIG. 4C illustrates the overlay of the metal segments of the first bond pad 401 on the metal segments of the second bond pad 405. The two semiconductor devices may be bonded together through the dielectric layers, e.g. by chemical or plasma activated fusion bonding. In addition, as the bond pads may be copper, the devices may be bonded through copper-to-copper bonds formed after annealing.
  • FIGS. 5A through 5C illustrate the metal segments of bond pads having a pattern of an alternating cross-hatch, in accordance with an exemplary embodiment. As shown in FIG. 5A, the metal segments of first bond pad 501 on the first semiconductor device (not shown for illustrative convenience) include a first grid 503 of rows and columns. As illustrated in FIG. 5B, the metal segments of the second bond pad 505 on the second semiconductor device (not shown for illustrative convenience) include a second grid 507 of rows and columns. As shown, the second grid 507 of rows and columns is at a 45 degree angle with respect to the first grid 503 of rows and columns. A dielectric 509 surrounds the first and second bond pads. The dielectric layers may, for example, be low temperature inorganic dielectric layers. Further the bond pads may be patterned in the dielectric layers by a copper damascene process. FIG. 5C illustrates the overlay of the metal segments of the first bond pad 501 on the metal segments of the second bond pad 505. The two semiconductor devices may be bonded together through the dielectric layers, e.g. by chemical or plasma activated fusion bonding. In addition, as the bond pads may be copper, the devices may be bonded through copper-to-copper bonds formed after annealing.
  • As described above and shown in FIGS. 2A through 2C, the metal segments of the bond pads on the semiconductor devices may have a pattern of perpendicular lines. Alternatively, as described above and shown in FIGS. 4A through 4C, the metal segments of bond pads on the semiconductor devices may have a pattern of lines on islands. Further, as described above and shown in FIGS. 5A through 5C, the metal segments of bond pads on the semiconductor devices may have a pattern of an alternating cross-hatch. However, other configurations in which the bond pads meet in small areas, rather than the entire pad are possible as well. In addition, although not shown for illustrative convenience, the first bond pad may be larger than the second bond pad.
  • Still other aspects, features, and technical effects will be readily apparent to those skilled in this art from the following detailed description, wherein preferred embodiments are shown and described, simply by way of illustration of the best mode contemplated. The disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
  • The embodiments of the present disclosure can achieve several technical effects, such as reduced dishing resulting in improved interconnect contacts for ICs or MEMS implemented with existing dual damascene techniques. Devices formed in accordance with embodiments of the present disclosure are useful in various industrial applications, e.g., microprocessors, smart phones, mobile phones, cellular handsets, set-top boxes, DVD recorders and players, automotive navigation, printers and peripherals, networking and telecom equipment, gaming systems, and digital cameras. The present disclosure therefore has industrial applicability in any of various types of highly integrated semiconductor devices.
  • In the preceding description, the present disclosure is described with reference to specifically exemplary embodiments thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the present disclosure, as set forth in the claims. The specification and drawings are, accordingly, to be regarded as illustrative and not as restrictive. It is understood that the present disclosure is capable of using various other combinations and embodiments and is capable of any changes or modifications within the scope of the inventive concept as expressed herein.

Claims (20)

What is claimed is:
1. A device comprising:
a first and a second semiconductor device having first and second bond pads, respectively, bonded together through the first and second bond pads, the first and second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having a configuration rotated with respect to a configuration of the metal segments of the second bond pad,
wherein the metal segments of the first bond pad on the first semiconductor device comprise only columns of segments, the columns being staggered with respect to each other, and the metal segments of the second bond pad on the second semiconductor device comprise only rows of segments, the rows being staggered with respect to each other, wherein the columns of segments are perpendicular to the rows of segments.
2. The device according to claim 1, wherein the first bond pad on the first semiconductor device is larger than the second bond pad on the second semiconductor device.
3. The device according to claim 1, wherein the first and second bond pads each have plural copper segments.
4. The device according to claim 1, wherein the first configuration is rotated at a 45 degree to a 90 degree angle with respect to the second configuration.
5. The device according to claim 1, wherein the first and second semiconductor devices are bonded together through dielectric layers surrounding the first and second bond pads by a chemical or plasma activated fusion bonding process.
6. The device according to claim 1, wherein the first and second semiconductor devices include a low temperature inorganic layer around the metal segments, and wherein the first and second bond pads and the low temperature inorganic layer are planarized on the first and second semiconductor devices, respectively, by Chemical Machine Polishing (CMP) before bonding together.
7. The device according to claim 1, wherein the bond pads are patterned and the first and second semiconductor devices are bonded together through copper-to-copper bonds in the patterned bond pads.
8. A device comprising:
a first and a second semiconductor device having first and second bond pads, respectively, bonded together through the first and second bond pads, the first and second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having a configuration rotated with respect to a configuration of the metal segments of the second bond pad,
wherein the metal segments of the first bond pad on the first semiconductor device comprise only columns and rows of metal islands, and the metal segments of the second bond pad on the second semiconductor device comprise only lines of segments, the lines being staggered with respect to each other, wherein the lines of segments are at a 45 degree angle to the columns and rows of metal islands.
9. The device according to claim 8, wherein the first bond pad on the first semiconductor device is larger than the second bond pad on the second semiconductor device.
10. The device according to claim 8, wherein the first and second bond pads each have plural copper segments.
11. The device according to claim 8, wherein the first configuration is rotated at a 45 degree to a 90 degree angle with respect to the second configuration.
12. The device according to claim 8, wherein the first and second semiconductor devices are bonded together through dielectric layers surrounding the first and second bond pads by a chemical or plasma activated fusion bonding process.
13. The device according to claim 8, wherein the first and second semiconductor devices include a low temperature inorganic layer around the metal segments, and wherein the first and second bond pads and the low temperature inorganic layer are planarized on the first and second semiconductor devices, respectively, by Chemical Machine Polishing (CMP) before bonding together.
14. A device comprising:
a first and a second semiconductor device having first and second bond pads, respectively, bonded together through the first and second bond pads, the first and second bond pads each having plural metal segments, the metal segments of the first bond pad having a configuration different from a configuration of the metal segments of the second bond pad or having a configuration rotated with respect to a configuration of the metal segments of the second bond pad,
wherein the metal segments of first bond pad on the first semiconductor device comprise a first grid of rows and columns, and the metal segments of the second bond pad on the second semiconductor device comprise a second grid of rows and columns, wherein the second grid of rows and columns is at a 45 degree angle with respect to the first grid of rows and columns.
15. The device according to claim 14, wherein the first bond pad on the first semiconductor device is larger than the second bond pad on the second semiconductor device.
16. The device according to claim 14, wherein the first and second bond pads each have plural copper segments.
17. The device according to claim 14, wherein the first configuration is rotated at a 45 degree to a 90 degree angle with respect to the second configuration.
18. The device according to claim 14, wherein the first and second semiconductor devices are bonded together through dielectric layers surrounding the first and second bond pads by a chemical or plasma activated fusion bonding process.
19. The device according to claim 14, wherein the first and second semiconductor devices include a low temperature inorganic layer around the metal segments, and wherein the first and second bond pads and the low temperature inorganic layer are planarized on the first and second semiconductor devices, respectively, by Chemical Machine Polishing (CMP) before bonding together.
20. The device according to claim 14, wherein the bond pads are patterned and the first and second semiconductor devices are bonded together through copper-to-copper bonds in the patterned bond pads.
US15/296,770 2014-10-16 2016-10-18 Bond pad structure for low temperature flip chip bonding Abandoned US20170040274A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/296,770 US20170040274A1 (en) 2014-10-16 2016-10-18 Bond pad structure for low temperature flip chip bonding

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/515,969 US9536848B2 (en) 2014-10-16 2014-10-16 Bond pad structure for low temperature flip chip bonding
US15/296,770 US20170040274A1 (en) 2014-10-16 2016-10-18 Bond pad structure for low temperature flip chip bonding

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/515,969 Division US9536848B2 (en) 2014-10-16 2014-10-16 Bond pad structure for low temperature flip chip bonding

Publications (1)

Publication Number Publication Date
US20170040274A1 true US20170040274A1 (en) 2017-02-09

Family

ID=55749656

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/515,969 Active US9536848B2 (en) 2014-10-16 2014-10-16 Bond pad structure for low temperature flip chip bonding
US15/296,770 Abandoned US20170040274A1 (en) 2014-10-16 2016-10-18 Bond pad structure for low temperature flip chip bonding

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/515,969 Active US9536848B2 (en) 2014-10-16 2014-10-16 Bond pad structure for low temperature flip chip bonding

Country Status (3)

Country Link
US (2) US9536848B2 (en)
CN (1) CN105529279B (en)
TW (1) TWI578473B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109950161A (en) * 2019-03-20 2019-06-28 德淮半导体有限公司 A kind of production method of semiconductor structure
CN112103261A (en) * 2020-11-10 2020-12-18 浙江里阳半导体有限公司 Semiconductor packaging structure and manufacturing method thereof

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
KR20150139190A (en) * 2014-06-03 2015-12-11 삼성전기주식회사 Device and device package
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10672745B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D processor
US10672744B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D compute circuit with high density Z-axis interconnects
KR102647767B1 (en) 2016-10-07 2024-03-13 엑셀시스 코포레이션 Direct-bonded native interconnects and active base die
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10580757B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Face-to-face mounted IC dies with orthogonal top interconnect layers
US10593667B2 (en) 2016-10-07 2020-03-17 Xcelsis Corporation 3D chip with shielded clock lines
US10600691B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing power interconnect layer
US10672743B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10586786B2 (en) 2016-10-07 2020-03-10 Xcelsis Corporation 3D chip sharing clock interconnect layer
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10600780B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing data bus circuit
US10600735B2 (en) 2016-10-07 2020-03-24 Xcelsis Corporation 3D chip sharing data bus
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN117878055A (en) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 Treatment of stacked substrates
TWI782939B (en) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 Bonded structures with integrated passive component
TWI738947B (en) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 Bonded structures and method of forming the same
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
CN111418060A (en) * 2017-10-20 2020-07-14 艾克瑟尔西斯公司 Face-to-face mounted IC die with orthogonal top interconnect layer
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
TW202013708A (en) * 2018-06-05 2020-04-01 日商索尼半導體解決方案公司 Solid-state imaging device, method for producing solid-state imaging device, and electronic device
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US10651157B1 (en) * 2018-12-07 2020-05-12 Nanya Technology Corporation Semiconductor device and manufacturing method thereof
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (en) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 junction structure
EP3717259A1 (en) 2019-02-06 2020-10-07 Hewlett-Packard Development Company, L.P. Fluid ejection devices including contact pads
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
JP2021048204A (en) * 2019-09-17 2021-03-25 キオクシア株式会社 Semiconductor device and manufacturing method thereof
US11456328B2 (en) 2019-10-09 2022-09-27 Omnivision Technologies, Inc. Stack chip air gap heat insulator
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11599299B2 (en) 2019-11-19 2023-03-07 Invensas Llc 3D memory circuit
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN115088068A (en) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
EP3900028A4 (en) * 2020-01-07 2022-08-03 Yangtze Memory Technologies Co., Ltd. Metal-dielectric bonding method and structure
US11800725B1 (en) * 2020-01-20 2023-10-24 Monolithic 3D Inc. 3D semiconductor devices and structures with electronic circuit units
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
WO2022047587A1 (en) * 2020-09-02 2022-03-10 Vuereal Inc. Low temperature bonding of microdevice integration into a system substrate
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11462497B2 (en) * 2020-10-14 2022-10-04 Western Digital Technologies, Inc. Semiconductor device including coupled bond pads having differing numbers of pad legs
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5633479A (en) * 1994-07-26 1997-05-27 Kabushiki Kaisha Toshiba Multilayer wiring structure for attaining high-speed signal propagation
US5686762A (en) * 1995-12-21 1997-11-11 Micron Technology, Inc. Semiconductor device with improved bond pads
US5739587A (en) * 1995-02-21 1998-04-14 Seiko Epson Corporation Semiconductor device having a multi-latered wiring structure
US6107644A (en) * 1997-01-24 2000-08-22 Rohm Co., Ltd. Semiconductor light emitting device
US6184478B1 (en) * 1998-09-30 2001-02-06 Adtec Corporation Printed wiring device with base layer having a grid pattern
US6236114B1 (en) * 1999-05-06 2001-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad structure
US6444295B1 (en) * 1998-12-29 2002-09-03 Industrial Technology Research Institute Method for improving integrated circuits bonding firmness
US6448641B2 (en) * 1999-03-19 2002-09-10 Industrial Technology Research Institute Low-capacitance bonding pad for semiconductor device
US6503820B1 (en) * 1999-10-04 2003-01-07 Koninklijke Philips Electronics N.V. Die pad crack absorption system and method for integrated circuit chip fabrication
US6552433B1 (en) * 2001-05-17 2003-04-22 Taiwan Semiconductor Manufacturing Company Bond pads using mesh pattern via structures for protecting devices/circuits under I/O pads
US20030148590A1 (en) * 2002-02-06 2003-08-07 Kellar Scot A. Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20040113238A1 (en) * 2002-09-03 2004-06-17 Masahiko Hasunuma Semiconductor device
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US20050064693A1 (en) * 2001-09-04 2005-03-24 Chung Liu Novel bonding pad structure to minimize IMD cracking
US20050263884A1 (en) * 2004-05-27 2005-12-01 Canon Kabushiki Kaisha Multilayer printed wiring board and multilayer printed circuit board
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
US20060108685A1 (en) * 2004-11-22 2006-05-25 Au Optronics Corp. Integrated circuit package and assembly thereof
US20060246268A1 (en) * 2005-04-28 2006-11-02 Nitto Denko Corporation Printed circuit board
US20060278331A1 (en) * 2005-06-14 2006-12-14 Roger Dugas Membrane-based chip tooling
US7790594B2 (en) * 2007-12-04 2010-09-07 Panasonic Corporation Electronic part and method of producing the same
US20100252934A1 (en) * 2009-04-07 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Three-Dimensional Semiconductor Architecture
US20100314149A1 (en) * 2009-06-10 2010-12-16 Medtronic, Inc. Hermetically-sealed electrical circuit apparatus
US20110042808A1 (en) * 2008-05-09 2011-02-24 Kentaro Kumazawa Semiconductor device and method for manufacturing same
WO2012161044A1 (en) * 2011-05-24 2012-11-29 ソニー株式会社 Semiconductor device
US20130087930A1 (en) * 2011-10-05 2013-04-11 Dirk Meinhold Semiconductor structure and method for making same
US8431436B1 (en) * 2011-11-03 2013-04-30 International Business Machines Corporation Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
US20130270328A1 (en) * 2010-07-21 2013-10-17 Commissariat A L'energie Atomique Et Aux Ene Alt Process for direct bonding two elements comprising copper portions and portions of dielectric materials
US20140008788A1 (en) * 2012-07-09 2014-01-09 Qualcomm Incorporated Non-circular under bump metallization (ubm) structure, orientation of non-circular ubm structure and trace orientation to inhibit peeling and/or cracking
US20140127904A1 (en) * 2012-11-07 2014-05-08 International Business Machines Corporation Enhanced capture pads for through semiconductor vias
US8802538B1 (en) * 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US8901736B2 (en) * 2010-05-28 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Strength of micro-bump joints
US20140374877A1 (en) * 2013-06-21 2014-12-25 Altera Corporation Integrated Circuits With On-Die Decoupling Capacitors

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8053900B2 (en) * 2008-10-21 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias (TSVs) electrically connected to a bond pad design with reduced dishing effect

Patent Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5633479A (en) * 1994-07-26 1997-05-27 Kabushiki Kaisha Toshiba Multilayer wiring structure for attaining high-speed signal propagation
US5739587A (en) * 1995-02-21 1998-04-14 Seiko Epson Corporation Semiconductor device having a multi-latered wiring structure
US5686762A (en) * 1995-12-21 1997-11-11 Micron Technology, Inc. Semiconductor device with improved bond pads
US6107644A (en) * 1997-01-24 2000-08-22 Rohm Co., Ltd. Semiconductor light emitting device
US6184478B1 (en) * 1998-09-30 2001-02-06 Adtec Corporation Printed wiring device with base layer having a grid pattern
US6444295B1 (en) * 1998-12-29 2002-09-03 Industrial Technology Research Institute Method for improving integrated circuits bonding firmness
US20060017162A1 (en) * 1999-03-12 2006-01-26 Shoji Seta Semiconductor device and manufacturing method of the same
US6448641B2 (en) * 1999-03-19 2002-09-10 Industrial Technology Research Institute Low-capacitance bonding pad for semiconductor device
US6236114B1 (en) * 1999-05-06 2001-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad structure
US6503820B1 (en) * 1999-10-04 2003-01-07 Koninklijke Philips Electronics N.V. Die pad crack absorption system and method for integrated circuit chip fabrication
US6552433B1 (en) * 2001-05-17 2003-04-22 Taiwan Semiconductor Manufacturing Company Bond pads using mesh pattern via structures for protecting devices/circuits under I/O pads
US20050064693A1 (en) * 2001-09-04 2005-03-24 Chung Liu Novel bonding pad structure to minimize IMD cracking
US20030148590A1 (en) * 2002-02-06 2003-08-07 Kellar Scot A. Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US20040113238A1 (en) * 2002-09-03 2004-06-17 Masahiko Hasunuma Semiconductor device
US20040262772A1 (en) * 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
US20050263884A1 (en) * 2004-05-27 2005-12-01 Canon Kabushiki Kaisha Multilayer printed wiring board and multilayer printed circuit board
US20060108685A1 (en) * 2004-11-22 2006-05-25 Au Optronics Corp. Integrated circuit package and assembly thereof
US20060246268A1 (en) * 2005-04-28 2006-11-02 Nitto Denko Corporation Printed circuit board
US20060278331A1 (en) * 2005-06-14 2006-12-14 Roger Dugas Membrane-based chip tooling
US7790594B2 (en) * 2007-12-04 2010-09-07 Panasonic Corporation Electronic part and method of producing the same
US20110042808A1 (en) * 2008-05-09 2011-02-24 Kentaro Kumazawa Semiconductor device and method for manufacturing same
US20100252934A1 (en) * 2009-04-07 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Three-Dimensional Semiconductor Architecture
US20100314149A1 (en) * 2009-06-10 2010-12-16 Medtronic, Inc. Hermetically-sealed electrical circuit apparatus
US8901736B2 (en) * 2010-05-28 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Strength of micro-bump joints
US20130270328A1 (en) * 2010-07-21 2013-10-17 Commissariat A L'energie Atomique Et Aux Ene Alt Process for direct bonding two elements comprising copper portions and portions of dielectric materials
US20140145338A1 (en) * 2011-05-24 2014-05-29 Sony Corporation Semiconductor device
WO2012161044A1 (en) * 2011-05-24 2012-11-29 ソニー株式会社 Semiconductor device
US20130087930A1 (en) * 2011-10-05 2013-04-11 Dirk Meinhold Semiconductor structure and method for making same
US8431436B1 (en) * 2011-11-03 2013-04-30 International Business Machines Corporation Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
US20140008788A1 (en) * 2012-07-09 2014-01-09 Qualcomm Incorporated Non-circular under bump metallization (ubm) structure, orientation of non-circular ubm structure and trace orientation to inhibit peeling and/or cracking
US20140127904A1 (en) * 2012-11-07 2014-05-08 International Business Machines Corporation Enhanced capture pads for through semiconductor vias
US8802538B1 (en) * 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US20140374877A1 (en) * 2013-06-21 2014-12-25 Altera Corporation Integrated Circuits With On-Die Decoupling Capacitors

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109950161A (en) * 2019-03-20 2019-06-28 德淮半导体有限公司 A kind of production method of semiconductor structure
CN112103261A (en) * 2020-11-10 2020-12-18 浙江里阳半导体有限公司 Semiconductor packaging structure and manufacturing method thereof

Also Published As

Publication number Publication date
CN105529279A (en) 2016-04-27
CN105529279B (en) 2018-07-31
TW201616626A (en) 2016-05-01
TWI578473B (en) 2017-04-11
US9536848B2 (en) 2017-01-03
US20160111386A1 (en) 2016-04-21

Similar Documents

Publication Publication Date Title
US9536848B2 (en) Bond pad structure for low temperature flip chip bonding
US11600551B2 (en) Through-silicon via with low-K dielectric liner
KR102408487B1 (en) Conductive barrier direct hybrid junction
KR102112640B1 (en) Packages with si-substrate-free interposer and method forming same
US9312225B2 (en) Bump structure for stacked dies
US8158456B2 (en) Method of forming stacked dies
US10163756B2 (en) Isolation structure for stacked dies
US8466059B2 (en) Multi-layer interconnect structure for stacked dies
US8436448B2 (en) Through-silicon via with air gap
US20170186715A1 (en) Bond Structures and the Methods of Forming the Same
US20150137361A1 (en) Through Silicon Via Structure and Method
US9818668B2 (en) Thermal vias disposed in a substrate without a liner layer
US9559061B2 (en) Substrate-to-carrier adhesion without mechanical adhesion between abutting surfaces thereof
US8723292B2 (en) Silicon interposer including backside inductor
TWI503906B (en) Stress reduction apparatus
US20240096830A1 (en) Adding Sealing Material to Wafer edge for Wafer Bonding

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ENGLAND, LUKE;KLEWER, CHRISTIAN;REEL/FRAME:040055/0140

Effective date: 20140919

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117