US3425879A - Method of making shaped epitaxial deposits - Google Patents

Method of making shaped epitaxial deposits Download PDF

Info

Publication number
US3425879A
US3425879A US504977A US3425879DA US3425879A US 3425879 A US3425879 A US 3425879A US 504977 A US504977 A US 504977A US 3425879D A US3425879D A US 3425879DA US 3425879 A US3425879 A US 3425879A
Authority
US
United States
Prior art keywords
substrate
growth
regions
pockets
semiconductor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US504977A
Inventor
Don W Shaw
Edward W Mehal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of US3425879A publication Critical patent/US3425879A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0647Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor
    • H01L27/0652Vertical bipolar transistor in combination with diodes, or capacitors, or resistors
    • H01L27/0658Vertical bipolar transistor in combination with resistors or capacitors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02395Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8222Bipolar technology
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03GCONTROL OF AMPLIFICATION
    • H03G3/00Gain control in amplifiers or frequency changers without distortion of the input signal
    • H03G3/20Automatic control
    • H03G3/30Automatic control in amplifiers having semiconductor devices
    • H03G3/3005Automatic control in amplifiers having semiconductor devices in amplifiers suitable for low-frequencies, e.g. audio amplifiers
    • H03G3/3026Automatic control in amplifiers having semiconductor devices in amplifiers suitable for low-frequencies, e.g. audio amplifiers the gain being discontinuously variable, e.g. controlled by switching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/017Clean surfaces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/026Deposition thru hole in mask
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/029Differential crystal growth rates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/043Dual dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/049Equivalence and options
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/051Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/085Isolated-integrated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/106Masks, special
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/115Orientation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/148Silicon carbide

Definitions

  • semi-insulating substrates can be employed; pockets formed therein; and isolated regions of semiconductor material having properties desirable for etfecting semiconductor Components can be deposted, or grown, therein and achieve coplanarity between the deposited semiconductor material and the substrate With minimal process control.
  • minimal process control is necessary is because the pocket into which the semiconductor material is deposited will expose only the slowgrowing planes when the pocket has been filled, such that a co-planar facet is etfected which eifectively terminates growth because of its slow rate of further deposition.
  • Other specific embodiments disclose the embedment of dielectric layers and the creation of electrically isolating regions about the deposited semiconductor material to effect excellent properties for formation of semiconductor Components Within the epitaxially deposted semiconductor material.
  • This invention relates to a method of forming shaped epitaxial deposits of crystalline semiconductor material. More particularly, it relates to a method of forming epitaXial deposits upon a substrate of semiconductor material, the method utilizing preferred growth planes of the semiconductor substrate to produce various geometrically shaped epitaxial deposits. These geometrically shaped epitaxial deposits may then be used, for example, in the formation of electrically isolated Components in an integrated circut, or for electrical interconnections or posts between various layers or levels of integrated circuitry.
  • monocrystalline semiconductor material is often epitaxially formed on selected portions of a monocrystalline substrate.
  • epitaxially deposited regions may form functional parts of an individual Component (as the emitter of a transistor), or they may form regions in which devices are formed (as dilfused Components into epitaxial layers), or they may be utilized in electrically isolating components in an integrated circuit.
  • the size, shape, Composition and crystalline orientation of these epitaxially formed regions Will Vary, therefore, depending upon the particular function they perform.
  • Epitaxial growth or formation of crystalline semiconductor material as an extension of the crystalline lattice of the substrate occurs in certain preferred crystallographic directions.
  • epitaxial growth has generally been performed without regard to the relative rates of growth in these crystallographic directions. It has been found, however, that utilizng the fact that the rate of growth in a preferred direction is frequently so much faster than the rate of growth in other directions, crystalline epitaxial growth can be substantially restricted to growth in a single direction by selection of the appropriate substrate crystallographic orientation.
  • fast growth planes of crystalline semiconductors are utilized as substrate surfaces to selectively form shaped epitaxial deposits. Since the semiconductor material grows relatively faster in particular planes, a fast-growing plane may be exposed and epitaxial material formed thereon. When the exposed plane is the fast growth plane, growth in other directions is relatively slow in comparison to growth in the direction normal to this plane, consequently, epitaxial growth is substantially in one direction. Accordingly, semiconductor material can be regrown into a hole formed in a substrate, and the growth can be made to occur substantially from the side of the hole (lateral growth), resulting in a planar surface. In still another embodiment of the invention, the desired geometrical configuraton may be exposed in the fast growth plane, and a pillar or post grown thereon.
  • the post grows only normal to the exposed surface and is limited by the geometrcal boundaries of the exposed surface.
  • the geometrical configuration of the exposed surface therefore determines the geometrical configuration of the epitaxial deposit. Accordingly, a shaped window may be cut in a suitable mask to expose a fast-growing surface. When the window is properly shaped, all sides of the post will be parallel to Slow-growing planes, thus growth is normal to the exposed surface and little spreading over the mask occurs. Conversely, when a Slow-growing plane is exposed through a mask and the sides of the epitaxial deposit are parallel to fast-growing planes, growth normal to the exposed surface is slow.
  • semiconductor material can be regrown into a hole formed in a substrate by lateral growth from the side of the hole to embed a foreign material, for example, silicon oxide.
  • FIGURE 1 is a perspective view partially in section of a semiconductor wafer with a recess formed in one surface thereof;
  • FIGURE 2 is a perspective view partially in section of the wafer of FIGURE 1 with the recess filled in accordance with the invention
  • FIGURE 3 is a perspeetive view partially in section of a semiconductor wafer wvith a mask on one surface thereof having a window therein;
  • FIGURE 4 is a perspective view partially in section of the wafer of FIGURE 3 with a shaped deposit formed in the window;
  • FIGURES 5-8 are pictorial Views in section of the initial steps in the fabrication of another embodiment of the present invention.
  • FIGURE 9 is a schematic representation of one form of apparatus utilized in the fabrication of the embodiment described lwith reference to FIGURES 5-8;
  • FIGURES 10-13 are sectional views of alternative steps in the fabrication of isolated integrated circuits according to the process of the invention.
  • FIGURE 14 shows the fabrication of circuit components in isolated semiconductor regions, the Components being part of an integrated circuit
  • FIGURE 15 is an isometric pictorial view of a completed integrated circuit
  • FIGURE 16 is a schematic diagram of the integrated circuit contained within the device of FIGURE 15.
  • the rate of formation of epitaxial deposits of Group IIIA-VA semiconductors on Group IIIA-VA substrates as well as Group IV semiconductors or Group IV substrates, is dependent upon the crystallographic plane of the substrate surface upon which epitaxial growth takes place.
  • the rate of epitaxial growth on a ⁇ 1r00 ⁇ gallium arsenide surface is about 2 to 5 times as fast as the rate of growth on a ⁇ 111 ⁇ B surface under certain vapor deposition conditions.
  • the epitaxial deposit When epitaxial growth is restricted to a semiconductor substrate surface which is exposed in the ⁇ l00 ⁇ plane, the epitaxial deposit is formed as a crystalline extension of the lattice of the substrate, and growth is substantially in the [100] direction (normal to the ⁇ 100 ⁇ plane.)
  • This selective growth results from the formation of crystalline facets parallel to Slow-growing planes which have a high degree of crystal perfection and planarity. Since nucleation sites are relatively unavailable on the facets, epitaxial growth thereon is very slow.
  • FIG- URES l and 2 One embodiment of the invention is shown in FIG- URES l and 2.
  • a crystalline semiconductor substrate 10 is shown.
  • the substrate may be of any suitable crystalline semiconductor material with known fast and Slow growing planes such as monocrystalline semiinsulating gallium arsenide.
  • the surface of the substrate 10 is covered with a suitable protective mask 11, as silicon oxide, having a window 12 therein.
  • the substrate is oriented so that the surface exposed through the window is parallel to the ⁇ 111 ⁇ plane.
  • the exposed surface is etched to produce a hole having sides 13 parallel to the ⁇ 100 ⁇ plane and a bottom parallel to the ⁇ 111 ⁇ plane.
  • the hole in the substrate of FIGURE 1 is then refilled with semiconductor material 16 as shown in FIGURE 2.
  • the semiconductor material 16 may be formed by any suitable vapor phase epitaxial deposition process such as that described by Finch and Mehal, Preparation of GaAsxP1 x by Vapor Phase Reaction, Journal of the Electrochemical Society, vol. 111, No. 7, July 1964. Since the hole is bounded by 100 surfaces on the sides and a 111 surface on the bottom, and since the 1100 surface is the fast growing plane as compared to the lll surface, growth of the epitaxial deposit 16 occurs substantially from the sides of the hole.
  • the deposit 16 therefore, is formed by epitaxial extension of the crystalline lattice of the substrate laterally from the sides of the hole almost entirely in the direction normal to the surface.
  • the surface 15 of the deposit 16 is parallel to the 111 plane.
  • the surface deposit thus formed is co-planar and coextensive with the substrate surface and has a Smooth surface which is suitable for the fabrication of semiconductor devices.
  • growth may be made to occur on the bottom of the hole rather than the sides by orienting the substrate so that the sides of the hole are parallel to the 111 plane, and the bottom of the hole parallel to the 100 plane.
  • FIG- URES 3 and 4 Another embodiment of the invention is shown in FIG- URES 3 and 4.
  • a semiconductor substrate 30 is shown with a suitable masking material 31, as silicon oxide, thereon.
  • a portion of the masking material 31 is removed leaving a window 32 which exposes a selected portion of the surface 33 of wafer 30.
  • Wafer 30 is suitably crystallographically oriented so that surface 33 is the fast growth plane of the substrate 30 as described above.
  • An epitaxial deposit of semiconductor material on the exposed surface 33 takes the geometrical configuration of the window 32.
  • the shape of the window is such that the sides of the deposit formed will be parallel to Slow-growing planes. Since the preferred growth direction is normal to the plane exposed through window 32, the epitaxial deposit forms a column or pillar extending upwardly from the substrate 30, as shown in FIGURE 4.
  • This column or post of semiconductor material may advantageously be used as a vertical interconnection between two layers of semiconducting material in a monocrystalline block wherein two horizontal layers of material are separated by and contiguous with a layer of semi-insulating material.
  • wafer 30 may be an N-type wafer of gallium arsenide with a silicon oxide mask 31' thereon.
  • the surface 33 is parallel to the ⁇ 1l0 ⁇ and the window 32 is diamond-shaped.
  • the epitaxial deposit 34 grows vertically in the direction normal to the plane.
  • the Sides 35 of the deposit are parallel to ⁇ 1l1 ⁇ planes, thus a diamond-shaped column or post 34 is formed.
  • the silicon oxide layer 31 may be removed and semi-insulating gallium arsenide formed on the exposed surface of wafer 30 and surrounding the vertical post 34. Thereafter a second layer of N-type gallium arsenide may be deposited over the surface of the semiinsulating layer (not shown) and in contact with the top surface of vertical nterconnecting post 34.
  • the second epitaxial layer of N-type gallium arsenide may advantageously be electrically interconnected with the substrate 30 by way of vertical interconnection 34, while the two N-type layers are separated by, but contiguous with, an intermediate layer of semi-insulating gallium arsenide.
  • shaped epitaxial deposit 34 has been described as a vertical interconnection post, the method described could also be used to form larger epitaxial deposits.
  • a semiinsulating material such as semi-insulating gallium arsenide as the substrate 30, a plurality of shaped epitaxial deposits 34 may be formed thereon to produce a monolithic semiconnductor block with electrically isolated regions of semiconductor material thereon suitable for making various integrated or hybrid circuits.
  • a hole or pocket may be formed in a substrate or material of one Composition, a portion of the hole selectively covered with a foreign material of another Composition, and the hole or pocket refilled by epitaxially growing from the exposed portions of the hole the same material of the substrate, to bury the foreign material within the pocket.
  • the material of the substrate may be monocrystalline gallium arsenide
  • the foreign material may be metal, oxide, or even another type of semiconductor material as silicon or germanium.
  • Such a process may be utilized in fabricating an integrated circuit utilizing a dielectric as the primary means for electrically isolating the Components from one another. Accordingly, pockets or -holes are selectively etched in a substrate of monocrystalline semiconductor material,
  • a layer of dielectric (insulating) material is then sele'ctively located at the base of each of the pockets, a wall (or the walls) of the pocket remaining exposed monocrystalline material.
  • Single crystal semiconductor material of desired conductivity and impurity concentration is then epitaxially grown within the pockets over the layer of dielectric material, the epitaxial ⁇ growth proceeding from the exposed walls of the pockets.
  • T-he redeposited regions of semiconductor material are then electrically isolated at their base by the dielectric material. Isolation of the remaining portion of the redeposited regions (the portion adjacent the exposed walls) is then achieved by ring diffusion or etching, for example.
  • a slice of single crystal semiconductor material in this example silicon, is used as the starting material. This slice may be about one inch in diameter and ten mils thick. A small segment of the slice may be represented as a chip or wafer 40, which represents the segment occupied by one integrated circuit. Actually, the slice would contain dozens or even hundreds of the segments such as the wafer 40.
  • oxide layer 41 is then formed upon the upper surface of the wafer 40, as depicted in FIGURE 5.
  • the oxide layer which might be silicon oxide for example, should preferably be of a thickness in excess of 10,000 A., and may be formed by any conventional technique. For example it may be thermally grown by heating the entire Structure to a temperature of approximately 1300 ⁇ C. in the presence of oxygen.
  • An alternative method of forming the silicon oxide layer 41 involves a technique of deposition rather than the thermal growth described. Accordingly, oxygen and tetraethoxysilane are reacted in vapor form at 250-500 C. in the presence of the semiconductor wafer 40.
  • the reaction mixture is obtained by bubbling oxygen through liquid tetraethoxysilane at room temperature, then combning the gaseous mixture with excess oxygen and passing it into a furnace tube containing the wafer 40 where the oxidation takes place.
  • the silicon oxide thereby produced is deposited upon the upper surface of wafer 40.
  • Typical reaction conditions for such a process involves, by way of example, a flow rate of one cubic foot of oxygen per hour into the liquid tetraethoxysilane and a combination of the gaseous mixture with the oxygen also at a rate of one cubic foot per hour. Passing the combination mixture into a two inch diameter quartz furnace tube at approximately 500 C. results in silicon oxide deposits at rates from 1300-1400 A. per hour upon the surface of the slice 40.
  • select portions 38, 39, 42-44 of the oxide layer 41 are removed so as to expose corresponding portions of the semiconductor wafer 10l within the holes or apertures 38, 39, 42-44.
  • This removal may be accomplshed by covering the oxide layer 41 with photoresist, selectively masking; exposing to light, and developing the photoresist, then etching away the unmasked areas -of the oxide.
  • the oxide mask shown in FIGURE 5 is produced directly upon the surface of the wafer 40, and limits the area of the semiconductor substrate that is to be affected by the subsequent etching step.
  • the top surface of the masked slice 40 is subjected to a Selective etch which removes a given amount of semiconductor material beneath the windows 38, 39, 42- 44.
  • This removal may be accomplished by a conventional solution etch, or alternatively, by a conventional vapor etch, the etchant being of a Composition which removes the exposed semiconductor material within the windows while substantially unaffecting the oxide mask 41. Consequently pockets 52' and 53' will be formed within the substrate 40 as shown in FIGURE 6, where the desired amount of semiconductor material has been removed beneath the windows 38 and 39 down to the lines 52 and 53, respectively.
  • silicon oxide layers 45 and 46 are formed within the pockets 52' and 53', for example, by any suitable technique, as thermal oxidation or the deposition technique, so as to cover the walls as well as the bottom or base of the pockets, as shown in FIGURE 6.
  • any suitable technique as thermal oxidation or the deposition technique
  • the portions of the oxide layers and 46 which cover the walls of the pockets 52' and 53' are selectively removed, so that the only oxide that remains within these pockets are the portions of oxide layer 45 and 46 which cover the bottom of the pockets 52' and 53', as illustrated in FIGURE 7.
  • apparatus for etching and redepositing in accordance with this process comprises a reactor in the form of a tube furnace 60 having heating coils 61.
  • the furnace may be of a horizontal or vertical type, may be suited for single or multiple slices, and may be either resistively or inductively heated.
  • the silicon wafers 40 having the oxide masks 41 upon their surfaces, are disposed within the furna-ce in such a position as to be exposed to gases directed into the tube furnace through a conduit 65.
  • the hydrogen chloride vapor is introduced into the conduit 65 from a cylinder containing anbydrous HCl.
  • the silicon tetrachloride vapor is introduced into the conduit 65 by bubbling purified dried hydrogen (Hz) through liquid silicon tetrachloride (SiCl4) contained in a fiask as shown.
  • the purified dried hydrogen enters an end 66 of the conduit.
  • the flow of the gases into the tube furnace 60 is regulated by conventional valves.
  • the wafers 40 are subjected to a Selective vapor etch resulting in the structure shown in FIGURE 6. While the oxide mask 41 is substantially unaffected, select portions of the substrate 40 below the oxide aperatures 38 and 39 are removed in the manner shown to provide the pockets 52' and 53'.
  • the etchant itself comprises a mixture of silicon tetrachchloride, hydrogen chloride, and hydrogen.
  • the valve controlling the flow of silicon tetrachloride may be closed, and an etchant comprising hydrogen chloride and hydrogen may successfully be used to .remove the silicon substrate.
  • the rate of etching as well as the dimensions of the etched regions will largely by determined by the configuration and size of the oxide masking 41, the temperature at which the reactor is maintained, the flow rate through the conduit 65 and the percentage Composition of the etchant.
  • the temperature at approximately l200 C., and the etchant consists of 95% H2 and 5% HCl, the silicon substrate 40 etches at a rate of approximately 0.22 micron/second.
  • the slice 40 is removed from the reactor and the oxide layers and 46 are selectively formed at the bottoms of the etched holes 52' and 53', as previously described, resulting in the Structure shown in FIGURE 7.
  • the slices 40 are then placed back in the reactor tube for the epitaxlal redeposition steJ.
  • the value 62 shown in FIGURE 9 is closed to terminate the flow of the hydrogen chloride, the gas flow through the conduit 65 now consisting of hydrogen and silicon tetrachloride.
  • Doping is accomplished by ntroducing an appropriate impurity-containing compound such as phosphene (PH3) for N-type doping, or diborane (B2H6) for P-type doping.
  • PH3 phosphene
  • B2H6 diborane
  • These compounds are stored in Cylinders filled with hydrogen as a carrier gas as shown in FIGURE 9 and are interjected in the main gas Stream by adjusting the appropriate valves.
  • N- type or P-type silicon of the desired impurity concentration is grown upon the exposed walls within the pockets or holes 52' and 53'. The growth begins upon the walls and extends inward to completely fill the holes with single crystalline silicon semiconductor material, the silicon oxide layers 45 and 46 electrically isolating the base of the deposited regions 55 and 56 from the substrate material of the slice 40.
  • Some of these precautionary steps are: using a process of oxide deposition that results in a substantially pin-hole free oxide layer, Cleaning the surfaces of the oxide layers completely before epitaxial redeposition, maintaining as large a silicon to silicon oxide ratio as possible, using depressing agents such as HCl to retard nucleation upon the oxide, and maintenance of reactor conditions (for example, low temperatures of deposition) to avoid spurious growths upon the oxide.
  • the starting material of the slice 40 is high resistivity N-type semiconducting material, and the epitaxially redposited regions 55 and 56 are also of N-type semiconducting material.
  • the oxide layers 45 and 46 provide partial electrical isolation in the vertical direction from the substrate material 40.
  • a diffusion mask is formed upon the surface of the slice as shown, and P-type material is diffused to isolate the N-type material of the substrate from the side walls of the N-type regions 55 and 56, respectively as shown in FIGURE 11.
  • P-N junction isolation is thereby used, in part, to compelte the isolation, the primary isolation is achieved by the silicon oxide dielectric layers.
  • channels or moats 72 and 73 may be selectively etched to isolate the walls of the regions 55 and 56 from the substrate, as depicted on FIGURE 12. This Selective etch may be carried out immediately after the epitaxial redeposition, or alternatively, may be car- .ried out after the formation of the circuit Component and interconnection according to the process described in copending U.S. Patent application, Ser. No. 468,196, filed June 30, 1965, and assigned to the assignee of the present invention.
  • the semiconductor material that is epitaxially redeposited within the etched pockets may be of opposite type conducti'vity from the starting material of the slice.
  • the material of the slice or wafer 40 is P-type semiconductor material, and the redeposited regions 55 and 56 are of N-type conductivity. The isolation of the bases of these regions is then achieved by the silicon oxide layers 45 and 46, and the remaining isolation of the walls of the regions 55 and 56 is achieved by the junctions intermediate these regions and the substrate 40.
  • the depth of the layers 55 and 56 may be approximately 0.5 mil, and the thickness of the oxide layers 45 and 46 may be approximately 5000 A.
  • the wafer 40 may be N-type, then upon the beginning of the redeposition of region 55' of P-type material grown, then the remainder of the region 55 N-type.
  • the layers 55 and 56 now serve as regions into which subsequent diffusions, or upon wthich epitaxial depositions, may be made in order to fabricate various components of an integrated circuit.
  • FIGURE 14 a sectional view of a portion of a completed integrated circuit is seen, with an NPN transistor T1 and a risistor R1 having been formed in the N-type redeposited regions 55 and 56 by diffusion.
  • a P-type diifused region 86 provides the base of the transistor T1, and a P-type region formed simultaneously with the base provides the resistor R1.
  • An N-type diffused region :87 provides the transistor T1 emitter.
  • the diffusion operations utilize silicon oxide masfking so that the oxide layer -88 acquires a stepped configuration in the final device.
  • Openings are made in the oxide where contact is necessary, then a metal film is deposited over the oxide and selectively removed to provide the desired contacts and interconnections.
  • One completed unit is seen in F-IGURE 15, with the transistor T1 and T2 and the resistors R1, Rz, and R3 along with the metal film interconnections providing a logic circuit as seen in sohematic form in FIGURE 16.
  • the pockets may be of any shape, as hexagons, cylinders, etc., .With a portion or portions of the side Walls of the pockets exposed to promote epitaxal growth.
  • the insulation layers 45- and 46 althoug1h referred to as silicon oxide (dioxide), may also be of carbon rich silicon canbide, alumina or any other suitable insulating material.
  • regions of low resistivity material may be diffused at the ohmic contact points before the application of the metallic contacts and interconnection in order to lower the contact resistance. It may also be desirable to epitaxially grow low resistivity semiconductor material within the pockets initially, followed iby an etch and rell of high resistivity material over the low resistivity material in order to lower the collector spreading resistance of the transistors.
  • silicon and gallium arsenide material have been specifically referred to, the processes of this invention are equally applicable to' germanium, II-VI compounds, or other types of semiconductor material.
  • said epitaxially deposited material is electrically isolated from said substrate by said dielectric insulating layer and said isolating region at said at least one Wall.
  • said isolaton region is formed by the step of selectively etching the periphery of said epitaxially deposited regions to electrically isolate said deposited regions from said substrate at said at least one wall.
  • step of electrically isolating said epitaxially deposited single crystalline semiconductor regions is effected by the Step of selectively diffusing impurities of opposite conductivity type than the conductivity type of said epitaxially deposited regions adjacent said at least one wall of each of said pockets effecting about each of said epitaxially deposited regions a P-N junction from the surface to said dielectric insulating layer.
  • step of electrically isolating said epitaxially deposited single crystalline semiconductor regions is effect/cd by selectively etching the periphery of said epitaxially deposited regions at surface to said dielectric insulating layer.

Description

D. w. sHAw ET AL Feb. 4, 1969 Feb. 4, 1969 D. w. sHAw ET AL Feb. 4, 1969 D w, SHAW ETAL 3,425,879
METHOD OF MAKING SHAPED EPI'I'AXIAL DEPOSITS Filed Oct. 24, 1965 Sheet HHHH/HHHHHH/w UHHUHUUUHHU Feb. 4, 1969 D. w. sHAw ET AL METHOD OF MAKING SHAPED EPITAXIAL DEPOSITS Filed ocz. 24, 1965 Sheet Feb.4,1969 nwsHAw ET AL 3,425,s79
METHOD OF MAKING SHAPED EPITAXIAL DEPOSITS Filed Oct. 24, 1965 Sheet 5 of 5 United States Patent Office 3,425,879 Patented Feb. 4, 1969 9 Claims ABSTRACT OF TI-IE DISCLOSURE This specification discloses a method of eifecting desired growth of semiconductor material on a substrate characterized by efiecting a crystallographic orientation on the substrate underneath a suitable mask, and epitaxially depositing semiconductor material to take advantage of the fast-growing crystallographic orientation to deposit semiconductor material in a desired form, while simultaneously etfecting extremely slow growth rates on undesred and Slow-growing crystallographic planes. Specifically, semi-insulating substrates can be employed; pockets formed therein; and isolated regions of semiconductor material having properties desirable for etfecting semiconductor Components can be deposted, or grown, therein and achieve coplanarity between the deposited semiconductor material and the substrate With minimal process control. The reason minimal process control is necessary is because the pocket into which the semiconductor material is deposited will expose only the slowgrowing planes when the pocket has been filled, such that a co-planar facet is etfected which eifectively terminates growth because of its slow rate of further deposition. Other specific embodiments disclose the embedment of dielectric layers and the creation of electrically isolating regions about the deposited semiconductor material to effect excellent properties for formation of semiconductor Components Within the epitaxially deposted semiconductor material.
This invention relates to a method of forming shaped epitaxial deposits of crystalline semiconductor material. More particularly, it relates to a method of forming epitaXial deposits upon a substrate of semiconductor material, the method utilizing preferred growth planes of the semiconductor substrate to produce various geometrically shaped epitaxial deposits. These geometrically shaped epitaxial deposits may then be used, for example, in the formation of electrically isolated Components in an integrated circut, or for electrical interconnections or posts between various layers or levels of integrated circuitry.
In fabricating semiconductor devices, particularly such devces as integrated circuits and the like, monocrystalline semiconductor material is often epitaxially formed on selected portions of a monocrystalline substrate. For example, epitaxially deposited regions may form functional parts of an individual Component (as the emitter of a transistor), or they may form regions in which devices are formed (as dilfused Components into epitaxial layers), or they may be utilized in electrically isolating components in an integrated circuit. The size, shape, Composition and crystalline orientation of these epitaxially formed regions Will Vary, therefore, depending upon the particular function they perform.
Epitaxial growth or formation of crystalline semiconductor material as an extension of the crystalline lattice of the substrate occurs in certain preferred crystallographic directions. In the past, epitaxial growth has generally been performed without regard to the relative rates of growth in these crystallographic directions. It has been found, however, that utilizng the fact that the rate of growth in a preferred direction is frequently so much faster than the rate of growth in other directions, crystalline epitaxial growth can be substantially restricted to growth in a single direction by selection of the appropriate substrate crystallographic orientation.
It is therefore an object of the present invention to provide a method of utilizing a fast growth plane (or planes) of a crystalline substrate and its inherently higher growth rate to form shaped epitaxial deposits of semiconductor material. Another object is to utilize such a method for forming interconnections in integrated circuitry and vertical interconnections between layers of integrated circuitry disposed within a monocrystalline block, and for providing planar surfaces for device fabrication. Another object is to provide a method of electrical isolation of Components in an integrated cir-cuit utilizing the principles of this invention.
In accordance with these and other objects, fast growth planes of crystalline semiconductors are utilized as substrate surfaces to selectively form shaped epitaxial deposits. Since the semiconductor material grows relatively faster in particular planes, a fast-growing plane may be exposed and epitaxial material formed thereon. When the exposed plane is the fast growth plane, growth in other directions is relatively slow in comparison to growth in the direction normal to this plane, consequently, epitaxial growth is substantially in one direction. Accordingly, semiconductor material can be regrown into a hole formed in a substrate, and the growth can be made to occur substantially from the side of the hole (lateral growth), resulting in a planar surface. In still another embodiment of the invention, the desired geometrical configuraton may be exposed in the fast growth plane, and a pillar or post grown thereon. Since the preferred growth direction is normal to the exposed plane, the post grows only normal to the exposed surface and is limited by the geometrcal boundaries of the exposed surface. The geometrical configuration of the exposed surface therefore determines the geometrical configuration of the epitaxial deposit. Accordingly, a shaped window may be cut in a suitable mask to expose a fast-growing surface. When the window is properly shaped, all sides of the post will be parallel to Slow-growing planes, thus growth is normal to the exposed surface and little spreading over the mask occurs. Conversely, when a Slow-growing plane is exposed through a mask and the sides of the epitaxial deposit are parallel to fast-growing planes, growth normal to the exposed surface is slow. Overgrowth then occurs, the epitaxial deposit spreading laterally over the mask relatively rapidly in the direction normal to the fast growth planes. Also, semiconductor material can be regrown into a hole formed in a substrate by lateral growth from the side of the hole to embed a foreign material, for example, silicon oxide.
The novel features believed characteristic of this invention are set forth in the appended clairns. The nvention itself, however, as Well as other objects, features, and advantages thereof, may best be understood by reference to i the following detailed description of illustrative embodiments taken in conjunction with the accompanying drawings, wherein:
FIGURE 1 is a perspective view partially in section of a semiconductor wafer with a recess formed in one surface thereof;
FIGURE 2 is a perspective view partially in section of the wafer of FIGURE 1 with the recess filled in accordance with the invention;
FIGURE 3 is a perspeetive view partially in section of a semiconductor wafer wvith a mask on one surface thereof having a window therein;
FIGURE 4 is a perspective view partially in section of the wafer of FIGURE 3 with a shaped deposit formed in the window;
FIGURES 5-8 are pictorial Views in section of the initial steps in the fabrication of another embodiment of the present invention;
FIGURE 9 is a schematic representation of one form of apparatus utilized in the fabrication of the embodiment described lwith reference to FIGURES 5-8;
FIGURES 10-13 are sectional views of alternative steps in the fabrication of isolated integrated circuits according to the process of the invention;
FIGURE 14 shows the fabrication of circuit components in isolated semiconductor regions, the Components being part of an integrated circuit;
FIGURE 15 is an isometric pictorial view of a completed integrated circuit;
FIGURE 16 is a schematic diagram of the integrated circuit contained within the device of FIGURE 15.
The drawings are not necessarily to scale as dimensions of certain parts as shown in the drawings have been modified and/or exaggerated for the purpose of clarity of illustration.
The rate of formation of epitaxial deposits of Group IIIA-VA semiconductors on Group IIIA-VA substrates as well as Group IV semiconductors or Group IV substrates, is dependent upon the crystallographic plane of the substrate surface upon which epitaxial growth takes place. For example, the rate of epitaxial growth on a {1r00} gallium arsenide surface is about 2 to 5 times as fast as the rate of growth on a {111} B surface under certain vapor deposition conditions.
When epitaxial growth is restricted to a semiconductor substrate surface which is exposed in the {l00} plane, the epitaxial deposit is formed as a crystalline extension of the lattice of the substrate, and growth is substantially in the [100] direction (normal to the {100} plane.) This selective growth results from the formation of crystalline facets parallel to Slow-growing planes which have a high degree of crystal perfection and planarity. Since nucleation sites are relatively unavailable on the facets, epitaxial growth thereon is very slow.
One embodiment of the invention is shown in FIG- URES l and 2. In FIGURE l a crystalline semiconductor substrate 10 is shown. The substrate may be of any suitable crystalline semiconductor material with known fast and Slow growing planes such as monocrystalline semiinsulating gallium arsenide. The surface of the substrate 10 is covered with a suitable protective mask 11, as silicon oxide, having a window 12 therein. The substrate is oriented so that the surface exposed through the window is parallel to the {111} plane. The exposed surface is etched to produce a hole having sides 13 parallel to the {100} plane and a bottom parallel to the {111} plane.
The hole in the substrate of FIGURE 1 is then refilled with semiconductor material 16 as shown in FIGURE 2. The semiconductor material 16 may be formed by any suitable vapor phase epitaxial deposition process such as that described by Finch and Mehal, Preparation of GaAsxP1 x by Vapor Phase Reaction, Journal of the Electrochemical Society, vol. 111, No. 7, July 1964. Since the hole is bounded by 100 surfaces on the sides and a 111 surface on the bottom, and since the 1100 surface is the fast growing plane as compared to the lll surface, growth of the epitaxial deposit 16 occurs substantially from the sides of the hole. The deposit 16, therefore, is formed by epitaxial extension of the crystalline lattice of the substrate laterally from the sides of the hole almost entirely in the direction normal to the surface. The surface 15 of the deposit 16 is parallel to the 111 plane. The surface deposit thus formed is co-planar and coextensive with the substrate surface and has a Smooth surface which is suitable for the fabrication of semiconductor devices. In like manner, growth may be made to occur on the bottom of the hole rather than the sides by orienting the substrate so that the sides of the hole are parallel to the 111 plane, and the bottom of the hole parallel to the 100 plane.
Another embodiment of the invention is shown in FIG- URES 3 and 4. Referring to FIGURE 3, a semiconductor substrate 30 is shown with a suitable masking material 31, as silicon oxide, thereon. A portion of the masking material 31 is removed leaving a window 32 which exposes a selected portion of the surface 33 of wafer 30. Wafer 30 is suitably crystallographically oriented so that surface 33 is the fast growth plane of the substrate 30 as described above. An epitaxial deposit of semiconductor material on the exposed surface 33 takes the geometrical configuration of the window 32. The shape of the window is such that the sides of the deposit formed will be parallel to Slow-growing planes. Since the preferred growth direction is normal to the plane exposed through window 32, the epitaxial deposit forms a column or pillar extending upwardly from the substrate 30, as shown in FIGURE 4. Furthermore, since the sides of the column, or post, are all parallel to Slow-growing planes, the deposit can be extended vertically without spreading over the mask. This column or post of semiconductor material may advantageously be used as a vertical interconnection between two layers of semiconducting material in a monocrystalline block wherein two horizontal layers of material are separated by and contiguous with a layer of semi-insulating material.
In a typical example, wafer 30 may be an N-type wafer of gallium arsenide with a silicon oxide mask 31' thereon.
The surface 33 is parallel to the {1l0} and the window 32 is diamond-shaped. The epitaxial deposit 34 grows vertically in the direction normal to the plane. By proper orientation of the diamond-shaped window with respect to the substrate, the Sides 35 of the deposit are parallel to {1l1} planes, thus a diamond-shaped column or post 34 is formed. After the vertical post 34 is formed as described above, the silicon oxide layer 31 may be removed and semi-insulating gallium arsenide formed on the exposed surface of wafer 30 and surrounding the vertical post 34. Thereafter a second layer of N-type gallium arsenide may be deposited over the surface of the semiinsulating layer (not shown) and in contact with the top surface of vertical nterconnecting post 34. Thus, the second epitaxial layer of N-type gallium arsenide may advantageously be electrically interconnected with the substrate 30 by way of vertical interconnection 34, while the two N-type layers are separated by, but contiguous with, an intermediate layer of semi-insulating gallium arsenide.
It is to be understood that although the shaped epitaxial deposit 34 has been described as a vertical interconnection post, the method described could also be used to form larger epitaxial deposits. For example, by using a semiinsulating material such as semi-insulating gallium arsenide as the substrate 30, a plurality of shaped epitaxial deposits 34 may be formed thereon to produce a monolithic semiconnductor block with electrically isolated regions of semiconductor material thereon suitable for making various integrated or hybrid circuits.
In accordance with another aspect of the invention, a hole or pocket may be formed in a substrate or material of one Composition, a portion of the hole selectively covered with a foreign material of another Composition, and the hole or pocket refilled by epitaxially growing from the exposed portions of the hole the same material of the substrate, to bury the foreign material within the pocket. For example, the material of the substrate may be monocrystalline gallium arsenide, and the foreign material may be metal, oxide, or even another type of semiconductor material as silicon or germanium.
Such a process may be utilized in fabricating an integrated circuit utilizing a dielectric as the primary means for electrically isolating the Components from one another. Accordingly, pockets or -holes are selectively etched in a substrate of monocrystalline semiconductor material,
a layer of dielectric (insulating) material is then sele'ctively located at the base of each of the pockets, a wall (or the walls) of the pocket remaining exposed monocrystalline material. Single crystal semiconductor material of desired conductivity and impurity concentration is then epitaxially grown within the pockets over the layer of dielectric material, the epitaxial `growth proceeding from the exposed walls of the pockets. T-he redeposited regions of semiconductor material are then electrically isolated at their base by the dielectric material. Isolation of the remaining portion of the redeposited regions (the portion adjacent the exposed walls) is then achieved by ring diffusion or etching, for example. Since the bottom of the pockets or holes (the dielectric isolated portion) generally has a much greater area than the walls, this isolation technique results in a substantially lower capacitance than utilizing P-N junction isolation of the entire region. The individual circuit Components are then formed within each of the redeposited regions by suitable integrated circuit methods.
Referring now to FIGURE 5, there is described the first step in this method. A slice of single crystal semiconductor material, in this example silicon, is used as the starting material. This slice may be about one inch in diameter and ten mils thick. A small segment of the slice may be represented as a chip or wafer 40, which represents the segment occupied by one integrated circuit. Actually, the slice would contain dozens or even hundreds of the segments such as the wafer 40.
An oxide layer 41 is then formed upon the upper surface of the wafer 40, as depicted in FIGURE 5. The oxide layer, which might be silicon oxide for example, should preferably be of a thickness in excess of 10,000 A., and may be formed by any conventional technique. For example it may be thermally grown by heating the entire Structure to a temperature of approximately 1300` C. in the presence of oxygen.
An alternative method of forming the silicon oxide layer 41, and one which may particularly be used when the semiconductor material is other than-silicon, involves a technique of deposition rather than the thermal growth described. Accordingly, oxygen and tetraethoxysilane are reacted in vapor form at 250-500 C. in the presence of the semiconductor wafer 40. The reaction mixture is obtained by bubbling oxygen through liquid tetraethoxysilane at room temperature, then combning the gaseous mixture with excess oxygen and passing it into a furnace tube containing the wafer 40 where the oxidation takes place. The silicon oxide thereby produced is deposited upon the upper surface of wafer 40. Typical reaction conditions for such a process involves, by way of example, a flow rate of one cubic foot of oxygen per hour into the liquid tetraethoxysilane and a combination of the gaseous mixture with the oxygen also at a rate of one cubic foot per hour. Passing the combination mixture into a two inch diameter quartz furnace tube at approximately 500 C. results in silicon oxide deposits at rates from 1300-1400 A. per hour upon the surface of the slice 40.
Through the use of conventional photographic masking and etching techniques, select portions 38, 39, 42-44 of the oxide layer 41 are removed so as to expose corresponding portions of the semiconductor wafer 10l within the holes or apertures 38, 39, 42-44. This removal may be accomplshed by covering the oxide layer 41 with photoresist, selectively masking; exposing to light, and developing the photoresist, then etching away the unmasked areas -of the oxide. By this method, the oxide mask shown in FIGURE 5 is produced directly upon the surface of the wafer 40, and limits the area of the semiconductor substrate that is to be affected by the subsequent etching step.
As the next step in the process of the present invention, the top surface of the masked slice 40 is subjected to a Selective etch which removes a given amount of semiconductor material beneath the windows 38, 39, 42- 44. This removal may be accomplished by a conventional solution etch, or alternatively, by a conventional vapor etch, the etchant being of a Composition which removes the exposed semiconductor material within the windows while substantially unaffecting the oxide mask 41. Consequently pockets 52' and 53' will be formed within the substrate 40 as shown in FIGURE 6, where the desired amount of semiconductor material has been removed beneath the windows 38 and 39 down to the lines 52 and 53, respectively.
As the next step, silicon oxide layers 45 and 46 are formed within the pockets 52' and 53', for example, by any suitable technique, as thermal oxidation or the deposition technique, so as to cover the walls as well as the bottom or base of the pockets, as shown in FIGURE 6. Using conventional photographic masking and etching techniques, thereafter, only the portions of the oxide layers and 46 which cover the walls of the pockets 52' and 53' are selectively removed, so that the only oxide that remains within these pockets are the portions of oxide layer 45 and 46 which cover the bottom of the pockets 52' and 53', as illustrated in FIGURE 7. As an alternative to growing or depositing oxide upon the walls and bottoms of the pockets, it may be desirable to initially selectively depost the oxide layers 45 and 46 solely on the bottom of the pockets.
There is then selectively epitaxially redeposited through the oxide mask 41 regions 55 and 56, FIGURE 8, of singlecrystal semiconducting material Iwihin the pockets 52' and 53'. Due to the fact that the single crystalline walls of the holes or pockets 52' and 53' remain exposed, single crystalline silicon will depost within the holes even though silicon oxide is present on the bottom of the holes, the epitaxial growth proceeding from the walls and growing nward, laterally over the oxide layers 45 and 46. The resulting Structure is seen in FIGURE Si after the oxide mask 41 has been stripped from the face of the slice 40. The bases of the semiconductor regions 55 and 56 are electrically isolated from the semiconductor material of the slice 40' by the silicon oxide layer 45 and 46 respectively.
In practicing the invention, various arrangements may be utilized as well as various techniques applied in order to accomplish the steps of Selective etching and epitaxially redepositing within the unmasked regions. There is presently described a process, however, whereby the wafer 40 is placed within a reactor where the pockets 52' and 53', for example, are formed by vapor etching, and the epitaxial redeposition is achieved with substantially the same constituents as those used for the Selective vapor etch. The basic formula for this Operation is This reaction is forced to the left by the addition of an excess of HCl, thus creating an etching Condition. To change from an etching condition to one of deposition (i.e., when the reaction proceeds to the right) merely calls for the termination of the HCl fiow which, in turn, brings about a gradual change from an etching Condition to one of deposition.
Referring to FIGURE 9, apparatus for etching and redepositing in accordance with this process comprises a reactor in the form of a tube furnace 60 having heating coils 61. The furnace may be of a horizontal or vertical type, may be suited for single or multiple slices, and may be either resistively or inductively heated. The silicon wafers 40 having the oxide masks 41 upon their surfaces, are disposed within the furna-ce in such a position as to be exposed to gases directed into the tube furnace through a conduit 65. The hydrogen chloride vapor is introduced into the conduit 65 from a cylinder containing anbydrous HCl. The silicon tetrachloride vapor is introduced into the conduit 65 by bubbling purified dried hydrogen (Hz) through liquid silicon tetrachloride (SiCl4) contained in a fiask as shown. The purified dried hydrogen enters an end 66 of the conduit. The flow of the gases into the tube furnace 60 is regulated by conventional valves.
With the valves adjusted so that an excess of hydogen chloride vapor is introduced into the reactor, the wafers 40 are subjected to a Selective vapor etch resulting in the structure shown in FIGURE 6. While the oxide mask 41 is substantially unaffected, select portions of the substrate 40 below the oxide aperatures 38 and 39 are removed in the manner shown to provide the pockets 52' and 53'. The etchant itself comprises a mixture of silicon tetrachchloride, hydrogen chloride, and hydrogen. Alternatively, the valve controlling the flow of silicon tetrachloride may be closed, and an etchant comprising hydrogen chloride and hydrogen may successfully be used to .remove the silicon substrate.
The rate of etching as well as the dimensions of the etched regions will largely by determined by the configuration and size of the oxide masking 41, the temperature at which the reactor is maintained, the flow rate through the conduit 65 and the percentage Composition of the etchant. For example for one particular Configuration of the oxide mask 41, when the flow rate is kept at liters/minute, the temperature at approximately l200 C., and the etchant consists of 95% H2 and 5% HCl, the silicon substrate 40 etches at a rate of approximately 0.22 micron/second.
After the desired arnount of the silicon substrate has been removed by the above-described process, the slice 40 is removed from the reactor and the oxide layers and 46 are selectively formed at the bottoms of the etched holes 52' and 53', as previously described, resulting in the Structure shown in FIGURE 7. The slices 40 are then placed back in the reactor tube for the epitaxlal redeposition steJ.
The value 62 shown in FIGURE 9 is closed to terminate the flow of the hydrogen chloride, the gas flow through the conduit 65 now consisting of hydrogen and silicon tetrachloride. Doping is accomplished by ntroducing an appropriate impurity-containing compound such as phosphene (PH3) for N-type doping, or diborane (B2H6) for P-type doping. These compounds are stored in Cylinders filled with hydrogen as a carrier gas as shown in FIGURE 9 and are interjected in the main gas Stream by adjusting the appropriate valves. With this arrangement, and due to the hydrogen reduction of the silicon tetrachloride, N- type or P-type silicon of the desired impurity concentration is grown upon the exposed walls within the pockets or holes 52' and 53'. The growth begins upon the walls and extends inward to completely fill the holes with single crystalline silicon semiconductor material, the silicon oxide layers 45 and 46 electrically isolating the base of the deposited regions 55 and 56 from the substrate material of the slice 40.
Since the deposition of single crystalline material within the holes 52' and 53' depends upon epitaxial growth substantially (or solely) upon the walls of the holes or pockets, steps should be taken to avoid any type of growth upon the oxide layers 45 and 46 on the bottoms of the holes. This ordinarily is not a problem when semiconductor material other than silicon is epitaxially grown within the holes, and the layers 45 and 46 are of silicon oxide. When the semiconductor material is silicon, however, various steps should be taken to avoid any nucleation and growth upon the oxide layers. Some of these precautionary steps are: using a process of oxide deposition that results in a substantially pin-hole free oxide layer, Cleaning the surfaces of the oxide layers completely before epitaxial redeposition, maintaining as large a silicon to silicon oxide ratio as possible, using depressing agents such as HCl to retard nucleation upon the oxide, and maintenance of reactor conditions (for example, low temperatures of deposition) to avoid spurious growths upon the oxide.
Utilizing the above described process, various configurations embodiments may be produced. For example, as shown in FIGURE 10, the starting material of the slice 40 is high resistivity N-type semiconducting material, and the epitaxially redposited regions 55 and 56 are also of N-type semiconducting material. The oxide layers 45 and 46 provide partial electrical isolation in the vertical direction from the substrate material 40. To achieve complete isolation in the horizontal direction, a diffusion mask is formed upon the surface of the slice as shown, and P-type material is diffused to isolate the N-type material of the substrate from the side walls of the N- type regions 55 and 56, respectively as shown in FIGURE 11. Although P-N junction isolation is thereby used, in part, to compelte the isolation, the primary isolation is achieved by the silicon oxide dielectric layers. Since the width of the regions 55 and 56 are ordinarily substantially greater than the depth of these regions [the ratio being 20 to 1 or considerably larger (as high as to 1)], this method results in a considerably lower capacitance than using a technique that completely isolates the regions with P-N junctions. (It is to be pointed out that the drawings are not to scale.)
As an alternative to diffusing P-type material to complete the isolation, channels or moats 72 and 73 may be selectively etched to isolate the walls of the regions 55 and 56 from the substrate, as depicted on FIGURE 12. This Selective etch may be carried out immediately after the epitaxial redeposition, or alternatively, may be car- .ried out after the formation of the circuit Component and interconnection according to the process described in copending U.S. Patent application, Ser. No. 468,196, filed June 30, 1965, and assigned to the assignee of the present invention.
As an alterantive to epitaxially redepositing same conducti'vity type material within the etched pockets, and then diffusing (as shown in FIGURE l11) or etching (as shown in FIGURE 12) to complete the isolation, the semiconductor material that is epitaxially redeposited within the etched pockets may be of opposite type conducti'vity from the starting material of the slice. For example, as shown in FIGURE 13a, the material of the slice or wafer 40 is P-type semiconductor material, and the redeposited regions 55 and 56 are of N-type conductivity. The isolation of the bases of these regions is then achieved by the silicon oxide layers 45 and 46, and the remaining isolation of the walls of the regions 55 and 56 is achieved by the junctions intermediate these regions and the substrate 40. The depth of the layers 55 and 56 may be approximately 0.5 mil, and the thickness of the oxide layers 45 and 46 may be approximately 5000 A. Alternatively, as illustrated in FIGURE 1311, the wafer 40 may be N-type, then upon the beginning of the redeposition of region 55' of P-type material grown, then the remainder of the region 55 N-type.
The layers 55 and 56 now serve as regions into which subsequent diffusions, or upon wthich epitaxial depositions, may be made in order to fabricate various components of an integrated circuit. Referring now to FIGURE 14, a sectional view of a portion of a completed integrated circuit is seen, with an NPN transistor T1 and a risistor R1 having been formed in the N-type redeposited regions 55 and 56 by diffusion. A P-type diifused region 86 provides the base of the transistor T1, and a P-type region formed simultaneously with the base provides the resistor R1. An N-type diffused region :87 provides the transistor T1 emitter. The diffusion operations utilize silicon oxide masfking so that the oxide layer -88 acquires a stepped configuration in the final device. Openings are made in the oxide where contact is necessary, then a metal film is deposited over the oxide and selectively removed to provide the desired contacts and interconnections. One completed unit is seen in F-IGURE 15, with the transistor T1 and T2 and the resistors R1, Rz, and R3 along with the metal film interconnections providing a logic circuit as seen in sohematic form in FIGURE 16.
Although the process has been described whereby pockets or holes are formed in the semiconductor substrate, and insulating material selectively located at the bottom of the holes, leaving the four Walls of the pocket exposed for epitaxial growth, it is only necessary that one wall or even a portion of the wall be exposed in order for the epitaxial growth to completely fill up the pockets by lateral growth. In the case where the three walls are covered in addition to the bottom of the pockets, the difusion` step or etching Step described with reference to FIGURES -12 in order to complete the isolaton of the semiconductor material With the pockets, will then only be directed to the fourth (exposed) wall. This Would be particularly useful when employing the isolaton soheme of FIGURE 12 Where moats 72 and 73 are etched to complete the isolaton of the regions 55 and 56 from the sulbstrate. These moats are discontinuities over wthich deposited metal leads cannot be extended. Thus, if only one or two Walls in each hole were uncovered in each pocket then the moats need not extend all the way around the pocket and a plane area will be left free for metal film interconnections.
In addition, although the description of the etched pockets or holes has .been referenced to four walls, the pockets may be of any shape, as hexagons, cylinders, etc., .With a portion or portions of the side Walls of the pockets exposed to promote epitaxal growth. The insulation layers 45- and 46 =althoug1h referred to as silicon oxide (dioxide), may also be of carbon rich silicon canbide, alumina or any other suitable insulating material.
Various alterations may be affected in the actual fabrication of the integrated circuit. For example, regions of low resistivity material may be diffused at the ohmic contact points before the application of the metallic contacts and interconnection in order to lower the contact resistance. It may also be desirable to epitaxially grow low resistivity semiconductor material within the pockets initially, followed iby an etch and rell of high resistivity material over the low resistivity material in order to lower the collector spreading resistance of the transistors. Additionally, although silicon and gallium arsenide material have been specifically referred to, the processes of this invention are equally applicable to' germanium, II-VI compounds, or other types of semiconductor material.
Various other modifications may become apparent to persons skilled in the art Without departing from the spirit and scope of the in'vention as defined by the appended claims.
What is clamed is:
1. The method of forming a semiconductor deposit in a recess in a crystalline substrate, the surfaces of said deposit and said substrate being co-planar, comprising the steps of:
(a) forming a mask on a surface of said substrate, said surface being parallel to a Slow-growing crystallographic plane in said crystalline substrate;
(b) removing a portion of said mask, thereby exposing a portion of said surface;
(c) removing a predetermined amount of the substrate exposed through said mask, thereby forming a recess in said swbstrate, the exposed surface in said recess having a Slow-growing orientation forming the bottom and fast-growing surfaces forming the sides of said recess; and
(d) epitaxially depositing semiconductor material on said fast-growing surfaces forming the sides of said recess;
Whereby, when said recess is filled, a smooth surface facet exposing only the Slow-growing crystallographic plane is elfected and further growth effectively terminated due to the slow growth rate of the facet.
2. In a method of fabricating an integrated circuit, the steps of:
(a) forming a plurality of pockets Within a swbstrate of single crystalline semiconductor material;
(lb) selectively covering the base of each said pockets with a dielectric insulating layer, at least one wall of each uof said pockets remaining exposed single crystalline semiconductor material, said at least one wall having a fast-growing crystallograph-ic orientation;
(c) epitaxially depositing single crystalline semiconductor regions on said at least one wall to embed said dielectric layer; and
(d) elfecting' an isolaton region at least one wall,
whereby said epitaxially deposited material is electrically isolated from said substrate by said dielectric insulating layer and said isolating region at said at least one Wall.
3. The method as described in claim 2 including the step of forming individual circuit components within each said epitaxially deposited single crystalline regions.
4. The method as described in claim Y6 including the step of selectively diifusing impurities of opposite conductivity type than the conductivity type of said epitaxially deposited regions, to electrically isolate said deposited regions from said substrate at the said at least one Wall.
5. The method as described in claim 2 Wherein said isolaton region is formed by the step of selectively etching the periphery of said epitaxially deposited regions to electrically isolate said deposited regions from said substrate at said at least one wall.
6. The method of claim 2,' wlherein said isolaton region is formed -by creating at least one junction between regions of opposite conductivity types at said at least one Wall.
7. In a method of fabricating an integrated circuit, the steps of:
(a) forming a pluarity of pockets within a substrate of one conductivity type single crystalline semiconductor material;
(b) selectively covering the base of each said pockets with adielectrc .insulating layer, at least one wall of each of said pockets remaining exposed single crystalline semiconductor material, said base of each of said pockets having a Slow-growing crystallographic'or'ientation and said at least one Wall of each of said pockets having a fast-growing crystallographic orientatin;
(c) epitaxially depositing single crystalline semiconductor regions first of one conductivity type and then of an opposite conductivity type from said at least one wall to embed said dielectric layer; and
(d) electrically isolating said epitaxially deposited single crystalline semiconductor regions from said single crystalline semiconductor substrate.
8. The method of claim 7, Wherein said step of electrically isolating said epitaxially deposited single crystalline semiconductor regions is effected by the Step of selectively diffusing impurities of opposite conductivity type than the conductivity type of said epitaxially deposited regions adjacent said at least one wall of each of said pockets effecting about each of said epitaxially deposited regions a P-N junction from the surface to said dielectric insulating layer.
9. The method of claim 7, wherein said step of electrically isolating said epitaxially deposited single crystalline semiconductor regions is effect/cd by selectively etching the periphery of said epitaxially deposited regions at surface to said dielectric insulating layer.
UNITED STATES PATENTS Marinace 148-175 Hale et al. 148-175 Thornton 148-174 XR Lin 148-174 XR Porter.
Hirslhon 148-175 Wigton 148-175 Hendrickson et al. 148-175 Lowery et al 148-175 Bailey et al. 148-175 5 CHARIJES N. LOVELL, Primary Examiner.
P. WEINSTEIN, Assstant Examiner.
U.S. Cl. X.R.
US504977A 1965-10-24 1965-10-24 Method of making shaped epitaxial deposits Expired - Lifetime US3425879A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US50497765A 1965-10-24 1965-10-24

Publications (1)

Publication Number Publication Date
US3425879A true US3425879A (en) 1969-02-04

Family

ID=24008513

Family Applications (1)

Application Number Title Priority Date Filing Date
US504977A Expired - Lifetime US3425879A (en) 1965-10-24 1965-10-24 Method of making shaped epitaxial deposits

Country Status (5)

Country Link
US (1) US3425879A (en)
DE (1) DE1544329A1 (en)
FR (2) FR1498751A (en)
GB (1) GB1161343A (en)
NL (1) NL6615040A (en)

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3486892A (en) * 1966-01-13 1969-12-30 Raytheon Co Preferential etching technique
US3506509A (en) * 1967-11-01 1970-04-14 Bell Telephone Labor Inc Etchant for precision etching of semiconductors
US3514845A (en) * 1968-08-16 1970-06-02 Raytheon Co Method of making integrated circuits with complementary elements
US3571916A (en) * 1969-03-14 1971-03-23 Microwave Ass Schottky edge contact diode
US3663319A (en) * 1968-11-20 1972-05-16 Gen Motors Corp Masking to prevent autodoping of epitaxial deposits
US3737739A (en) * 1971-02-22 1973-06-05 Ibm Single crystal regions in dielectric substrate
DE2303798A1 (en) * 1972-01-27 1973-08-02 Philips Nv METHOD FOR PRODUCING SEMICONDUCTOR ARRANGEMENTS AND SEMICONDUCTOR ARRANGEMENTS PRODUCED BY THIS METHOD
US3753803A (en) * 1968-12-06 1973-08-21 Hitachi Ltd Method of dividing semiconductor layer into a plurality of isolated regions
US3789276A (en) * 1968-07-15 1974-01-29 Texas Instruments Inc Multilayer microelectronic circuitry techniques
US3798513A (en) * 1969-12-01 1974-03-19 Hitachi Ltd Semiconductor device having a surface parallel to the {8 100{9 {11 plane and a channel stopper parallel to the {8 111{9 {11 plane
US3851382A (en) * 1968-12-02 1974-12-03 Telefunken Patent Method of producing a semiconductor or thick film device
US3852104A (en) * 1971-10-02 1974-12-03 Philips Corp Method of manufacturing a semiconductor device
JPS50146356A (en) * 1974-04-08 1975-11-25
US3924321A (en) * 1970-11-23 1975-12-09 Harris Corp Radiation hardened mis devices
US3936329A (en) * 1975-02-03 1976-02-03 Texas Instruments Incorporated Integral honeycomb-like support of very thin single crystal slices
JPS5113396B1 (en) * 1969-10-14 1976-04-28
US3990927A (en) * 1973-11-23 1976-11-09 Commissariat A L'energie Atomique Method for isolating the components of an integrated circuit
US4004954A (en) * 1976-02-25 1977-01-25 Rca Corporation Method of selective growth of microcrystalline silicon
US4133925A (en) * 1976-12-30 1979-01-09 Rca Corp. Planar silicon-on-sapphire composite
US4141765A (en) * 1975-02-17 1979-02-27 Siemens Aktiengesellschaft Process for the production of extremely flat silicon troughs by selective etching with subsequent rate controlled epitaxial refill
US4178197A (en) * 1979-03-05 1979-12-11 International Business Machines Corporation Formation of epitaxial tunnels utilizing oriented growth techniques
US4180422A (en) * 1969-02-03 1979-12-25 Raytheon Company Method of making semiconductor diodes
WO1981002948A1 (en) * 1980-04-10 1981-10-15 Massachusetts Inst Technology Methods of producing sheets of crystalline material and devices made therefrom
US4336099A (en) * 1979-11-14 1982-06-22 General Electric Company Method for producing gallium arsenide single crystal ribbons
US4378629A (en) * 1979-08-10 1983-04-05 Massachusetts Institute Of Technology Semiconductor embedded layer technology including permeable base transistor, fabrication method
US4467521A (en) * 1983-08-15 1984-08-28 Sperry Corporation Selective epitaxial growth of gallium arsenide with selective orientation
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
US4482422A (en) * 1982-02-26 1984-11-13 Rca Corporation Method for growing a low defect monocrystalline layer on a mask
FR2548220A1 (en) * 1983-07-01 1985-01-04 Labo Electronique Physique LIGHT SURFACE GUIDE ON SEMICONDUCTOR MATERIAL
US4507158A (en) * 1983-08-12 1985-03-26 Hewlett-Packard Co. Trench isolated transistors in semiconductor films
US4549926A (en) * 1982-01-12 1985-10-29 Rca Corporation Method for growing monocrystalline silicon on a mask layer
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4592792A (en) * 1985-01-23 1986-06-03 Rca Corporation Method for forming uniformly thick selective epitaxial silicon
US4717597A (en) * 1986-03-21 1988-01-05 Motorola Inc. Method for providing impurities into a carrier gas line
US4797374A (en) * 1985-07-20 1989-01-10 Plessey Overseas Limited Method for selective heteroepitaxial III-V compound growth
US5032538A (en) * 1979-08-10 1991-07-16 Massachusetts Institute Of Technology Semiconductor embedded layer technology utilizing selective epitaxial growth methods
US5084410A (en) * 1987-10-15 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US5212112A (en) * 1991-05-23 1993-05-18 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5217564A (en) * 1980-04-10 1993-06-08 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US5273616A (en) * 1980-04-10 1993-12-28 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US5296086A (en) * 1991-07-25 1994-03-22 Rohm Co., Ltd. Method for manufacturing semiconductor device having grown layer on insulating layer
US5298787A (en) * 1979-08-10 1994-03-29 Massachusetts Institute Of Technology Semiconductor embedded layer technology including permeable base transistor
US5328549A (en) * 1980-04-10 1994-07-12 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US5362682A (en) * 1980-04-10 1994-11-08 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
EP0704913A2 (en) * 1994-09-28 1996-04-03 Nippon Telegraph And Telephone Corporation Optical semiconductor device and method of fabricating the same
US5582641A (en) * 1988-10-02 1996-12-10 Canon Kabushiki Kaisha Crystal article and method for forming same
US5588994A (en) * 1980-04-10 1996-12-31 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US20150348827A1 (en) * 2012-11-02 2015-12-03 International Business Machines Corporation Fabrication of localized soi on localized thick box using selective epitaxy on bulk semiconductor substrates for photonics device integration

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1229093B (en) * 1963-01-23 1966-11-24 Basf Ag Process for the preparation of hexahydropyrimidine derivatives
GB1288278A (en) * 1968-12-31 1972-09-06
US3579057A (en) * 1969-08-18 1971-05-18 Rca Corp Method of making a semiconductor article and the article produced thereby
DE3821775A1 (en) * 1988-06-28 1990-01-11 Siemens Ag Semiconductor layer structure for a laser diode having a buried heterostructure
WO1997007265A1 (en) * 1995-08-16 1997-02-27 Siemens Aktiengesellschaft SEED CRYSTAL FOR GROWING MONOCRYSTALS, USE OF THE SEED CRYSTAL AND PROCESS FOR PRODUCING SiC MONOCRYSTALS OR MONOCRYSTALLINE SiC LAYERS

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3000768A (en) * 1959-05-28 1961-09-19 Ibm Semiconductor device with controlled zone thickness
US3156591A (en) * 1961-12-11 1964-11-10 Fairchild Camera Instr Co Epitaxial growth through a silicon dioxide mask in a vacuum vapor deposition process
US3206339A (en) * 1963-09-30 1965-09-14 Philco Corp Method of growing geometricallydefined epitaxial layer without formation of undesirable crystallites
US3236701A (en) * 1962-05-09 1966-02-22 Westinghouse Electric Corp Double epitaxial layer functional block
US3260902A (en) * 1962-10-05 1966-07-12 Fairchild Camera Instr Co Monocrystal transistors with region for isolating unit
US3265542A (en) * 1962-03-15 1966-08-09 Philco Corp Semiconductor device and method for the fabrication thereof
US3296040A (en) * 1962-08-17 1967-01-03 Fairchild Camera Instr Co Epitaxially growing layers of semiconductor through openings in oxide mask
US3322581A (en) * 1965-10-24 1967-05-30 Texas Instruments Inc Fabrication of a metal base transistor
US3370995A (en) * 1965-08-02 1968-02-27 Texas Instruments Inc Method for fabricating electrically isolated semiconductor devices in integrated circuits
US3372069A (en) * 1963-10-22 1968-03-05 Texas Instruments Inc Method for depositing a single crystal on an amorphous film, method for manufacturing a metal base transistor, and a thin-film, metal base transistor

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3000768A (en) * 1959-05-28 1961-09-19 Ibm Semiconductor device with controlled zone thickness
US3156591A (en) * 1961-12-11 1964-11-10 Fairchild Camera Instr Co Epitaxial growth through a silicon dioxide mask in a vacuum vapor deposition process
US3265542A (en) * 1962-03-15 1966-08-09 Philco Corp Semiconductor device and method for the fabrication thereof
US3236701A (en) * 1962-05-09 1966-02-22 Westinghouse Electric Corp Double epitaxial layer functional block
US3296040A (en) * 1962-08-17 1967-01-03 Fairchild Camera Instr Co Epitaxially growing layers of semiconductor through openings in oxide mask
US3260902A (en) * 1962-10-05 1966-07-12 Fairchild Camera Instr Co Monocrystal transistors with region for isolating unit
US3206339A (en) * 1963-09-30 1965-09-14 Philco Corp Method of growing geometricallydefined epitaxial layer without formation of undesirable crystallites
US3372069A (en) * 1963-10-22 1968-03-05 Texas Instruments Inc Method for depositing a single crystal on an amorphous film, method for manufacturing a metal base transistor, and a thin-film, metal base transistor
US3370995A (en) * 1965-08-02 1968-02-27 Texas Instruments Inc Method for fabricating electrically isolated semiconductor devices in integrated circuits
US3322581A (en) * 1965-10-24 1967-05-30 Texas Instruments Inc Fabrication of a metal base transistor

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3486892A (en) * 1966-01-13 1969-12-30 Raytheon Co Preferential etching technique
US3506509A (en) * 1967-11-01 1970-04-14 Bell Telephone Labor Inc Etchant for precision etching of semiconductors
US3789276A (en) * 1968-07-15 1974-01-29 Texas Instruments Inc Multilayer microelectronic circuitry techniques
US3514845A (en) * 1968-08-16 1970-06-02 Raytheon Co Method of making integrated circuits with complementary elements
US3663319A (en) * 1968-11-20 1972-05-16 Gen Motors Corp Masking to prevent autodoping of epitaxial deposits
US3851382A (en) * 1968-12-02 1974-12-03 Telefunken Patent Method of producing a semiconductor or thick film device
US3753803A (en) * 1968-12-06 1973-08-21 Hitachi Ltd Method of dividing semiconductor layer into a plurality of isolated regions
US4180422A (en) * 1969-02-03 1979-12-25 Raytheon Company Method of making semiconductor diodes
US3571916A (en) * 1969-03-14 1971-03-23 Microwave Ass Schottky edge contact diode
JPS5113396B1 (en) * 1969-10-14 1976-04-28
US3798513A (en) * 1969-12-01 1974-03-19 Hitachi Ltd Semiconductor device having a surface parallel to the {8 100{9 {11 plane and a channel stopper parallel to the {8 111{9 {11 plane
US3924321A (en) * 1970-11-23 1975-12-09 Harris Corp Radiation hardened mis devices
US3737739A (en) * 1971-02-22 1973-06-05 Ibm Single crystal regions in dielectric substrate
US3852104A (en) * 1971-10-02 1974-12-03 Philips Corp Method of manufacturing a semiconductor device
DE2303798A1 (en) * 1972-01-27 1973-08-02 Philips Nv METHOD FOR PRODUCING SEMICONDUCTOR ARRANGEMENTS AND SEMICONDUCTOR ARRANGEMENTS PRODUCED BY THIS METHOD
US3990927A (en) * 1973-11-23 1976-11-09 Commissariat A L'energie Atomique Method for isolating the components of an integrated circuit
JPS50146356A (en) * 1974-04-08 1975-11-25
US3984173A (en) * 1974-04-08 1976-10-05 Texas Instruments Incorporated Waveguides for integrated optics
JPS5619601B2 (en) * 1974-04-08 1981-05-08
US4066482A (en) * 1974-04-08 1978-01-03 Texas Instruments Incorporated Selective epitaxial growth technique for fabricating waveguides for integrated optics
US3936329A (en) * 1975-02-03 1976-02-03 Texas Instruments Incorporated Integral honeycomb-like support of very thin single crystal slices
US4141765A (en) * 1975-02-17 1979-02-27 Siemens Aktiengesellschaft Process for the production of extremely flat silicon troughs by selective etching with subsequent rate controlled epitaxial refill
US4004954A (en) * 1976-02-25 1977-01-25 Rca Corporation Method of selective growth of microcrystalline silicon
US4133925A (en) * 1976-12-30 1979-01-09 Rca Corp. Planar silicon-on-sapphire composite
US4178197A (en) * 1979-03-05 1979-12-11 International Business Machines Corporation Formation of epitaxial tunnels utilizing oriented growth techniques
US5298787A (en) * 1979-08-10 1994-03-29 Massachusetts Institute Of Technology Semiconductor embedded layer technology including permeable base transistor
US4378629A (en) * 1979-08-10 1983-04-05 Massachusetts Institute Of Technology Semiconductor embedded layer technology including permeable base transistor, fabrication method
US5032538A (en) * 1979-08-10 1991-07-16 Massachusetts Institute Of Technology Semiconductor embedded layer technology utilizing selective epitaxial growth methods
US4336099A (en) * 1979-11-14 1982-06-22 General Electric Company Method for producing gallium arsenide single crystal ribbons
US5273616A (en) * 1980-04-10 1993-12-28 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US4816420A (en) * 1980-04-10 1989-03-28 Massachusetts Institute Of Technology Method of producing tandem solar cell devices from sheets of crystalline material
US5217564A (en) * 1980-04-10 1993-06-08 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
WO1981002948A1 (en) * 1980-04-10 1981-10-15 Massachusetts Inst Technology Methods of producing sheets of crystalline material and devices made therefrom
US5328549A (en) * 1980-04-10 1994-07-12 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US4837182A (en) * 1980-04-10 1989-06-06 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US5676752A (en) * 1980-04-10 1997-10-14 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US5588994A (en) * 1980-04-10 1996-12-31 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US5549747A (en) * 1980-04-10 1996-08-27 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US4727047A (en) * 1980-04-10 1988-02-23 Massachusetts Institute Of Technology Method of producing sheets of crystalline material
US5362682A (en) * 1980-04-10 1994-11-08 Massachusetts Institute Of Technology Method of producing sheets of crystalline material and devices made therefrom
US4549926A (en) * 1982-01-12 1985-10-29 Rca Corporation Method for growing monocrystalline silicon on a mask layer
US4482422A (en) * 1982-02-26 1984-11-13 Rca Corporation Method for growing a low defect monocrystalline layer on a mask
US4473598A (en) * 1982-06-30 1984-09-25 International Business Machines Corporation Method of filling trenches with silicon and structures
EP0130650A1 (en) * 1983-07-01 1985-01-09 ALCATEL ALSTHOM RECHERCHE Société Anonyme Semiconductor device with an optical waveguide, and method of making such a device
FR2548220A1 (en) * 1983-07-01 1985-01-04 Labo Electronique Physique LIGHT SURFACE GUIDE ON SEMICONDUCTOR MATERIAL
US4507158A (en) * 1983-08-12 1985-03-26 Hewlett-Packard Co. Trench isolated transistors in semiconductor films
US4467521A (en) * 1983-08-15 1984-08-28 Sperry Corporation Selective epitaxial growth of gallium arsenide with selective orientation
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4592792A (en) * 1985-01-23 1986-06-03 Rca Corporation Method for forming uniformly thick selective epitaxial silicon
US4797374A (en) * 1985-07-20 1989-01-10 Plessey Overseas Limited Method for selective heteroepitaxial III-V compound growth
US4717597A (en) * 1986-03-21 1988-01-05 Motorola Inc. Method for providing impurities into a carrier gas line
US5084410A (en) * 1987-10-15 1992-01-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices
US5582641A (en) * 1988-10-02 1996-12-10 Canon Kabushiki Kaisha Crystal article and method for forming same
US5212112A (en) * 1991-05-23 1993-05-18 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5304834A (en) * 1991-05-23 1994-04-19 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5296086A (en) * 1991-07-25 1994-03-22 Rohm Co., Ltd. Method for manufacturing semiconductor device having grown layer on insulating layer
EP0704913A3 (en) * 1994-09-28 1997-03-19 Nippon Telegraph & Telephone Optical semiconductor device and method of fabricating the same
EP0704913A2 (en) * 1994-09-28 1996-04-03 Nippon Telegraph And Telephone Corporation Optical semiconductor device and method of fabricating the same
US5783844A (en) * 1994-09-28 1998-07-21 Nippon Telegraph And Telephone Corporation Optical semiconductor device
US20040038434A1 (en) * 1994-09-28 2004-02-26 Fumihiko Kobayashi Optical semiconductor device and method of fabricating the same
US6790697B2 (en) 1994-09-28 2004-09-14 Nippon Telegraph And Telephone Corporation Optical semiconductor device and method of fabricating the same
US6949394B2 (en) * 1994-09-28 2005-09-27 Nippon Telegraph And Telephone Corporation Optical semiconductor device and method of fabricating the same
US20150348827A1 (en) * 2012-11-02 2015-12-03 International Business Machines Corporation Fabrication of localized soi on localized thick box using selective epitaxy on bulk semiconductor substrates for photonics device integration

Also Published As

Publication number Publication date
FR1498751A (en) 1967-10-20
FR1498752A (en) 1967-10-20
GB1161343A (en) 1969-08-13
DE1544329A1 (en) 1971-03-18
NL6615040A (en) 1967-04-25

Similar Documents

Publication Publication Date Title
US3425879A (en) Method of making shaped epitaxial deposits
US3370995A (en) Method for fabricating electrically isolated semiconductor devices in integrated circuits
Jastrzebski SOI by CVD: Epitaxial lateral overgrowth (ELO) process
US3664896A (en) Deposited silicon diffusion sources
US3861968A (en) Method of fabricating integrated circuit device structure with complementary elements utilizing selective thermal oxidation and selective epitaxial deposition
US3461003A (en) Method of fabricating a semiconductor structure with an electrically isolated region of semiconductor material
US4346513A (en) Method of fabricating semiconductor integrated circuit device utilizing selective etching and epitaxial refill
US3379584A (en) Semiconductor wafer with at least one epitaxial layer and methods of making same
US3475661A (en) Semiconductor device including polycrystalline areas among monocrystalline areas
US3574008A (en) Mushroom epitaxial growth in tier-type shaped holes
US3265542A (en) Semiconductor device and method for the fabrication thereof
US3587166A (en) Insulated isolation techniques in integrated circuits
US3886569A (en) Simultaneous double diffusion into a semiconductor substrate
US3793712A (en) Method of forming circuit components within a substrate
US3421055A (en) Structure and method for preventing spurious growths during epitaxial deposition of semiconductor material
US3905037A (en) Integrated circuit components in insulated islands of integrated semiconductor materials in a single substrate
US3129119A (en) Production of p.n. junctions in semiconductor material
US3558374A (en) Polycrystalline film having controlled grain size and method of making same
US3783050A (en) Method of making semiconductor device using polycrystal thin film for impurity diffusion
US3409483A (en) Selective deposition of semiconductor materials
US3566220A (en) Integrated semiconductor circuit having complementary transistors provided with dielectric isolation and surface collector contacts
US3206339A (en) Method of growing geometricallydefined epitaxial layer without formation of undesirable crystallites
EP0051534A2 (en) A method of fabricating a self-aligned integrated circuit structure using differential oxide growth
US3791882A (en) Method of manufacturing semiconductor devices utilizing simultaneous deposition of monocrystalline and polycrystalline regions
US3476617A (en) Assembly having adjacent regions of different semiconductor material on an insulator substrate and method of manufacture