US5006192A - Apparatus for producing semiconductor devices - Google Patents

Apparatus for producing semiconductor devices Download PDF

Info

Publication number
US5006192A
US5006192A US07/273,556 US27355688A US5006192A US 5006192 A US5006192 A US 5006192A US 27355688 A US27355688 A US 27355688A US 5006192 A US5006192 A US 5006192A
Authority
US
United States
Prior art keywords
high voltage
discharge space
discharge
plasma
main
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US07/273,556
Inventor
Mikio Deguchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Assigned to MITSUBISHI DENKI KABUSHIKI KAISHA, 2-3, MARUNOUCHI 2-CHOME, CHIYODA-KU, TOKYO, JAPAN reassignment MITSUBISHI DENKI KABUSHIKI KAISHA, 2-3, MARUNOUCHI 2-CHOME, CHIYODA-KU, TOKYO, JAPAN ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: DEGUCHI, MIKIO
Application granted granted Critical
Publication of US5006192A publication Critical patent/US5006192A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Definitions

  • This invention relates to apparatuses for treating wafers utilizing the plasmas produced by gas discharges; this invention also relates to methods of cleaning such apparatus.
  • FIG. 1 is a schematic view of a conventional plasma chemical vapor deposition apparatus.
  • the apparatus of the figure comprises the grounded vacuum vessel 1 in which the wafer or the substrate 2 is placed.
  • the outer surfaces of the electrode 3 are covered by the grounding shield 6 electrically connected to the vessel 1.
  • limiter electrode 7, electrically connected to the vessel surrounds the sides of the discharging space in which plasma 5a is formed.
  • a heater 8 is provided to heat the substrate 2 to a predetermined temperature.
  • the deposition gas for forming thin films on the substrate 2 is introduced into the vessel 1 through an inlet port (not shown); a carrier gas may also be introduced into the vessel 1, if necessary.
  • a carrier gas may also be introduced into the vessel 1, if necessary.
  • silane gas SiH 4
  • hydrogen gas H 2
  • Ar argon gas
  • a high voltage is applied to the main electrode 3 by the voltage source 4 to start a glow gas discharge in the discharge space 5 between the electrode 3 and the substrate 2, thereby generating a plasma therein.
  • the plasma 5a produced therein is that of the deposition gas and the carrier gas introduced into the vessel 1.
  • the molecules of the deposition gas are decomposed by the impacts of the electrons in the plasma 5a, and a desired thin film is formed on the substrate through a series of chemical reactions.
  • the limiter electrode 7 which is electrically connected to the vessel 1 is kept at the ground voltage.
  • the discharge space 5 is limited to the regions surrounded by the electrode 3, the substrate 2, and the limiter electrode 7; thus, the plasma 5a is prevented from coming into direct contact with the inner surfaces of the walls of the vessel 1.
  • the limitation of the discharge space 5 by the limiter electrode 7 cannot prevent the diffusion of the particles formed by the decomposition of the deposition gas in the plasma 5a.
  • these decomposed materials are diffused over the whole space in the vessel 1, thereby forming films of the material on the surfaces of the vessel 1 which are situated outside the discharging space 5 contained by the limiter electrode 7; although the speed of the accumulation of the film on the surfaces outside of the discharge space 5 is slow compared with that of the deposition of the film on the substrate 2, the films accumulated on the surfaces of the vessel 1 may peel therefrom and drop onto the surface of the substrate 2 which are undergoing the film formation process, which results in defects in the films on the substrate 2.
  • the limitation of the discharge space 5 by the limiter electrode 7 reduces the percentage of the volume occupied by the plasma 5a in the whole volume of the vessel 1. This is disadvantageous for the purpose of reducing the amount of impurities such as oxygen (O) and carbon (C) which remain near the surface regions of the walls of the vessel 1 and which have adverse effects on the quality of the film formed on the substrate 2.
  • impurities such as oxygen (O) and carbon (C) which remain near the surface regions of the walls of the vessel 1 and which have adverse effects on the quality of the film formed on the substrate 2.
  • an object of the present invention is to provide an apparatus for treating wafers or substrates of the above mentioned type which is equipped with an in situ cleaning means for cleaning the films deposited on the surfaces of the apparatus and the impurities adsorbed thereby.
  • a further object of the present invention is to provide a method of cleaning such an apparatus for treating substrates, wherein the apparatus can be cleaned of the films deposited on the surfaces thereof and the impurities adsorbed thereby.
  • an apparatus for treating wafers utilizing a plasma produced by a gas discharge comprises the following members: a vacuum vessel; a main electrode and an opposing electrode which are disposed in the vessel and a supporting means for the wafer or the substrate.
  • the main electrode is electrically connected to a high voltage source to form a high voltage electrical field between the main electrode and the opposing electrode.
  • the means for supporting the substrate is on the opposing electrode and/or the main electrode; means for introducing a gas into the vessel; and means for forming a high voltage electrical field which forms a high voltage electrical field outside of the discharge space between the main electrode and the means for supporting the substrate.
  • the vessel and the portions of the apparatus disposed therein are cleaned of the films deposited thereon during the treatment process of the wafers and also of the impurities adsorbed thereby;
  • the method according to the present invention comprises introducing a discharge gas in the vacuum vessel and forming a high voltage electrical field in a space comprising the discharge space between the main electrode and the opposing electrode and the space lying outside thereof.
  • the discharge gas introduced into the vessel may comprise an etching gas the plasma of which is capable of etching the films deposited on the surfaces of the vessel and the portions of the apparatus disposed therein; examples of such etching gas includes hydrogen gas and argon gas.
  • Hydrogen gas may be introduced into the vessel subsequent to the introduction of the etching gas to clean and remove the impurities remaining in the near surface region of the inner walls of the vessel and the portions of the apparatus disposed therein: the plasma of the hydrogen gas is capable of removing impurities such as oxygen (O) and carbon (C).
  • the cleaning process according to the present invention is performed in a period between the treatment processes--such as thin film formation or sputtering or etching--of the apparatus.
  • FIG. 1 is a schematic sectional view of a conventional plasma chemical vapor deposition apparatus for forming thin films on wafers or substrates;
  • FIGS. 2a and 2b are views similar to that of FIG. 1, but showing an apparatus equipped with an in situ cleaning means according to the present invention, wherein FIG. 2a shows the apparatus in the thin film formation process, and FIG. 2b shows the apparatus in the cleaning process according to the present invention; and
  • FIGS. 3a and 3b are views similar to those of FIGS. 2a and 2b, respectively, but showing another apparatus equipped with an in situ cleaning means according to the present invention.
  • FIGS. 2a and 2b of the drawings a first embodiment of the present invention is described.
  • the apparatus shown in these figures is a plasma chemical vapor deposition apparatus similar to that shown in FIG. 1.
  • Substantially hollow cylindrical vacuum vessel 1 comprises a table 1a for supporting a wafer or substrate 2, such as a semiconductor wafer, a glass or a stainless substrate at the bottom portion of the vessel 1, and an inlet port 1b and an outlet port 1c for introducing and exhausting discharge or carrier gases.
  • the vessel 1 may be stainless steel and have a diameter of 300 mm and a height of 300 mm.
  • a main electrode 3 electrically connected to a high voltage source 4 supplying a voltage at a frequency of 13.56 MHz is disposed in the vessel 1 above the table 1a at a distance of 40 mm.
  • the surfaces of the main electrode 3 and the wire 3a connecting the electrode 3 to the voltage source 4, which do not oppose the discharge space 5 between the electrode 3 and the table 1a, are covered by the shield 6 which is grounded by means of the switch 6a during the substrate treatment process, i.e., the thin film formation. Further, the discharge space 5 between the main electrode 3 and the table 1a is surrounded by the limiter electrode 7 on all sides to confine the gas discharge within the discharge space 5.
  • the shield 6 and the limiter electrode 7 are also made of stainless steel, and are capable of being electrically connected to the ground (FIG. 2a) and to the voltage source 4 (FIG. 2b) through the switches 6a and 7a, respectively; the shield 6 and the limiter electrode 7 are electrically insulated from the vessel 1.
  • the table 1a and the main electrode 3 may have the form of a disk with a diameter of 120 mm, and the limiter electrode 7 may have the form of a hollow cylinder of substantially the same diameter.
  • a heater 8 is disposed under the table 1a for heating the substrate 2 to a predetermined temperature during the thin film formation thereon.
  • the shield 6 and the limiter electrode 7 are grounded by means of the switches 6a and 7a as shown in FIG. 2a; thus, the process is substantially similar to that of the conventional apparatus of FIG. 1. Therefore, the details thereof are omitted herein.
  • thin films of the decomposed material from the deposition gas such as silane (SiH 4 ) gradually accumulate on the surfaces of the vessel 1 and the portions of the apparatus disposed therein; the accumulation of the films occurs not only on the surfaces directly facing the discharge space 5, but also on surfaces outside of the discharge space 5, because the decomposed material formed in the discharge space 5 diffuse over the whole volume of the vessel 1.
  • the shield 6 and the limiter electrode 7 are electrically connected to the high voltage source 4 by means of the switches 6a and 7a, respectively, as shown in FIG. 2b.
  • a high voltage electrical field is formed between the grounded vessel 1 and the table 1a forming part thereof, and the main electrode 3, the shield 6, and the limiter electrode 7 which are electrically connected to the high voltage source 4.
  • plasma 5a and 9a is formed not only in the discharge space 5 between the main electrode 3 and the table 1a, but also in the space 9 lying outside of the discharge space 5 by the glow discharge caused by the high voltage electric field formed therein.
  • the plasma 5a and 9a occupies substantially the whole volume inside the vacuum vessel 1.
  • the discharge gas which is introduced into the vessel through the inlet port 1b and exhausted therefrom through the outlet port 1c at a predetermined flow rate, may comprise an etching gas and hydrogen gas as described in what follows.
  • an etching gas the plasma of which is capable of etching the films deposited and accumulated on the inner surfaces of the vessel 1, etc., during the substrate treatment process, is introduced into the vessel 1 to etch and remove such films.
  • the etching may be performed either by the reactive etching process utilizing chemical reactions or by the non-reactive etching process.
  • an etching gas is preferred which has no adverse effects on the substrate treatment process even if the gas remains in the vessel 1 as a remnant impurity.
  • plasma 5a and 9a occupy substantially in the whole volume of the vessel 1 as shown in FIG. 2b, thereby removing the films accumulated on the inner surfaces of the vessel 1.
  • the cleaning of the accumulated films can be effected easily without complicated operations such as the disassembly of the vessel 1.
  • hydrogen gas is introduced into the vessel 1 to form a plasma in the whole volume of the vessel 1, thereby removing the remnant impurities such as carbon (C) and oxygen (O) adsorbed on the inner surfaces of the vessel 1.
  • the carbon and oxygen are released and exhausted in the form of carbon monoxide, hydrocarbons, and water, etc.
  • the frequency of the voltage source 4 is in the high frequency (HF) region in the embodiment described above, the frequency of the voltage source 4 is not limited thereto; the gas discharge utilized in the cleaning process according to the present invention may be a D.C. discharge, and the discharge may be a continuous discharge, or a pulsed discharge.
  • the plasma 9a is generated in the space 9, lying outside of the discharge space 5 for the substrate treatment by a high voltage electric field, by the application of a high voltage to the limiter electrode 7 and the shield 6, i.e., the voltage source 4.
  • auxiliary electrodes 10 having a L-shaped cross sectional form are disposed in the space 9 outside of the substrate treating discharge space 5 for the purpose of forming a high voltage electric field therein.
  • the auxiliary electrodes 10 are made of stainless steel and are capable of being switched to be electrically connected to ground (FIG. 3a) and to the voltage source 4 by means of the switches 10a (FIG. 3b).
  • FIGS. 3a and 3b is also a chemical vapor deposition apparatus utilizing a plasma produced by a gas discharge to form thin films on substrates, and except for the auxiliary electrodes 10, the apparatus of FIGS. 3a and 3b has a structure similar to that of the apparatus of FIG. 1.
  • the auxiliary electrodes 10 are grounded through the switches 10a as shown in FIG. 3a, and the operation of the apparatus during the process is similar to that of the conventional apparatus of FIG. 1.
  • the auxiliary electrodes 10a are electrically connected to the high voltage source 4 by means of the switches 10a as shown in FIG. 3b; the shield 6 and the limiter electrode 7, however, are electrically connected to the grounded vessel 1.
  • a high voltage electric field is formed not only in the discharge space 5 for the substrate treatment between the main electrode 3 and the table 1a, but also in the space 9 lying outside of the space 5 between the auxiliary electrodes 10 and the vessel 1, between the electrodes 10 and the shield 6, and between the electrodes 10 and the limiter electrode 7.
  • the plasma 5a and 9a is formed in the space 9 as well as in the space 5 with the introduction of a discharge gas into the vessel 1 through the inlet port 1b.
  • FIGS. 3a and 3b are similar to those of the embodiment of FIGS. 2a and 2b, and the explanation thereof is omitted herein.
  • a high voltage source in the high frequency region is used to form a high voltage electric field in the space 5 and 9 in the vessel 1.
  • a microwave generator i.e., a magnetron
  • a waveguide system may also be used to form such an electric field in the vessel 1.
  • the embodiments described above have been limited to the apparatus for effecting thin film formation by the chemical vapor deposition utilizing the plasma produced by a gas discharge.
  • the present invention is applicable to other treating apparatus, such as etching or sputtering apparatus, which utilize a plasma produced by the gas discharge.
  • a wafer or a substrate is supported on the grounded table opposing the main electrode.
  • a wafer or a substrate may be supported on the opposing surface of the main electrode.

Abstract

An apparatus for treating wafers utilizing the plasma produced by a gas discharge and a method of cleaning such apparatus are disclosed. The apparatus is equipped with a device for forming a high voltage electric field in a space outside of the discharge space in which the wafer treating plasma is generated. The cleaning of the inner surfaces of the vacuum vessel of the apparatus is effected during the periods between the treatments by means of the plasma generated by the gas discharge started and sustained by the electric field device as well as by a main electrode for maintaining the treating discharge. The device for forming a high voltage electric field as mentioned above may comprise a limiter electrode surrounding the treating discharge space; alternatively, it may comprise an auxiliary electrode disposed in the space outside the treating discharge space. First, an etching gas capable of etching the films deposited on the inner surfaces of the vessel of the apparatus is introduced into the vessel as the discharge gas in the cleaning process; next, hydrogen is introduced into the vessel to remove the impurities adsorbed on the surfaces of the vessel. The etching gas may comprise hydrogen or argon.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to apparatuses for treating wafers utilizing the plasmas produced by gas discharges; this invention also relates to methods of cleaning such apparatus.
2. Description of the Related Art
FIG. 1 is a schematic view of a conventional plasma chemical vapor deposition apparatus. The apparatus of the figure comprises the grounded vacuum vessel 1 in which the wafer or the substrate 2 is placed. The high frequency voltage applying main electrode 3, electrically connected to the high frequency voltage source 4, opposes the substrate 2 from above across a discharge space 5. The outer surfaces of the electrode 3 are covered by the grounding shield 6 electrically connected to the vessel 1. Further, limiter electrode 7, electrically connected to the vessel, surrounds the sides of the discharging space in which plasma 5a is formed. A heater 8 is provided to heat the substrate 2 to a predetermined temperature.
The operation of the apparatus of FIG. 1 is as follows. After the substrate 2 is heated to a predetermined temperature by the heater 8, the deposition gas for forming thin films on the substrate 2 is introduced into the vessel 1 through an inlet port (not shown); a carrier gas may also be introduced into the vessel 1, if necessary. When amorphous silicon films are formed on the substrate 2, for example, silane gas (SiH4) may be used as the material gas for forming the thin films on the substrate 2, and hydrogen gas (H2) or the argon gas (Ar) as the carrier gas. Further, a high voltage is applied to the main electrode 3 by the voltage source 4 to start a glow gas discharge in the discharge space 5 between the electrode 3 and the substrate 2, thereby generating a plasma therein. The plasma 5a produced therein is that of the deposition gas and the carrier gas introduced into the vessel 1. The molecules of the deposition gas are decomposed by the impacts of the electrons in the plasma 5a, and a desired thin film is formed on the substrate through a series of chemical reactions.
In this process of thin film formation utilizing the plasma 5a produced by the gas discharge, the limiter electrode 7 which is electrically connected to the vessel 1 is kept at the ground voltage. Thus, the discharge space 5 is limited to the regions surrounded by the electrode 3, the substrate 2, and the limiter electrode 7; thus, the plasma 5a is prevented from coming into direct contact with the inner surfaces of the walls of the vessel 1.
The conventional apparatus as described above, however, has the following disadvantages.
The limitation of the discharge space 5 by the limiter electrode 7 cannot prevent the diffusion of the particles formed by the decomposition of the deposition gas in the plasma 5a. Thus, these decomposed materials are diffused over the whole space in the vessel 1, thereby forming films of the material on the surfaces of the vessel 1 which are situated outside the discharging space 5 contained by the limiter electrode 7; although the speed of the accumulation of the film on the surfaces outside of the discharge space 5 is slow compared with that of the deposition of the film on the substrate 2, the films accumulated on the surfaces of the vessel 1 may peel therefrom and drop onto the surface of the substrate 2 which are undergoing the film formation process, which results in defects in the films on the substrate 2.
The limitation of the discharge space 5 by the limiter electrode 7 reduces the percentage of the volume occupied by the plasma 5a in the whole volume of the vessel 1. This is disadvantageous for the purpose of reducing the amount of impurities such as oxygen (O) and carbon (C) which remain near the surface regions of the walls of the vessel 1 and which have adverse effects on the quality of the film formed on the substrate 2.
SUMMARY OF THE INVENTION
Thus, an object of the present invention is to provide an apparatus for treating wafers or substrates of the above mentioned type which is equipped with an in situ cleaning means for cleaning the films deposited on the surfaces of the apparatus and the impurities adsorbed thereby.
A further object of the present invention is to provide a method of cleaning such an apparatus for treating substrates, wherein the apparatus can be cleaned of the films deposited on the surfaces thereof and the impurities adsorbed thereby.
According to the present invention, an apparatus for treating wafers utilizing a plasma produced by a gas discharge is provided; the apparatus comprises the following members: a vacuum vessel; a main electrode and an opposing electrode which are disposed in the vessel and a supporting means for the wafer or the substrate. The main electrode is electrically connected to a high voltage source to form a high voltage electrical field between the main electrode and the opposing electrode. The means for supporting the substrate is on the opposing electrode and/or the main electrode; means for introducing a gas into the vessel; and means for forming a high voltage electrical field which forms a high voltage electrical field outside of the discharge space between the main electrode and the means for supporting the substrate.
According to the method of cleaning such an apparatus for treating wafers of the present invention, the vessel and the portions of the apparatus disposed therein are cleaned of the films deposited thereon during the treatment process of the wafers and also of the impurities adsorbed thereby; the method according to the present invention comprises introducing a discharge gas in the vacuum vessel and forming a high voltage electrical field in a space comprising the discharge space between the main electrode and the opposing electrode and the space lying outside thereof. The discharge gas introduced into the vessel may comprise an etching gas the plasma of which is capable of etching the films deposited on the surfaces of the vessel and the portions of the apparatus disposed therein; examples of such etching gas includes hydrogen gas and argon gas. Hydrogen gas may be introduced into the vessel subsequent to the introduction of the etching gas to clean and remove the impurities remaining in the near surface region of the inner walls of the vessel and the portions of the apparatus disposed therein: the plasma of the hydrogen gas is capable of removing impurities such as oxygen (O) and carbon (C). The cleaning process according to the present invention is performed in a period between the treatment processes--such as thin film formation or sputtering or etching--of the apparatus.
BRIEF DESCRIPTION OF THE DRAWINGS
Further features and details of the present invention will become more clear in the following detailed description of the preferred embodiments, taken in conjunction with the attached drawings, in which:
FIG. 1 is a schematic sectional view of a conventional plasma chemical vapor deposition apparatus for forming thin films on wafers or substrates;
FIGS. 2a and 2b are views similar to that of FIG. 1, but showing an apparatus equipped with an in situ cleaning means according to the present invention, wherein FIG. 2a shows the apparatus in the thin film formation process, and FIG. 2b shows the apparatus in the cleaning process according to the present invention; and
FIGS. 3a and 3b are views similar to those of FIGS. 2a and 2b, respectively, but showing another apparatus equipped with an in situ cleaning means according to the present invention.
In the drawings, like reference numerals represent like or corresponding members or parts.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Referring now to FIGS. 2a and 2b of the drawings, a first embodiment of the present invention is described. The apparatus shown in these figures is a plasma chemical vapor deposition apparatus similar to that shown in FIG. 1.
Substantially hollow cylindrical vacuum vessel 1 comprises a table 1a for supporting a wafer or substrate 2, such as a semiconductor wafer, a glass or a stainless substrate at the bottom portion of the vessel 1, and an inlet port 1b and an outlet port 1c for introducing and exhausting discharge or carrier gases. The vessel 1 may be stainless steel and have a diameter of 300 mm and a height of 300 mm. A main electrode 3 electrically connected to a high voltage source 4 supplying a voltage at a frequency of 13.56 MHz is disposed in the vessel 1 above the table 1a at a distance of 40 mm. The surfaces of the main electrode 3 and the wire 3a connecting the electrode 3 to the voltage source 4, which do not oppose the discharge space 5 between the electrode 3 and the table 1a, are covered by the shield 6 which is grounded by means of the switch 6a during the substrate treatment process, i.e., the thin film formation. Further, the discharge space 5 between the main electrode 3 and the table 1a is surrounded by the limiter electrode 7 on all sides to confine the gas discharge within the discharge space 5. The shield 6 and the limiter electrode 7 are also made of stainless steel, and are capable of being electrically connected to the ground (FIG. 2a) and to the voltage source 4 (FIG. 2b) through the switches 6a and 7a, respectively; the shield 6 and the limiter electrode 7 are electrically insulated from the vessel 1. The table 1a and the main electrode 3 may have the form of a disk with a diameter of 120 mm, and the limiter electrode 7 may have the form of a hollow cylinder of substantially the same diameter. A heater 8 is disposed under the table 1a for heating the substrate 2 to a predetermined temperature during the thin film formation thereon.
During the substrate treatment process, in which a thin film of amorphous silicon, for example, is formed on the surface of the substrate 2, the shield 6 and the limiter electrode 7 are grounded by means of the switches 6a and 7a as shown in FIG. 2a; thus, the process is substantially similar to that of the conventional apparatus of FIG. 1. Therefore, the details thereof are omitted herein.
As the substrate treatment process proceeds, thin films of the decomposed material from the deposition gas such as silane (SiH4) gradually accumulate on the surfaces of the vessel 1 and the portions of the apparatus disposed therein; the accumulation of the films occurs not only on the surfaces directly facing the discharge space 5, but also on surfaces outside of the discharge space 5, because the decomposed material formed in the discharge space 5 diffuse over the whole volume of the vessel 1.
On the other hand, during the periods between the substrate treatment processes, the shield 6 and the limiter electrode 7 are electrically connected to the high voltage source 4 by means of the switches 6a and 7a, respectively, as shown in FIG. 2b. Thus, a high voltage electrical field is formed between the grounded vessel 1 and the table 1a forming part thereof, and the main electrode 3, the shield 6, and the limiter electrode 7 which are electrically connected to the high voltage source 4. With the introduction of a discharge gas into the vessel 1 through the inlet port 1b, plasma 5a and 9a is formed not only in the discharge space 5 between the main electrode 3 and the table 1a, but also in the space 9 lying outside of the discharge space 5 by the glow discharge caused by the high voltage electric field formed therein. Thus, the plasma 5a and 9a occupies substantially the whole volume inside the vacuum vessel 1.
The discharge gas, which is introduced into the vessel through the inlet port 1b and exhausted therefrom through the outlet port 1c at a predetermined flow rate, may comprise an etching gas and hydrogen gas as described in what follows.
First, an etching gas, the plasma of which is capable of etching the films deposited and accumulated on the inner surfaces of the vessel 1, etc., during the substrate treatment process, is introduced into the vessel 1 to etch and remove such films. The etching may be performed either by the reactive etching process utilizing chemical reactions or by the non-reactive etching process. However, an etching gas is preferred which has no adverse effects on the substrate treatment process even if the gas remains in the vessel 1 as a remnant impurity. By the introduction of the etching gas into the vessel 1, plasma 5a and 9a occupy substantially in the whole volume of the vessel 1 as shown in FIG. 2b, thereby removing the films accumulated on the inner surfaces of the vessel 1. Thus, the cleaning of the accumulated films can be effected easily without complicated operations such as the disassembly of the vessel 1.
Further to the etching process as described above, hydrogen gas is introduced into the vessel 1 to form a plasma in the whole volume of the vessel 1, thereby removing the remnant impurities such as carbon (C) and oxygen (O) adsorbed on the inner surfaces of the vessel 1. The carbon and oxygen are released and exhausted in the form of carbon monoxide, hydrocarbons, and water, etc. By this process of discharge cleaning utilizing the hydrogen plasma, the amount of the impurities left in the vessel 1 can be minimized and the adverse effects of the impurities due to the mixing thereof in the films on the substrate 2 during the film formation process can be avoided. The parameters of this impurities removing process may be as follows:
______________________________________                                    
hydrogen gas pressure:                                                    
                    0.1 Torr                                              
hydrogen gas flow rate:                                                   
                    0.1 liters/second                                     
discharge power:    100W                                                  
______________________________________                                    
In the case of the apparatus of FIGS. 2a and 2b, plasma is not generated in the gaps between the shield 6 and the main electrode 3 during the cleaning process shown in FIG. 2b, because the shield 6 and the main electrode 3 are both electrically connected to the voltage source 4 and are at the same voltage level. However, it is possible to generate a plasma in such gaps by grounding the shield 6 and increasing the pressure of the discharge gas, the main electrode 3 being still electrically connected to the voltage source 4. Further, although the frequency of the voltage source 4 is in the high frequency (HF) region in the embodiment described above, the frequency of the voltage source 4 is not limited thereto; the gas discharge utilized in the cleaning process according to the present invention may be a D.C. discharge, and the discharge may be a continuous discharge, or a pulsed discharge.
In the embodiment of FIGS. 2a and 2b, the plasma 9a is generated in the space 9, lying outside of the discharge space 5 for the substrate treatment by a high voltage electric field, by the application of a high voltage to the limiter electrode 7 and the shield 6, i.e., the voltage source 4. In the second embodiment according to the present invention shown in FIGS. 3a and 3b, however, auxiliary electrodes 10 having a L-shaped cross sectional form are disposed in the space 9 outside of the substrate treating discharge space 5 for the purpose of forming a high voltage electric field therein. The auxiliary electrodes 10 are made of stainless steel and are capable of being switched to be electrically connected to ground (FIG. 3a) and to the voltage source 4 by means of the switches 10a (FIG. 3b). The embodiment of FIGS. 3a and 3b is also a chemical vapor deposition apparatus utilizing a plasma produced by a gas discharge to form thin films on substrates, and except for the auxiliary electrodes 10, the apparatus of FIGS. 3a and 3b has a structure similar to that of the apparatus of FIG. 1.
During the process of thin film formation on the substrate 2, the auxiliary electrodes 10 are grounded through the switches 10a as shown in FIG. 3a, and the operation of the apparatus during the process is similar to that of the conventional apparatus of FIG. 1. On the other hand, during the periods between the substrate treatment processes, the auxiliary electrodes 10a are electrically connected to the high voltage source 4 by means of the switches 10a as shown in FIG. 3b; the shield 6 and the limiter electrode 7, however, are electrically connected to the grounded vessel 1. Thus, a high voltage electric field is formed not only in the discharge space 5 for the substrate treatment between the main electrode 3 and the table 1a, but also in the space 9 lying outside of the space 5 between the auxiliary electrodes 10 and the vessel 1, between the electrodes 10 and the shield 6, and between the electrodes 10 and the limiter electrode 7. The plasma 5a and 9a is formed in the space 9 as well as in the space 5 with the introduction of a discharge gas into the vessel 1 through the inlet port 1b.
Otherwise, the structure and the operation of the embodiment of FIGS. 3a and 3b are similar to those of the embodiment of FIGS. 2a and 2b, and the explanation thereof is omitted herein.
In the embodiments of the present invention described above, a high voltage source in the high frequency region is used to form a high voltage electric field in the space 5 and 9 in the vessel 1. However, a microwave generator, i.e., a magnetron, and a waveguide system may also be used to form such an electric field in the vessel 1. Further, the embodiments described above have been limited to the apparatus for effecting thin film formation by the chemical vapor deposition utilizing the plasma produced by a gas discharge. However, the present invention is applicable to other treating apparatus, such as etching or sputtering apparatus, which utilize a plasma produced by the gas discharge. Further, in the embodiments described above, a wafer or a substrate is supported on the grounded table opposing the main electrode. However, a wafer or a substrate may be supported on the opposing surface of the main electrode.

Claims (7)

What is claimed is:
1. An apparatus for treating wafers utilizing a plasma produced by a gas discharge comprising:
an electrically conductive, grounded vacuum vessel;
a main electrode, an opposing electrode, means for supporting a wafer, said means for supporting a wafer being disposed on one of said main and opposing electrodes, and a limiter electrode disposed between said main and opposing electrodes, said main, opposing, and limiter electrodes being disposed within said vacuum vessel and generally enclosing a first discharge space and defining a second discharge space outside the first discharge space within said vacuum vessel;
means for introducing a gas into said vacuum vessel;
a high voltage source having a high voltage terminal and a ground terminal for forming a high voltage electrical field in the first discharge space and generating a plasma in the first discharge space in a gas introduced into said vacuum vessel, one of said main and opposing electrodes being electrically connected to said high voltage terminal and the other of said main and opposing electrodes being electrically connected to said ground terminal; and
means for selectively alternatively electrically connecting said limiter electrode to said ground terminal for generating the plasma in the first discharge space and to said high voltage terminal for generating a plasma in the first and second discharge spaces.
2. An apparatus for treating wafers as claimed in claim 1 comprising:
an electrical shield disposed adjacent said main electrode outside of the first discharge space; and
means for alternatively electrically connecting said electrical shield to said high voltage and ground terminals.
3. An apparatus for treating wafers as claimed in claim 1 wherein said high voltage source comprises a high frequency electrical signal generator.
4. An apparatus for treating wafers as claimed in claim 3 wherein said high frequency electrical signal generator produces an electrical field at a frequency of 13.56 MHz.
5. An apparatus for treating wafers as claimed in claim 1 wherein said high voltage source comprises a D.C. electrical field signal generator.
6. An apparatus for treating wafers as claimed in claim 1 wherein said high voltage source comprises a microwave signal generator.
7. An apparatus for treating wafers utilizing a plasma produced by a gas discharge comprising:
an electrically conductive, grounded vacuum vessel;
a main electrode, an opposing electrode, means for supporting a wafer, said means for supporting a wafer being disposed on one of said main and opposing electrodes, and a grounded limiter electrode disposed between said main and opposing electrodes, said main, opposing, and limiter electrodes being disposed within said vacuum vessel and generally enclosing a first discharge space;
means for introducing a gas into said vacuum vessel;
a high voltage source having a high voltage terminal and a ground terminal for forming a high voltage electrical field in the first discharge space and generating a plasma in the first discharge space in a gas introduced into said vacuum vessel, one of said main and opposing electrodes being electrically connected to said high voltage terminal and the other of said main and opposing electrodes being electrically connected to said ground terminal;
an auxiliary electrode disposed in said vacuum vessel outside the first discharge space and defining a second discharge space between said auxiliary electrode and said vacuum vessel; and
means for selectively alternatively electrically connecting said auxiliary electrode to said ground terminal for generating the plasma in the first discharge space and to said high voltage terminal for generating a plasma in the first and second discharge spaces.
US07/273,556 1988-06-28 1988-11-21 Apparatus for producing semiconductor devices Expired - Lifetime US5006192A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP63-158062 1988-06-28
JP63158062A JPH029115A (en) 1988-06-28 1988-06-28 Semiconductor manufacturing equipment

Publications (1)

Publication Number Publication Date
US5006192A true US5006192A (en) 1991-04-09

Family

ID=15663467

Family Applications (1)

Application Number Title Priority Date Filing Date
US07/273,556 Expired - Lifetime US5006192A (en) 1988-06-28 1988-11-21 Apparatus for producing semiconductor devices

Country Status (2)

Country Link
US (1) US5006192A (en)
JP (1) JPH029115A (en)

Cited By (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0494689A2 (en) * 1991-01-11 1992-07-15 Anelva Corporation Thin film deposition method and apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5266153A (en) * 1992-06-16 1993-11-30 National Semiconductor Corp. Gas distribution head for plasma deposition and etch systems
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
EP0578011A1 (en) * 1992-06-24 1994-01-12 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5316645A (en) * 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
EP0641013A2 (en) * 1993-08-27 1995-03-01 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0653775A1 (en) * 1993-11-12 1995-05-17 Hitachi, Ltd. Microwave plasma processing apparatus and method
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5498291A (en) * 1993-01-19 1996-03-12 Leybold Aktiengesellschaft Arrangement for coating or etching substrates
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
US5591268A (en) * 1994-10-14 1997-01-07 Fujitsu Limited Plasma process with radicals
EP0778607A1 (en) * 1995-12-04 1997-06-11 Applied Materials, Inc. Method and apparatus for cleaning a plasma reactor
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5893962A (en) * 1995-09-19 1999-04-13 Anelva Corporation Electrode unit for in-situ cleaning in thermal CVD apparatus
US5897753A (en) * 1997-05-28 1999-04-27 Advanced Energy Industries, Inc. Continuous deposition of insulating material using multiple anodes alternated between positive and negative voltages
US5948167A (en) * 1995-09-29 1999-09-07 Hyundai Electronics Industries Co., Ltd. Thin film deposition apparatus
US6059938A (en) * 1990-10-08 2000-05-09 U.S. Philips Corporation Method of reducing particle contamination during sputtering
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6077403A (en) * 1997-06-06 2000-06-20 Anelva Corporation Sputtering device and sputtering method
US6225671B1 (en) 1998-06-10 2001-05-01 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6312569B1 (en) * 1997-10-15 2001-11-06 Ebara Corporation Chemical vapor deposition apparatus and cleaning method thereof
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US20020170676A1 (en) * 2000-01-10 2002-11-21 Mitrovic Andrej S. Segmented electrode apparatus and method for plasma processing
US20020179246A1 (en) * 2001-05-31 2002-12-05 Alcatel Removable shield arrangement for ICP-RIE reactors
US6506685B2 (en) 1998-12-28 2003-01-14 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US20030038112A1 (en) * 2000-03-30 2003-02-27 Lianjun Liu Optical monitoring and control system and method for plasma reactors
US20030045060A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Crystalline or amorphous medium-k gate oxides, Y2O3 and Gd2O3
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20030119246A1 (en) * 2001-12-20 2003-06-26 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US20030228747A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US20040033681A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040038525A1 (en) * 2002-08-26 2004-02-26 Shuang Meng Enhanced atomic layer deposition
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US20040076763A1 (en) * 2002-09-20 2004-04-22 Hiroya Kirimura Apparatus and method for forming a thin flim
US20040110348A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040110391A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20040140036A1 (en) * 2000-09-14 2004-07-22 Yukito Aota Plasma processing method and apparatus
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US20040178180A1 (en) * 1996-01-03 2004-09-16 Tetsunori Kaji Plasma processing apparatus
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
US20050000443A1 (en) * 2003-07-01 2005-01-06 Dong-Hyun Kim Apparatus for processing a substrate using plasma
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050036370A1 (en) * 2002-06-21 2005-02-17 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20050179097A1 (en) * 2002-08-22 2005-08-18 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20060002188A1 (en) * 2002-06-21 2006-01-05 Micron Technology, Inc. Write once read only memory employing floating gates
US20060006548A1 (en) * 2003-08-05 2006-01-12 Micron Technology, Inc. H2 plasma treatment
US20060191479A1 (en) * 1998-07-09 2006-08-31 Hiroyuki Mizukami Surface treatment apparatus
US20060240626A1 (en) * 2002-06-21 2006-10-26 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20070178643A1 (en) * 2002-07-08 2007-08-02 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7259434B2 (en) 2001-08-30 2007-08-21 Micron Technology, Inc. Highly reliable amorphous high-k gate oxide ZrO2
US20070289710A1 (en) * 2006-06-20 2007-12-20 Eric Hudson Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080093212A1 (en) * 2006-10-12 2008-04-24 Edward Crandal Cooney By-product collecting processes for cleaning processes
US20080190448A1 (en) * 2007-02-08 2008-08-14 Lam Research Corporation Bevel clean device
US20080295772A1 (en) * 2007-05-31 2008-12-04 Samsung Sdi Co., Ltd. Chemical vapor deposition apparatus and plasma enhanced chemical vapor deposition apparatus
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US7560793B2 (en) 2002-05-02 2009-07-14 Micron Technology, Inc. Atomic layer deposition and conversion
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20100029024A1 (en) * 2008-07-30 2010-02-04 Hitachi High-Technologies Corporation Plasma processing method
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US20100166980A1 (en) * 2008-12-26 2010-07-01 Canon Anelva Corporation Inline vacuum processing apparatus, method of controlling the same, and information recording medium manufacturing method
US20110003088A1 (en) * 2008-02-06 2011-01-06 Yuuji Honda Plasma cvd apparatus, plasma cvd method, and agitating device
US7869242B2 (en) 1999-07-30 2011-01-11 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US20110155322A1 (en) * 2009-12-28 2011-06-30 Tokyo Electron Limited Plasma processing apparatus
USRE43508E1 (en) 2001-04-30 2012-07-17 Lam Research Corporation Plasma confinement by use of preferred RF return path
JP2013008770A (en) * 2011-06-23 2013-01-10 Iwatani Internatl Corp Deposit cleaning method for film deposition apparatus
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20130273263A1 (en) * 2010-12-28 2013-10-17 Canon Anelva Corporation Cvd apparatus and cvd method
US20140007413A1 (en) * 2008-12-19 2014-01-09 Eric Hudson Plasma confinement structures in plasma processing systems and methods thereof
US20140057447A1 (en) * 2012-08-02 2014-02-27 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2018121898A1 (en) * 2016-12-27 2018-07-05 Evatec Ag Rf capacitive coupled etch reactor
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20230082246A1 (en) * 2021-09-16 2023-03-16 Kioxia Corporation Substrate processing apparatus and method for manufacturing semiconductor device
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07122502A (en) * 1993-10-21 1995-05-12 Nec Corp Plasma machining device
KR100415435B1 (en) * 1999-09-21 2004-01-31 주성엔지니어링(주) Apparatus for fabricating semiconductor devices

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4134817A (en) * 1977-01-11 1979-01-16 Alsthom-Atlantique Method of attacking a thin film by decomposition of a gas in a plasma
EP0034706A2 (en) * 1980-02-08 1981-09-02 VEB Zentrum für Forschung und Technologie Mikroelektronik Process and apparatus for ion etching or for plasma C.V.D.
US4352725A (en) * 1979-12-15 1982-10-05 Anelva Corporation Dry etching device comprising an electrode for controlling etch rate
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS622619A (en) * 1985-06-28 1987-01-08 Matsushita Electric Ind Co Ltd Plasma-reaction device
JPS6218030A (en) * 1985-07-17 1987-01-27 Canon Inc Ion beam etching equipment
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
JPS63221620A (en) * 1987-03-11 1988-09-14 Hitachi Ltd Plasma treatment apparatus
US4786392A (en) * 1987-04-23 1988-11-22 Unisys Corporation Fixture for cleaning a plasma etcher
JPS6411872A (en) * 1987-07-07 1989-01-17 Matsushita Electric Ind Co Ltd Heat transfer printing ribbon

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4134817A (en) * 1977-01-11 1979-01-16 Alsthom-Atlantique Method of attacking a thin film by decomposition of a gas in a plasma
US4352725A (en) * 1979-12-15 1982-10-05 Anelva Corporation Dry etching device comprising an electrode for controlling etch rate
EP0034706A2 (en) * 1980-02-08 1981-09-02 VEB Zentrum für Forschung und Technologie Mikroelektronik Process and apparatus for ion etching or for plasma C.V.D.
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
US4464223B1 (en) * 1983-10-03 1991-04-09 Tegal Corp
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS622619A (en) * 1985-06-28 1987-01-08 Matsushita Electric Ind Co Ltd Plasma-reaction device
JPS6218030A (en) * 1985-07-17 1987-01-27 Canon Inc Ion beam etching equipment
US4767641A (en) * 1986-03-04 1988-08-30 Leybold-Heraeus Gmbh Plasma treatment apparatus
JPS63221620A (en) * 1987-03-11 1988-09-14 Hitachi Ltd Plasma treatment apparatus
US4786392A (en) * 1987-04-23 1988-11-22 Unisys Corporation Fixture for cleaning a plasma etcher
JPS6411872A (en) * 1987-07-07 1989-01-17 Matsushita Electric Ind Co Ltd Heat transfer printing ribbon

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Noda et al., "Study of the Discharge Cleaning Process in JIPP T-11 Torus by Residual Gas Analyzer", J. Vac. Sci. Technol. A 1(3), Jul.-Sep. 1983.
Noda et al., Study of the Discharge Cleaning Process in JIPP T 11 Torus by Residual Gas Analyzer , J. Vac. Sci. Technol. A 1(3), Jul. Sep. 1983. *
Waelbroeck et al., "Cleaning and Conditioning of the Walls of Plasma Devices by Glow Discharges in Hydrogen", J. Vac. Sci. Technol., vol. 2, No. 4, Oct., Dec. 1984.
Waelbroeck et al., Cleaning and Conditioning of the Walls of Plasma Devices by Glow Discharges in Hydrogen , J. Vac. Sci. Technol., vol. 2, No. 4, Oct., Dec. 1984. *

Cited By (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5316645A (en) * 1990-08-07 1994-05-31 Canon Kabushiki Kaisha Plasma processing apparatus
US6059938A (en) * 1990-10-08 2000-05-09 U.S. Philips Corporation Method of reducing particle contamination during sputtering
US5578130A (en) * 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
EP0494689A3 (en) * 1991-01-11 1994-05-11 Anelva Corp Thin film deposition method and apparatus
EP0494689A2 (en) * 1991-01-11 1992-07-15 Anelva Corporation Thin film deposition method and apparatus
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5266153A (en) * 1992-06-16 1993-11-30 National Semiconductor Corp. Gas distribution head for plasma deposition and etch systems
US5464499A (en) * 1992-06-24 1995-11-07 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
EP0578011A1 (en) * 1992-06-24 1994-01-12 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
EP0578010A1 (en) * 1992-06-24 1994-01-12 Texas Instruments Incorporated Multi-zone plasma processing method
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5449411A (en) * 1992-10-20 1995-09-12 Hitachi, Ltd. Microwave plasma processing apparatus
US5348587A (en) * 1992-11-16 1994-09-20 Materials Research Corporation Apparatus for elimination of low temperature ammonia salts in TiCl4 NH3 CVD reaction
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5498291A (en) * 1993-01-19 1996-03-12 Leybold Aktiengesellschaft Arrangement for coating or etching substrates
EP0641013A2 (en) * 1993-08-27 1995-03-01 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0794553A3 (en) * 1993-08-27 1998-05-20 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0641013A3 (en) * 1993-08-27 1995-07-12 Applied Materials Inc High density plasma CVD and etching reactor.
EP0794553A2 (en) * 1993-08-27 1997-09-10 Applied Materials, Inc. High density plasma CVD and etching reactor
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0653775A1 (en) * 1993-11-12 1995-05-17 Hitachi, Ltd. Microwave plasma processing apparatus and method
KR100388584B1 (en) * 1993-11-12 2003-09-19 가부시끼가이샤 히다치 세이사꾸쇼 Plasma treatment method and cleaning method of plasma treatment chamber
US5591268A (en) * 1994-10-14 1997-01-07 Fujitsu Limited Plasma process with radicals
US5739069A (en) * 1994-10-14 1998-04-14 Fujitsu Limited Plasma process with radicals
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
US5893962A (en) * 1995-09-19 1999-04-13 Anelva Corporation Electrode unit for in-situ cleaning in thermal CVD apparatus
US5948167A (en) * 1995-09-29 1999-09-07 Hyundai Electronics Industries Co., Ltd. Thin film deposition apparatus
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
EP0778607A1 (en) * 1995-12-04 1997-06-11 Applied Materials, Inc. Method and apparatus for cleaning a plasma reactor
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US20050082006A1 (en) * 1996-01-03 2005-04-21 Tetsunori Kaji Plasma processing apparatus
US20040178180A1 (en) * 1996-01-03 2004-09-16 Tetsunori Kaji Plasma processing apparatus
US20060144518A1 (en) * 1996-03-01 2006-07-06 Tetsunori Kaji Plasma processing apparatus and plasma processing method
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US5935336A (en) * 1996-04-02 1999-08-10 Micron Technology, Inc. Apparatus to increase gas residence time in a reactor
US6085689A (en) * 1996-04-02 2000-07-11 Micron Technology, Inc. Apparatus to increase gas residence time in a reactor
US6340499B1 (en) 1996-04-02 2002-01-22 Micron Technology, Inc. Method to increase gas residence time in a reactor
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US6183605B1 (en) 1997-05-28 2001-02-06 Advanced Energy Industries, Inc. AC powered system for continuous deposition of a cathode material
US5897753A (en) * 1997-05-28 1999-04-27 Advanced Energy Industries, Inc. Continuous deposition of insulating material using multiple anodes alternated between positive and negative voltages
US6077403A (en) * 1997-06-06 2000-06-20 Anelva Corporation Sputtering device and sputtering method
US6312569B1 (en) * 1997-10-15 2001-11-06 Ebara Corporation Chemical vapor deposition apparatus and cleaning method thereof
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6441452B2 (en) 1998-06-10 2002-08-27 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6461970B1 (en) * 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6225671B1 (en) 1998-06-10 2001-05-01 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US20040099927A1 (en) * 1998-06-10 2004-05-27 Zhiping Yin Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures
US6670284B2 (en) 1998-06-10 2003-12-30 Micron Technology, Inc. Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures
US20100170440A9 (en) * 1998-07-09 2010-07-08 Hiroyuki Mizukami Surface treatment apparatus
US20060191479A1 (en) * 1998-07-09 2006-08-31 Hiroyuki Mizukami Surface treatment apparatus
US6506685B2 (en) 1998-12-28 2003-01-14 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US7869242B2 (en) 1999-07-30 2011-01-11 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6818103B1 (en) 1999-10-15 2004-11-16 Advanced Energy Industries, Inc. Method and apparatus for substrate biasing in multiple electrode sputtering systems
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6863020B2 (en) * 2000-01-10 2005-03-08 Tokyo Electron Limited Segmented electrode apparatus for plasma processing
US20030137250A1 (en) * 2000-01-10 2003-07-24 Mitrovic Andrej S. Segmented electrode apparatus and method for plasma processing
US20020170676A1 (en) * 2000-01-10 2002-11-21 Mitrovic Andrej S. Segmented electrode apparatus and method for plasma processing
US6962664B2 (en) * 2000-01-10 2005-11-08 Tokyo Electron Limited Controlled method for segmented electrode apparatus and method for plasma processing
US7462335B2 (en) * 2000-03-30 2008-12-09 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
US20030038112A1 (en) * 2000-03-30 2003-02-27 Lianjun Liu Optical monitoring and control system and method for plasma reactors
US20040140036A1 (en) * 2000-09-14 2004-07-22 Yukito Aota Plasma processing method and apparatus
US7587989B2 (en) * 2000-09-14 2009-09-15 Canon Kabushiki Kaisha Plasma processing method and apparatus
US7094315B2 (en) 2000-09-28 2006-08-22 Lam Research Corporation Chamber configuration for confining a plasma
US20050103442A1 (en) * 2000-09-28 2005-05-19 Chen Jian J. Chamber configuration for confining a plasma
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US7410668B2 (en) 2001-03-01 2008-08-12 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
USRE43508E1 (en) 2001-04-30 2012-07-17 Lam Research Corporation Plasma confinement by use of preferred RF return path
US20020179246A1 (en) * 2001-05-31 2002-12-05 Alcatel Removable shield arrangement for ICP-RIE reactors
US20050032292A1 (en) * 2001-08-30 2005-02-10 Micron Technology, Inc. Crystalline or amorphous medium-K gate oxides, Y2O3 and Gd2O3
US8652957B2 (en) 2001-08-30 2014-02-18 Micron Technology, Inc. High-K gate dielectric oxide
US6844203B2 (en) 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US20030045060A1 (en) * 2001-08-30 2003-03-06 Micron Technology, Inc. Crystalline or amorphous medium-k gate oxides, Y2O3 and Gd2O3
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US7259434B2 (en) 2001-08-30 2007-08-21 Micron Technology, Inc. Highly reliable amorphous high-k gate oxide ZrO2
US7208804B2 (en) 2001-08-30 2007-04-24 Micron Technology, Inc. Crystalline or amorphous medium-K gate oxides, Y203 and Gd203
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US20030119246A1 (en) * 2001-12-20 2003-06-26 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7804144B2 (en) 2001-12-20 2010-09-28 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US20080283940A1 (en) * 2001-12-20 2008-11-20 Micron Technology, Inc. LOW-TEMPERATURE GROWN HIGH QUALITY ULTRA-THIN CoTiO3 GATE DIELECTRICS
US20110014767A1 (en) * 2001-12-20 2011-01-20 Ahn Kie Y LOW-TEMPERATURE GROWN HIGH QUALITY ULTRA-THIN CoTiO3 GATE DIELECTRICS
US7429515B2 (en) 2001-12-20 2008-09-30 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US8178413B2 (en) 2001-12-20 2012-05-15 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US7205620B2 (en) 2002-01-17 2007-04-17 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOxNy
US6767795B2 (en) 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US20040222476A1 (en) * 2002-01-17 2004-11-11 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOxNy
US20050026374A1 (en) * 2002-03-13 2005-02-03 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-K dielectrics
US6930346B2 (en) 2002-03-13 2005-08-16 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-K dielectrics
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7560793B2 (en) 2002-05-02 2009-07-14 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7670646B2 (en) 2002-05-02 2010-03-02 Micron Technology, Inc. Methods for atomic-layer deposition
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7554161B2 (en) 2002-06-05 2009-06-30 Micron Technology, Inc. HfAlO3 films for gate dielectrics
US20030228747A1 (en) * 2002-06-05 2003-12-11 Micron Technology, Inc. Pr2O3-based la-oxide gate dielectrics
US8093638B2 (en) 2002-06-05 2012-01-10 Micron Technology, Inc. Systems with a gate dielectric having multiple lanthanide oxide layers
US7369435B2 (en) 2002-06-21 2008-05-06 Micron Technology, Inc. Write once read only memory employing floating gates
US7130220B2 (en) 2002-06-21 2006-10-31 Micron Technology, Inc. Write once read only memory employing floating gates
US8188533B2 (en) 2002-06-21 2012-05-29 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US20060240626A1 (en) * 2002-06-21 2006-10-26 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US20060001080A1 (en) * 2002-06-21 2006-01-05 Micron Technology, Inc. Write once read only memory employing floating gates
US7166509B2 (en) 2002-06-21 2007-01-23 Micron Technology, Inc. Write once read only memory with large work function floating gates
US7622355B2 (en) 2002-06-21 2009-11-24 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US20050036370A1 (en) * 2002-06-21 2005-02-17 Micron Technology, Inc. Write once read only memory with large work function floating gates
US20060002188A1 (en) * 2002-06-21 2006-01-05 Micron Technology, Inc. Write once read only memory employing floating gates
US7687848B2 (en) 2002-07-08 2010-03-30 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US20070178643A1 (en) * 2002-07-08 2007-08-02 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US20090218612A1 (en) * 2002-07-08 2009-09-03 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US8228725B2 (en) 2002-07-08 2012-07-24 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7728626B2 (en) 2002-07-08 2010-06-01 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US8125038B2 (en) 2002-07-30 2012-02-28 Micron Technology, Inc. Nanolaminates of hafnium oxide and zirconium oxide
US7169673B2 (en) 2002-07-30 2007-01-30 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20060246741A1 (en) * 2002-07-30 2006-11-02 Micron Technology, Inc. ATOMIC LAYER DEPOSITED NANOLAMINATES OF HfO2/ZrO2 FILMS AS GATE DIELECTRICS
US20050227442A1 (en) * 2002-07-30 2005-10-13 Micron Technology, Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040033681A1 (en) * 2002-08-15 2004-02-19 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US7026694B2 (en) 2002-08-15 2006-04-11 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050023627A1 (en) * 2002-08-15 2005-02-03 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US7439194B2 (en) 2002-08-15 2008-10-21 Micron Technology, Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20050179097A1 (en) * 2002-08-22 2005-08-18 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US20040217410A1 (en) * 2002-08-26 2004-11-04 Micron Technology, Inc. Enhanced atomic layer deposition
US7872291B2 (en) 2002-08-26 2011-01-18 Round Rock Research, Llc Enhanced atomic layer deposition
US20040038525A1 (en) * 2002-08-26 2004-02-26 Shuang Meng Enhanced atomic layer deposition
US20080251828A1 (en) * 2002-08-26 2008-10-16 Micron Technology, Inc. Enhanced atomic layer deposition
US8816447B2 (en) 2002-08-26 2014-08-26 Round Rock Research, Llc Transistor with reduced depletion field width
US7279732B2 (en) 2002-08-26 2007-10-09 Micron Technology, Inc. Enhanced atomic layer deposition
US8362576B2 (en) 2002-08-26 2013-01-29 Round Rock Research, Llc Transistor with reduced depletion field width
US20110108929A1 (en) * 2002-08-26 2011-05-12 Round Rock Research, Llc Enhanced atomic layer deposition
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7326980B2 (en) 2002-08-28 2008-02-05 Micron Technology, Inc. Devices with HfSiON dielectric films which are Hf-O rich
US20040043569A1 (en) * 2002-08-28 2004-03-04 Ahn Kie Y. Atomic layer deposited HfSiON dielectric films
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US20040076763A1 (en) * 2002-09-20 2004-04-22 Hiroya Kirimura Apparatus and method for forming a thin flim
US8445952B2 (en) 2002-12-04 2013-05-21 Micron Technology, Inc. Zr-Sn-Ti-O films
US7611959B2 (en) 2002-12-04 2009-11-03 Micron Technology, Inc. Zr-Sn-Ti-O films
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040110391A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films
US20050164521A1 (en) * 2002-12-04 2005-07-28 Micron Technology, Inc. Zr-Sn-Ti-O films
US20040110348A1 (en) * 2002-12-04 2004-06-10 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7410917B2 (en) 2002-12-04 2008-08-12 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7923381B2 (en) 2002-12-04 2011-04-12 Micron Technology, Inc. Methods of forming electronic devices containing Zr-Sn-Ti-O films
US7402876B2 (en) 2002-12-04 2008-07-22 Micron Technology, Inc. Zr— Sn—Ti—O films
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US8518211B2 (en) * 2002-12-20 2013-08-27 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US20050000443A1 (en) * 2003-07-01 2005-01-06 Dong-Hyun Kim Apparatus for processing a substrate using plasma
US20060006548A1 (en) * 2003-08-05 2006-01-12 Micron Technology, Inc. H2 plasma treatment
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US8921914B2 (en) 2005-07-20 2014-12-30 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8501563B2 (en) 2005-07-20 2013-08-06 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8067794B2 (en) 2006-02-16 2011-11-29 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8785312B2 (en) 2006-02-16 2014-07-22 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8956461B2 (en) 2006-06-20 2015-02-17 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20110083697A1 (en) * 2006-06-20 2011-04-14 Eric Hudson Apparatuses, Systems and Methods for Rapid Cleaning of Plasma Confinement Rings with Minimal Erosion of Other Chamber Parts
US20070289710A1 (en) * 2006-06-20 2007-12-20 Eric Hudson Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7989362B2 (en) 2006-08-31 2011-08-02 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20150000594A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US9469900B2 (en) * 2006-09-16 2016-10-18 PIEZONICS Co., Ltd.; Korea Institute of Industrial Technology Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US8882913B2 (en) * 2006-09-16 2014-11-11 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US9476121B2 (en) * 2006-09-16 2016-10-25 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20150004313A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US8052799B2 (en) * 2006-10-12 2011-11-08 International Business Machines Corporation By-product collecting processes for cleaning processes
US20080093212A1 (en) * 2006-10-12 2008-04-24 Edward Crandal Cooney By-product collecting processes for cleaning processes
US8137501B2 (en) * 2007-02-08 2012-03-20 Lam Research Corporation Bevel clean device
US20080190448A1 (en) * 2007-02-08 2008-08-14 Lam Research Corporation Bevel clean device
US20080295772A1 (en) * 2007-05-31 2008-12-04 Samsung Sdi Co., Ltd. Chemical vapor deposition apparatus and plasma enhanced chemical vapor deposition apparatus
US10125421B2 (en) * 2008-02-06 2018-11-13 Advanced Material Technologies, Inc. Plasma CVD apparatus, plasma CVD method, and agitating device
US20110003088A1 (en) * 2008-02-06 2011-01-06 Yuuji Honda Plasma cvd apparatus, plasma cvd method, and agitating device
US20100029024A1 (en) * 2008-07-30 2010-02-04 Hitachi High-Technologies Corporation Plasma processing method
US7842619B2 (en) * 2008-07-30 2010-11-30 Hitachi High-Technologies Corporation Plasma processing method
US20140007413A1 (en) * 2008-12-19 2014-01-09 Eric Hudson Plasma confinement structures in plasma processing systems and methods thereof
US8677590B2 (en) * 2008-12-19 2014-03-25 Lam Research Corporation Plasma confinement structures in plasma processing systems and methods thereof
US20100166980A1 (en) * 2008-12-26 2010-07-01 Canon Anelva Corporation Inline vacuum processing apparatus, method of controlling the same, and information recording medium manufacturing method
US8900363B2 (en) * 2008-12-26 2014-12-02 Canon Anelva Corporation Inline vacuum processing apparatus, method of controlling the same, and information recording medium manufacturing method
US9245776B2 (en) * 2009-12-28 2016-01-26 Tokyo Electron Limited Plasma processing apparatus
US20110155322A1 (en) * 2009-12-28 2011-06-30 Tokyo Electron Limited Plasma processing apparatus
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US20130273263A1 (en) * 2010-12-28 2013-10-17 Canon Anelva Corporation Cvd apparatus and cvd method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2013008770A (en) * 2011-06-23 2013-01-10 Iwatani Internatl Corp Deposit cleaning method for film deposition apparatus
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140057447A1 (en) * 2012-08-02 2014-02-27 Applied Materials, Inc. Semiconductor processing with dc assisted rf power for improved control
US10032606B2 (en) * 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN110100297A (en) * 2016-12-27 2019-08-06 瑞士艾发科技 Radio frequency capacitor coupled etch reactor
WO2018121898A1 (en) * 2016-12-27 2018-07-05 Evatec Ag Rf capacitive coupled etch reactor
US11217434B2 (en) 2016-12-27 2022-01-04 Evatec Ag RF capacitive coupled dual frequency etch reactor
US11742187B2 (en) 2016-12-27 2023-08-29 Evatec Ag RF capacitive coupled etch reactor
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11469085B2 (en) 2016-12-27 2022-10-11 Evatec Ag Vacuum plasma workpiece treatment apparatus
CN110100297B (en) * 2016-12-27 2022-09-13 瑞士艾发科技 Radio frequency capacitive coupling etching reactor
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20230082246A1 (en) * 2021-09-16 2023-03-16 Kioxia Corporation Substrate processing apparatus and method for manufacturing semiconductor device

Also Published As

Publication number Publication date
JPH029115A (en) 1990-01-12

Similar Documents

Publication Publication Date Title
US5006192A (en) Apparatus for producing semiconductor devices
KR100240534B1 (en) Method of operating a high density plasma cvd reactor with combined inductive and capacitive coupling
US5015330A (en) Film forming method and film forming device
US6499427B1 (en) Plasma CVD apparatus
US6099747A (en) Chamber etching of plasma processing apparatus
KR101069567B1 (en) Substrate processing apparatus
US5011705A (en) Plasma processing method
US20040194708A1 (en) Method of cleaning a cvd device
KR20010030991A (en) Dual frequency excitation of plasma for film deposition
TW200823977A (en) Plasma doping method and plasma doping apparatus
JP2749630B2 (en) Plasma surface treatment method
KR100269552B1 (en) Plasma treatment apparatus
JP2666609B2 (en) Plasma processing equipment
JP2797307B2 (en) Plasma process equipment
JP2807674B2 (en) Processing apparatus and cleaning method for processing apparatus
JP3164188B2 (en) Plasma processing equipment
JP3092559B2 (en) Plasma processing apparatus and gas introduction method for the apparatus
JP2945420B2 (en) Plasma processing equipment
JP2956640B2 (en) Plasma processing equipment
JP3055888B2 (en) Plasma processing method
JP2669249B2 (en) Plasma processing apparatus and method for cleaning the apparatus
JP2675000B2 (en) Plasma processing equipment
JPH06333842A (en) Device and method for microwave plasma treatment
JP2000114256A (en) Thin film formation
JP2000178741A (en) Plasma cvd device, film formation thereby and cleaning controlling method therein

Legal Events

Date Code Title Description
AS Assignment

Owner name: MITSUBISHI DENKI KABUSHIKI KAISHA, 2-3, MARUNOUCHI

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNOR:DEGUCHI, MIKIO;REEL/FRAME:004978/0449

Effective date: 19880826

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12