US5177589A - Refractory metal thin film having a particular step coverage factor and ratio of surface roughness - Google Patents

Refractory metal thin film having a particular step coverage factor and ratio of surface roughness Download PDF

Info

Publication number
US5177589A
US5177589A US07/765,310 US76531091A US5177589A US 5177589 A US5177589 A US 5177589A US 76531091 A US76531091 A US 76531091A US 5177589 A US5177589 A US 5177589A
Authority
US
United States
Prior art keywords
tungsten
film
sih
cvd
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US07/765,310
Inventor
Nobuyoshi Kobayashi
Hidekazu Goto
Masayuki Suzuki
Yoshio Homma
Natsuki Yokoyama
Yoshitaka Nakamura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/646,423 external-priority patent/US5175017A/en
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to US07/765,310 priority Critical patent/US5177589A/en
Assigned to HITACHI, LTD. reassignment HITACHI, LTD. ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: GOTO, HIDEKAZU, HOMMA, YOSHIO, KOBAYASHI, NOBUYOSHI, NAKAMURA, YOSHITAKA, SUZUKI, MASAYUKI, YOKOYAMA, NATSUKI
Application granted granted Critical
Publication of US5177589A publication Critical patent/US5177589A/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a method for forming a metal or a metal silicide film, and more particularly to a method of forming a metal or metal silicide film for electrode and interconnects of a semiconductor device through CVD.
  • a three dimensional structure of a semiconductor device element has been formed for the purpose of LSI. This requires a reliable multilevel metallization technique capable of coping with abrupt steps and, capable of coping with small and deep contacts as well. Under these circumstances, there has been active research and development in recent years regarding the techniques for forming metal and metal silicide films through CVD.
  • CVD chemical vapor deposition
  • studies have been made on blanket W-CVD wherein metal and metal silicide films are deposited on the whole surface of a semiconductor substrate and selective W-CVD wherein metal silicide films are deposited only on the surface of a conductor, such as silicon, without deposition of the film on an insulating film.
  • the blanket W-CVD has been studied mainly as a method of forming a thin film for electrodes and interconnects, while the selective W-CVD has been studied mainly as a technique of filling for contacts and vias.
  • a selective W-CVD process widely known in the art comprises selectively depositing tungsten and molybdenum on silicon and a metal through the use of metal halides, such as WF 6 and MoF 6 , and reducing gases, such as hydrogen and SiH 4 .
  • metal halides such as WF 6 and MoF 6
  • reducing gases such as hydrogen and SiH 4 .
  • U.S. Pat. No. 3,697,343 discloses a selective W-CVD process wherein WF 6 and hydrogen are used.
  • Japanese Patent Laid-Open No. 72132/1984 discloses selective W-CVD wherein WF 6 and SiH 4 are used. In this process, a sufficient deposition rate can be obtained at a temperature as low as 300° C., the surface morphology is very smooth and defects to the tungsten/silicon interface can be prevented because silicon is supplied from SiH 4 to reduce WF 6 .
  • the deposition temperature is usually as high as 450° C. or above. Therefore, when tungsten is deposited on a metal having a low melting temperature, the thermal stability of the metal is insufficient and the surface of the deposited tungsten film is remarkably rough. Further, the surface of silicon is etched by a product of a reaction of WF 6 with hydrogen, such as HF, which brings about defects such as encroachment of tungsten at the tungsten/silicon interface, so that the junction is broken unfavorably.
  • the Japanese Laid-Open process has drawbacks such as peeling of the film due to poor adhesion of the film to the substrate, variation in the deposition rate due to the deposition of tungsten on the wall of the apparatus and susceptor, and the occurrence of unnecessary W nucleation on an insulating film due to a lowering in the selectivity caused by reaction by-products, as compared with H 2 reduced CVD.
  • Blank CVD of tungsten and tungsten silicide through the use of a metal halide, such as WF 6 , and SiH 4 , SiH 2 Cl 2 or the like has also widely been studied in the art.
  • a metal halide such as WF 6 , and SiH 4 , SiH 2 Cl 2 or the like
  • the tungsten deposition rate is limited by the mass transfer of SiH 4 , which causes the step coverage in fine via holes to be remarkably lowered.
  • SiH 4 reduced CVD silicon is easily incorporated in the deposited tungsten. This brought about problems such as high stress and high resistivity of the film.
  • the film deposition rate is limited by the mass transfer of SiH 2 Cl 2 , so that there occur problems such as low step coverage at abrupt steps, difficulty of controlling the reaction rate and frequent formation of particles during the process.
  • the H 2 reduced process and SiH 4 reduced process have both merits and demerits, which make it very difficult to simultaneously solve the problem of the selectivity, deposition rate, morphology of the surface of the film, encroachment, step coverage, stress, resistivity, etc.
  • a halide such as WF 6 reacts with SiH 4 to form, besides SiH 4 , reaction by-products, such as SiHF 3 , SiH 2 F 2 , and SiF 4 , in a gaseous phase, so that is is very difficult to control the reaction rate because of the very complicate reaction pathway.
  • Objects of the present invention include: to solve the problems of the conventional methods; to prevent reaction between the above-described various reaction products and the metal halide; to provide good control of the reaction rate; in the case of the selective CVD, ensure good selectivity; and in the case of the blanket CVD, ensure good step coverage, the decrease of the occurrence of encroachment and the decrease of the particles.
  • the above-described objects can be attained by forming a metal or metal silicide film by a CVD wherein a fluoro-silane is used instead of a source gas such as SiH 4 or SiH 2 Cl 2 , or CVD wherein a fluoro-silane is added to a source gas such as SiH 4 or SiH 2 Cl 2 .
  • the metal halide used in the present invention include fluorides and chlorides of tungsten, molybdenum, titanium, tantalum and niobium. Among them, fluorides of tungsten and molybdenum are more desirable particularly from the viewpoint of the availability of the deposited metal or metal silicide.
  • the source gases i.e., silane series gas and metal halide
  • a carrier gas such as nitrogen, hydrogen, helium or argon
  • the total pressure is preferably 0.01 to 10 Torr.
  • the reaction temperature is desirably 200° to 800° C., more desirably 300° to 500° C. Plasma CVD instead of thermal CVD may be employed for the purpose of lowering the reaction temperature.
  • FIGS. 1 to 4(a) to (c) are diagrams illustrating Examples of the present invention.
  • FIGS. 5(a) to (f) and FIGS. 6(a) to (e) are schematic cross-sectional views of semiconductor devices showing stepwise an example wherein tungsten is filled into the contacts in a MOSFET and via holes of the multilevel metallization;
  • FIGS. 7(a) to (c) are diagrams for illustrating the second Example of the present invention.
  • FIGS. 8(a) to (e) show a manufacturing process of a MOSFET in the third example of the present invention.
  • FIG. 9 is a schematic cross-sectional view of an initial stage of the construction of another example of the present invention.
  • FIG. 10 is a schematic cross-sectional view of a further stage of the construction of the FIG. 9 example of the present invention.
  • FIG. 11 is a schematic cross-sectional view of a device construction useful in explaining terminology
  • FIG. 12 is a schematic cross-sectional view of a still further stage of the construction of the FIG. 9 example of the present invention.
  • FIG. 13 is a micrograph of a cross-section corresponding to FIG. 11, but of a device structure formed with a 0.5 ⁇ m contact using a process with a reduction gas of SiH 2 F 2 , and a temperature of 300° C., according to the present invention
  • FIG. 14 is a micrograph of a cross-section corresponding to FIG. 11, but of a device structure formed with a 0.5 ⁇ m contact using a process with a reduction gas of H 2 , and a temperature of 450° C., for comparison to the present invention;
  • FIG. 15 is a micrograph of a cross-section corresponding to FIG. 11, but of a device structure formed with a 0.5 ⁇ m contact using a process with a reduction gas of SiH 4 , and a temperature of 300° C., for comparison to the present invention;
  • FIG. 16 is a micrograph of a cross-section corresponding to FIG. 13, but of a device structure formed with a 0.3 ⁇ m contact;
  • FIG. 17 is a micrograph of a cross-section corresponding to FIG. 13, but of a device structure formed with a 0.6 ⁇ m contact.
  • the reactivity of a gas used as a reducing agent for the metal halide has a great affect on the deposition rate and step coverage of the film.
  • the reactivity of the reducing gas with the metal halide is high, the deposition rate becomes high. In this case, however, since the reducing is consumed before it reaches the bottom of the hole, the amount of the gas fed to the bottom of the hole is insufficient, so that the step coverage lowers.
  • the reactivity is low, the results are the opposite.
  • the reactivity of SiH 2 F 2 and SiH 3 F is lower than that of SiH 4 but far higher than that of hydrogen, i.e., it is between them.
  • SiH 2 F 2 and SiH 3 F when SiH 2 F 2 and SiH 3 F are used, not only a sufficient deposition rate of 50 to 100 nm/min or higher can be obtained at a temperature of about 300° C., but also good step coverage can be realized.
  • the deposition temperature is as low as 300° C., the surface migration of tungsten atoms can be prevented, so that the morphology of the surface of the deposited tungsten film is very smooth.
  • the low deposition temperature prevents the reaction of WF 6 with silicon and enables silicon to be fed from SiH 2 F 2 and SiH 3 F, so that the encroachment can be prevented.
  • the metal halide such as WF 6
  • the metal halide reacts with the SiH 4 on a heated substrate to form tungsten.
  • This reaction also produced fluoro-silanes (SiH 3 F, SiH 2 F 2 , SiHF 3 , etc.) through another reaction pathway, which is ia new discovery and part of the present invention.
  • fluoro-silanes SiH 3 F, SiH 2 F 2 , SiHF 3 , etc.
  • These flouro-silanes react again with the metal halide to particularly form a metal such as tungsten.
  • the main reaction is one which produces SiHF 3 .
  • FIGS. 1 to 3 demonstrate the results of infrared spectroscopic analysis of a gas produced by a reaction during the selective CVD of a tungsten film through low-pressure CVD wherein WF 6 and SiH 4 are used.
  • a sample substrate was prepared by depositing a 100 nm-thick tungsten film on a 4 in. silicon wafer by sputtering, depositing an 800 nm-thick silicon oxide film thereon by CVD, and forming square openings having sizes of 0.5 to 10 ⁇ m in the silicon oxide film by conventional photolithography to partially expose the surface of the tungsten.
  • Tungsten was selectively deposited only on the tungsten-exposed surface of the sample substrate under CVD conditions of: a sample substrate temperature of 300 degrees C., a flow of WF 6 gas of 20 sccm (cm 3 per minute at 0 degrees Celsius and one atmosphere), a flow of SiH 4 gas of 4 sccm, a total pressure set at 0.64 Torr, and the use of nitrogen as a carrier gas.
  • FIG. 1 is a schematic view of a sample setup during infrared spectroscopic analysis.
  • An infrared adsorption spectrum of a reaction gas species 26 produced by the reaction was obtained by passing therethrough an infrared beam 22, in a rectangular form having a size 5 nm ⁇ 10 nm, parallel to and 1 cm above the sample substrate 21 during deposition of a tungsten film, with the beam being introduced through a KBr window 23.
  • CsO 2 , BaF 2 and ZnSe can be used for a window.
  • the sample substrate may be heated by a lamp (not shown) or a heater 24 mounted on a sample base. In the present experiment, a lamp (not shown) in the lamp house 25 was used for the heating.
  • FIG. 2 shows an infrared absorption spectrum of a reaction gas during the W-CVD of FIG. 1. Peaks assignable to SiHF 3 (at 845 cm -1 , 859 cm -1 , 999 cm -1 and 2315 cm -1 ) and SiF 4 (1014 cm -1 ) as reaction product gases were observed besides peaks assignable to WF 6 (absorption peak at 711 cm -1 , etc.) and SiH 4 (at 2189 cm -1 , etc.) as the source gases.
  • FIG. 3 is a graph showing a change with deposition time in the absorbance of the gas detected by the infrared absorption spectrum during the selective W-CVD of FIG. 1.
  • the absorbance is an accumulated average value up to that time.
  • the absorbance intensity of WF 6 is drawn to a scale of 1 to 100 because it is about 100 times larger than that of the other illustrated species.
  • the absorbance of the source gases, i.e., WF 6 and SiH 4 becomes constant with time and reaches an equilibrium.
  • the absorbance of SiHF 3 and SiF 4 increases with the deposition of the tungsten and gradually reaches an equilibrium.
  • the intensity ratio of SiHF 3 to SiF 4 is substantially constant during the deposition of tungsten.
  • SiH 2 F 2 was used as a fluoro-silane instead of SiH 4
  • SiF 4 was hardly detected by infrared spectroscopy, i.e., the reaction that forms SiF 4 was prevented. This facilitated the ensuring of the selectivity.
  • SiH 3 F was used as a fluoro-silane instead of SiH 2 F 2 .
  • FIGS. 4(a)-(c) are graphs showing a change with time in the partial pressure of gas detected through an infrared absorption spectrum during selective W-CVD under conditions of the same gas flow rates and total pressure as those of Example 1 and substrate temperatures of 250, 300 and 350 degrees Celsius, respectively.
  • the partial pressure was determined by calibrating the absorbance (accumulated time average value) of the infrared absorption spectrum.
  • the partial pressure of the source gases i.e., WF 6 and SiH 4 , becomes constant with time and reaches an equilibrium.
  • the partial pressure of SiHF 3 and SiF 4 increases with the deposition of tungsten and gradually reaches an equilibrium.
  • the partial pressure of SiF 4 is as small as 1/10 of that of SiHF 3 , which substantiates that the major reaction product in the selective CVD of tungsten is SiHF 3 .
  • the amount of SiHF 3 and SiHF 4 increased with a rise in the deposition temperature. Nucleation of tungsten occurred also on the insulating film with an increase in the deposition rate of tungsten, so that the selectivity was lowered.
  • the increase in the amount of SiHF 3 corresponds to the increase of SiF 4 .
  • the chemical reaction represented by the formula WF 6 +3/2SiH 4 W+3/2SiF 4 +3H 2 lowers the selectivity. Therefore it is seen that the flow of source gases is important.
  • the selectivity at a ratio represented by the first formula is lowered by increasing the flow of SiH 4 . As the ratio of SiH 4 /WF 6 changes from 0 to about 2, the tungsten deposition rate increases with selective deposition, and thereafter the deposition rate stays about the same as the deposition becomes non-selective.
  • the deposition rate of tungsten on the sputtering-deposited tungsten film and the density of tungsten nuclei on an insulating film were determined under conditions of a flow of WF 6 as a source gas of 20 sccm, a flow of SiH 4 as another source gas of 8 sccm, an amount of addition of SiF 4 to the source gas of 1 to 300 sccm, a total pressure of 0.40 Torr, a deposition temperature of 300 degrees C. and a deposition time of 5 to 8 minutes.
  • the tungsten nuclei density was determined by counting the number of tungsten nuclei through the use of a scanning electron photomicrograph (SEM) ( ⁇ 300).
  • SiF 4 was added for the purpose of improving the selectivity. Studies have been made also on the addition of SiHF 3 in a flow of 10 to 500 sccm for controlling the reaction rate.
  • the deposition rate is limited by the mass transfer of SiH 4 , which makes it difficult to control the deposition rate.
  • the step coverage in fine via holes is unfavorably poor.
  • the deposition rate of tungsten was about 100 nm/min under conditions of a flow of WF 6 of 20 sccm and a flow of SiH 4 of 8 sccm. The deposition rate decreased from 80 to 30 nm/min with an increase in the amount of addition of SiHF 3 from 50 to 200 sccm. This facilitated the control of the deposition rate and improved the film step coverage of fine via holes.
  • FIGS. 5(a) to (f) are schematic cross-sectional views of a semiconductor device showing stepwise an example wherein tungsten is filled into the contacts in a MOSFET and via holes of the multilevel metallization.
  • a field silicon oxide film 2 and a 15 nm-thick gate silicon oxide film 2 were formed on a p-type (100) silicon substrate 1, and a polycrystalline silicon film 3 (thickness: 300 nm) was deposited therein by low-pressure CVD. Thereafter doping was conducted for lowering the resistance, and patterning into a gate electrode was then conducted by conventional photolithography.
  • a SiO 2 film 5 was deposited by HLD (high-temperature low-pressure decomposition) and the whole surface thereof was subjected to dry etching to leave the HLD-SiO 2 film 5 only around the so-called LDD (lightly doped drain) structure.
  • HLD high-temperature low-pressure decomposition
  • an about 700 nm-thick BPSG (boron-doped PSG) film 6 was deposited by CVD and annealed in nitrogen at 900 degrees C. for densification of the BPSG film, and a contact hole h having a width of 0.5 ⁇ m was formed by conventional photolithography.
  • tungsten (W) film 7 was deposited on the whole surface by low-pressure CVD wherein WF 6 and SiH 2 F 2 were used as the source gas.
  • the CVD was conducted under conditions of a flow of WF 6 gas of 80 sccm, a flow of SiH 2 F 2 gas of 100 sccm, a total pressure set at 0.75 Torr through dilution with nitrogen, and a temperature of 500 degrees C.
  • the tungsten film 7 thus formed was excellent in the step coverage on the contact hole h, and the morphology of the surface of the film was also excellent, i.e., very smooth.
  • the tungsten film 7 was directly deposited on the insulating film.
  • the tungsten film 7 was fabricated into interconnections by conventional photolithography.
  • a tungsten film was formed by making use of WF 6 and SiH 2 F 2 .
  • a tungsten silicide film instead of the tungsten film by increasing the flow ratio of SiH 2 F 2 to WF 6 , for example, setting the flow of SiH 2 F 2 and WF 6 respectively at 200 sccm and 80 sccm.
  • SiHF 3 as well way be used for the deposition of a tungsten film or a tungsten silicide film. In this case, it is necessary to raise the deposition temperature (>600 degrees C.) above that used in the case of SiH 2 F 2 .
  • the quality of the tungsten silicide film and the step coverage were superior, and the formation of particles during the formation of the film could be reduced.
  • the film thickness distribution lowers within a wafer of the deposited tungsten or tungsten silicide film when the total pressure becomes 10 Torr or more. For this reason it is preferred to conduct CVD at a low pressure of 10 Torr or less.
  • a plasma SiO/SOG (spin on glass)/plasma SiO multilayered film 8 (thickness: 300 nm/400 nm/300 nm; 1 ⁇ m in total) was deposited, and via holes h' having a width of 0.4 ⁇ m and reaching the tungsten film 7 were formed in the multilayered film 8 by conventional photolithography.
  • tungsten 9 was selectively filled into the via holes h' by low pressure CVD wherein WF 6 , SiH 4 and SiF 4 were used.
  • the CVD was conducted under conditions of a flow of WF 6 gas of 20 sccm, a flow of SiH 4 gas of 5 sccm and a flow of SiF 4 gas of 50 sccm, a total pressure set at 0.2 Torr by dilution with hydrogen, and a temperature of 300 degrees C.
  • tungsten was selectively deposited on a tungsten film. It is also possible to selectively deposit tungsten on, besides the tungsten film, conductive films such as aluminum, molybdenum, TiN, TiW or silicide and semiconductors such as silicon.
  • TiW titanium-tungsten
  • Al aluminum
  • a tungsten interconnection was used as the first level interconnection.
  • an aluminum interconnection may be used as the first level interconnection by leaving the tungsten film only within the contact hole through an etch-back process of the tungsten film and then depositing an aluminum film.
  • thermal CVD was employed as the CVD.
  • the process temperature should be lowered, it is also possible to apply plasma CVD.
  • the interlevel dielectrics comprised a BPSG film 6 for the first level and a plasma SiO/SOG/plasma SiO multilayered film 8 for the second level.
  • the same structure can be formed even when PSG of a heat-resistant organic polymer insulator of polyimide, etc., is used instead of the above-described dielectrics.
  • FIGS. 6(a) to (e) are schematic cross-sectional views of a semiconductor device showing stepwise an example wherein tungsten is filled into the contacts in a MOSFET and via holes of the multilevel interconnection.
  • a field silicon oxide film 62 and a 15 nm-thick gate silicon oxide film 62' were formed on a p-type (100) silicon crystal substrate 61, and a polycrystalline silicon film 63 (thickness: 300 nm) was deposited thereon by low pressure CVD. Thereafter doping was conducted for lowering the resistance, and patterning into a gate electrode was conducted by conventional photolithography.
  • a SiO 2 film 65 was deposited by HLD and the whole surface thereof was subjected to dry etching to leave the HLD film 65 only around the LDD structure.
  • an about 700 nm-thick BPSG (boron-doped PSG) film 66 was deposited thereon by CVD and annealed in nitrogen at 900 degrees C. for densification of the BPSG film, and contact holes h having a width of 0.5 ⁇ m were formed by conventional photolithography.
  • a 150 nm-thick titanium nitride (TiN) film 67 was deposited as a barrier metal by reactive sputtering.
  • the TiN film 67 may be formed by low-pressure thermal CVD or plasma CVD wherein use is made of TiCl 4 .
  • tungsten silicide film 68 was deposited on the whole surface thereof by blanket low-pressure CVD wherein WF 6 , SiH 4 and SiHF 3 were used as the source gas.
  • the CVD was conducted under conditions of a flow of WF 6 gas of 80 sccm, a flow of SiH 4 gas of 800 sccm, a flow SiHF 3 gas of 1000 sccm, a total pressure set at 0.75 Torr through dilution with nitrogen, and a temperature of 400 degrees C.
  • the tungsten silicide film 68 thus formed was excellent in the step coverage in the contact hole h', and the morphology of the surface of the film was also excellent, i.e., very smooth.
  • an adhesion layer 67 (a TiW film, a TiN film, a sputtering-deposited tungsten film, etc.) was provided between the insulating film and the tungsten film for the purpose of further improving the adhesion between the insulating film 66 and the tungsten silicide film 68.
  • the tungsten silicide film 68 was fabricated into interconnection by conventional photolithography.
  • a tungsten silicide film 68 was formed by making use of WF 6 and SiH 4 /SiHF 3 .
  • tungsten film instead of the tungsten silicide film 68 by decreasing the flow ratio of WF 6 /(SiH 4 +SiHF 3 ), for example, setting the flow of WF 6 , SiH 4 and SiHF 3 respectively at 80, 200 and 1000 sccm.
  • SiHF 3 may be used alone for the formation of the tungsten or tungsten silicide film. In this case, it is necessary to raise the deposition temperature (>600 degrees C.) above that used in the case of SiH 4 .
  • the quality of the tungsten silicide film 68 and the step coverage were superior, and the formation of particles during the formation of the film could be reduced.
  • the film thickness distribution lowers within a wafer of the deposited tungsten or tungsten silicide film when the total pressure becomes 10 Torr or more. For this reason, it is preferred to conduct the CVD under a low pressure of 10 Torr or less.
  • a plasma SiO/SOG (spin on glass)/plasma SiO multilayered film 69 (thickness: 300 nm/400 nm/300 nm; 1 ⁇ m in total) was deposited, and via holes h' having a diameter of 0.4 ⁇ m and reaching the tungsten film 68 were formed in the multilayered film 69 by conventional photolithography.
  • tungsten 610 was selectively filled into the via hole h' by low pressure CVD wherein WF 6 , SiH 4 and SiF 4 were used.
  • the CVD was conducted under conditions of a flow of WF 6 gas of 20 sccm, a flow of SiH 4 gas of 5 sccm, a flow of SiF 4 gas of 50 sccm, a total pressure set at 0.2 Torr by dilution with hydrogen, and a temperature of 300 degrees C.
  • tungsten has selectively deposited on a tungsten film. It is also possible to selectively deposit tungsten on, besides the tungsten film, conductive films such as aluminum, molybdenum, TiN, TiW or silicide and a semiconductor such as silicon.
  • titanium-tungsten (TiW) film 611 (thickness: 150 nm) and an aluminum (Al) film 612 (thickness: 800 nm) were successively deposited by sputtering, and aluminum interconnection was formed as the second level by conventional photolithography.
  • TiW titanium-tungsten
  • Al aluminum
  • a tungsten interconnection was used as the first level interconnection.
  • an aluminum interconnection may be used as the first level interconnection by leaving the tungsten film only with the contact hole by using means such as an etch-back process of the tungsten film and then depositing an aluminum film.
  • thermal CVD was employed as the CVD.
  • the process temperature should be lowered, it is also possible to apply plasma CVD.
  • the interlevel dielectrics comprised a BPSG film 66 for the first level and a plasma SiO/SOG/plasma SiO multilayered film 69 for the second level.
  • the same structure can be formed even when PSG or a heated-resistant organic polymer insulator of polyimide, etc., is used instead of the above-described dielectrics.
  • a sample substrate 1 was prepared by thermally oxidizing a 4 in.-thick silicon substrate, forming a 1 ⁇ m-thick silicon oxide film on the surface thereof and then depositing a 100 nm-thick tungsten film by sputtering.
  • a tungsten film was formed on the sample substrate 1 by CVD wherein WF 6 and SiH 2 F 2 were used.
  • the apparatus used was a cold wall type low-pressure CVD apparatus, and the sample substrate 1 was heated by means of an infrared lamp.
  • the sample substrate 1 was heated to 300 degrees.
  • the flow of WF 6 and SiH 2 F 2 were 20 and 30 sccm, respectively, and nitrogen was flowed as a carrier gas at a flow of 500 sccm to set the total pressure at 0.4 Torr.
  • the deposition of tungsten was conducted for 15 min, thereby forming a 200 nm-thick tungsten film.
  • the resistivity of the resultant tungsten film was 10 ⁇ cm.
  • This value is 2/3 of the resistivity (15 mcm) of a tungsten film formed by the SiH 4 -reduced process under conditions of a substrate temperature of 350 degrees C., a WF 6 flow of 20 sccm and a SiH 4 flow of 20 sccm, and comparable to the resistivity (8 to 12 ⁇ cm) in the case of the hydrogen-reduced process.
  • Table 2 is a summary of experimental results where good results for step coverage and surface morphology indicate usefulness for tungsten blanket deposition, and good results for no silicon defect and good selectivity indicate usefulness for selective deposition of tungsten.
  • tungsten was deposited on a tungsten film.
  • the deposition of tungsten can be on, besides the tungsten film, a substrate having 100-nm thick aluminum, TiN, TiW, or sputtering-deposited tungsten silicide films and a silicon substrate in the same manner as that used in the above Examples.
  • tungsten was deposited at an equivalent deposition rate.
  • MoF 6 is used instead of WF 6
  • a molybdenum film can be formed instead of the tungsten film.
  • a sample substrate shown in FIG. 7(a) was prepared by depositing a 100 nm-thick tungsten film 12 on a 4-in. thick silicon substrate 11 by sputtering, depositing an 800 nm-thick silicon oxide film 13 thereon by CVD and forming holes of 0.5 to 10 mm squares in the silicon oxide film by conventional photolithography to partially expose the surface of tungsten.
  • FIG. 7(b) is a cross sectional view of the resultant multilayered film.
  • the step coverage was 0.9 in a hole h having a diameter or 0.9 ⁇ m, and the hole having a diameter of 0.2 ⁇ m could be completely filled with tungsten. This value is slightly smaller than a step coverage of 0.6 in the case where a tungsten film is formed by the SiH 4 -reduced process under conditions of a substrate temperature of 300° C., a flow of WF 6 of 20 sccm and a flow of SiH 4 of 20 sccm.
  • a tungsten film 15' was directly deposited on another sample substrate of FIG. 7(a) by CVD without deposition of a tungsten film by sputtering.
  • the CVD was conducted under conditions of a temperature of 300° C., a flow of WF 6 of 20 sccm, a flow of SiH 2 F 2 of 30 sccm, a flow of nitrogen of 500 sccm, and a total pressure of 0.6 Torr.
  • the deposition of the tungsten was conducted for 10 min. As a result, it has been found that, as shown in FIG.
  • a 200 nm-thick tungsten film 15' was formed only on the tungsten 12 within the openings of the silicon oxide film, that is, the deposition for the tungsten was selective. Then, the deposition time was prolonged to 20 min to deposit a tungsten film having a thickness of 400 nm. The observation of the surface of the sample substrate has revealed that no tungsten nucleation occurred in the silicon oxide film. When a 400 nm-thick tungsten film was formed by the SiH 4 -reduced process, a number of tungsten nuclei were formed on the silicon oxide film. Therefore, it is apparent that the use of SiH 2 F 2 improves the selectivity.
  • a sample substrate 1b was prepared by depositing an 800-thick silicon oxide film 13 on a 5 in thick silicon substrate 11 by CVD and forming openings having a size of 0.5 to 10 mm squares in the silicon oxide film 13 by conventional photolithography to partially expose the surface of the silicon substrate.
  • Tungsten was deposited on the substrate 1b for 15 min under conditions of a temperature of 300° C., a flow of WF 6 of 20 sccm, a flow of SiH 2 F 2 of 300 sccm, a flow of nitrogen of 500 sccm and a total pressure of 1.0 Torr. Thereafter, the tungsten film thus formed was removed with aqueous hydrogen peroxide, and the sample substrate 1b was observed under SEM. As a result, no encroachment was observed.
  • FIGS. 8(a) to (e) show a manufacturing process of an example wherein tungsten is filled into a contact portion and a multilevel interconnection to prepare a MOSFET.
  • a field oxide film 76 and a 15 nm-thick gate oxide film 76' were formed on a p-type (100) silicon substrate 71, and a polycrystalline silicon film 77 (thickness: 300 nm) was deposited thereon by low-pressure CVD. Thereafter doping was conducted for lowering the resistance, and patterning into a gate electrode was then conducted by conventional photolithography.
  • an about 700 nm-thick BPSG (boron-doped PSG) film 720 was deposited thereon by CVD and annealed in nitrogen at 900° C. for densification of the BPSG film 720, and contact holes h having a diameter of 0.5 ⁇ m were formed by conventional photolithography.
  • a 100-nm thick TiN film 721 was deposited as an adhesion layer by CVD.
  • the CVD was conducted under conditions of a substrate temperature of 700° C., a flow of TiCl 4 of 10 sccm, a flow of NH 3 of 100 sccm, a total pressure of 0.2 Torr, and a deposition time of 1 min. Thereafter, a 500 nm-thick tungsten film 715 was deposited on the whole surface thereof by low-pressure CVD wherein WF 6 and SiH 2 F 2 were used as the source gas.
  • the CVD was conducted under conditions of a flow of WF 6 of 20 sccm, a flow of SiH 2 F 2 of 90 sccm, a flow of nitrogen of 500 sccm, a total pressure of 0.5 Torr, and a temperature of 300° C.
  • the contact hole h was completely filled with tungsten by this CVD.
  • a TiN 721 film was formed as the adhesion layer by CVD.
  • the TiN film 721 and the tungsten film 715 were fabricated into interconnection by conventional photolithography.
  • a tungsten film 715 was formed by making use of WF 6 and SiH 2 F 2 .
  • the use of SiH 2 F 2 could reduce the stress of the tungsten silicide film, prevent the peeling of the film, provide excellent step coverage, and reduce the formation of particles.
  • the film thickness distribution lowers within a wafer of the deposited tungsten or tungsten silicide film when the total pressure becomes 10 Torr or more.
  • the total pressure of 1 mTorr or less no sufficient deposition rate can be obtained. For this reason, it is preferred to conduct the CVD under a pressure of 1 mTorr to 10 Torr.
  • a plasma SiO/SOG spin on glass/plasma SiO multilayered film 722 (thickness: 300 nm/400 nm/300 nm; 1 ⁇ m in total) was deposited, and contact holes h' having a diameter of 0.4 ⁇ m and reaching the tungsten film 715 were formed in the multilayered film 722 by conventional photolithography.
  • tungsten 715' was selectively filled into the contact holes h' by low pressure CVD wherein WF 6 and SiH 2 F 2 were used.
  • the CVD was conducted under conditions of a flow of WF 6 of 20 sccm, a flow of SiH 2 F 2 of 30 sccm, a flow of nitrogen of 500 sccm, a total pressure of 0.6 Torr, and a temperature of 400° C.
  • tungsten 715' was selectively deposited on the tungsten film 715. It is also possible to deposit and aluminum film on a tungsten film 715 to form an aluminum/tungsten multilayered interconnection structure as the first level followed by selective deposition of tungsten 715' on aluminum.
  • a TiW film 723 (thickness: 150 nm) and an aluminum film 724 (thickness: 800 nm) were successfully deposited by sputtering, and aluminum interconnection was formed as the second level by conventional photolithography.
  • the contact holes h and via holes h' between interconnections were filled with the tungsten film 175 and the tungsten film 715', multilevel interconnection structure could be planarized, so that the problem of poor step coverage of aluminum could be remarkably alleviated. Further, the contact resistance of contact with the sources and the drain and the via resistance of the tungsten interconnections aluminum interconnection were lower than those in the case where no tungsten was filled. Since the stress becomes lower than that in the prior art method wherein use is made of SiH 4 , there occurred no problem of peeling of the tungsten film from the substrate.
  • the interlevel dielectrics comprised a BPSG film 720 for the first level and a SiO/SOG plasma, SiO multilayered film 722 for the second level.
  • the same structure can be formed even when PSG of a heat-resistant organic polymer insulator of polyimide, etc. is used instead of the above-described dielectrics.
  • the step coverage' factor of the tungsten film in contact holes of 0.2, 0.3, 0.5 and 0.6 micron ( ⁇ m) diameter, the surface roughness, the reliability of the interconnection, and patternability of the tungsten film were investigated.
  • a field silicon oxide film 2 was formed on a p-type (100) silicon substrate 1, an ion implantation was conducted by making use of As ions at an accelerating voltage of 80 KeV with a dosage of 10 15 cm -2 , and then heat treatment at 900° C. for 10 min was conducted to form a N+ diffused region 30. Then, a 500 nm thick PSG film 31 was deposited using CVD and annealed in N 2 ambient atmosphere at 900° C. for 20 min to density the PSG film 31.
  • a contact hole having a diameter of 0.3 ⁇ m and an angle between the contact wall and the bottom surface of ninety degrees was formed by conventional photolithography and dry etching. Then a 50 nm thick tungsten adhesion film 32 was deposited by sputtering on the whole surface.
  • a tungsten film 33 was deposited on the whole surface by low pressure CVD, wherein WF 6 and SiH 2 F 2 were used as the source gas.
  • the step coverage factor and the ratio of the surface roughness to the average film thickness were investigated.
  • the CVD for film 33 was conducted under conditions of a flow of WF 6 gas of 100 sccm, a flow of SiH 2 F 2 gas of 50 to 400 sccm, a total pressure set at 0.5 Torr through dilution with argon, and a deposition temperature of 300° C. to 400° C.
  • the micrograph of the resulting device structure according to the present invention is shown in FIG. 13.
  • the CVD was conducted under the conditions of a flow of WF 6 of 80 sccm, a flow of SiH 4 of 65 sccm, a total pressure of 0.35 Torr through dilution with argon, and a deposition temperature of 250° C. to 300° C.
  • the tungsten film 33 of FIG. 10 was patterned into interconnections 34 by conventional photolithography.
  • the shape of the tungsten interconnection 34 having a width of 0.2 ⁇ m was observed through SEM.
  • plasma SiO/SOG/plasma SiO multilayered insulator film 35 300 nm/400 nm/300 nm was deposited on the whole surface, and then the contact openings 36 for metallization were formed using conventional photolithography and dry etching.
  • Aluminum (Al) metallization was sequentially conducted to fabricate the semiconductor device for electrical measurements.
  • Table 3 summarizes the experimental results of the step coverage factor, the contact resistance and so on, wherein the symbol "0" represents the experimental result that the yield of the semiconductor devices having the contact resistance of less than 100 ohms was more than 99%, whereas “X” represents the experimental result that the yield of the semiconductor devices having the contact resistance of less than 100 ohms was not more than 99%.
  • the step coverage factor was equal to or more than 0.7 and the ratio of the surface roughness to the average film thickness was equal to or less than 0.2. Moreover, the electrical characteristics such as contact resistance and the leakage current of the N+ diffused region 30 were excellent.
  • FIG. 15 it is seen that there is a relatively thin deposit of tungston at the bottom of the contact hoe, which reduces the step coverage. Because the step coverage factor of the tungsten film 33 deposited by the SiH 4 reduction (FIG. 15) was low, the tungsten film 33 in the contact hole was frequently oxidized during the deposition process, such as plasma SiO deposition. In the semiconductor devices wherein the tungsten film 33 was deposited by the H 2 reduction (FIG.
  • the surface roughness was so large that a seam (also known as a keyhole) of the tungsten film 33 was formed in the contact hole.
  • the seam is the open tear drop shaped chamber formed at the center of the contact hole where Tungston has not deposited because the top of the tear drop shape has effectively closed further communication to the interior of the seam for preventing further CVD.
  • water or processing gas remained in the seam and degraded the tungsten film quality in the contact hole.
  • the present invention provided a more even deposition in the contact hole than the comparison examples, for the reasons mentioned above relating to the differences in the reducing gases, and therefore had less of a seam problem than either FIG. 14 or FIG. 15.
  • the tungsten film 33 in order to obtain high reliability of electrical characteristics of semiconductor devices, it is necessary to form the tungsten film 33 to have the step coverage factor of at least 0.7 and the ratio of the surface roughness to the averaged film thickness of no more than 0.2. This was also found to be true when a tungsten film 33 is filled into the contact hole having a diameter less than 0.3 ⁇ m and an aspect ratio of more than 1.0. It is more difficult to obtain such a high step coverage factor with a smaller diameter contact hole and a higher aspect ratio, but the present invention has shown results equal to the challenge, as obtained in this example.
  • the shape of the tungsten interconnection having a width of 0.3 ⁇ m was well defined using conventional photolithography and dry etching.
  • the tungsten interconnection having a width of 0.3 ⁇ m was not well defined using conventional photolithography, because it was difficult to get small resolution for fine patterns on the tungsten film having a large surface roughness. Consequently, in order to obtain fine patternability of the tungsten film, it is necessary to form a tungsten film having the ratio of the surface roughness to the average film thickness of not more than than 0.2.
  • FIGS. 16 and 17 are micrographs, respectively of 0.2 mm and 0.6 mm contact holes showing step coverage of tungston films deposited according to the present invention.
  • the CVD-W film was deposited on a Si contact substrate using a sputter-deposited W film as an adhesion layer.
  • the deposition rae, film resistivity and step coverage of tungston films were investigate.
  • the CVD conditions were as follows: the SiH 2 F 2 flow was 31-92 sccm, the WF 6 flow was 20 sccm, the N 2 flow was 720 sccm, the total pressure was 0.4 Torr, and the deposition temperature was 300° C. to 400° C. A deposition rate of 78 nm/min was achieved at 400° C.
  • Conformal tungston films were obtained for 0.2 to 0.6 ⁇ m contacts, as shown in FIGS. 16 and 17.
  • the temperature dependence of the deposition rate showed that the deposition rate was limited by the surface reaction, which leads to good step coverage.
  • Film resistivity was 12 to 25 ⁇ cm, and little solid Si was incorporated in the films.
  • the present invention was tried for a 0.2 ⁇ m contact hole and the same good results as discussed above for the step coverage were obtained for the reducing gas of SiH 2 F 2 according to the present invention. While this last example has been specifically disclosed with respect to a contact hole, particularly with a diameter of less than 0.3 ⁇ m and an aspect ratio (depth of contact hole/diameter of contact hole) of at least 1, it is also applicable to a fine groove, particularly having a minimum width of less than 0.3 ⁇ m and a ratio (depth of groove/minimum width of groove) of at least 1.
  • a sufficient deposition rate can be attained at a low temperature, the surface roughness of the film can be reduced, excellent step coverage can be provided, the occurrence of encroachment can be prevented, the electrical resistance of the tungsten film can be reduced, and the peeling of the tungsten film can be reduced.
  • the present invention has an excellent compatibility with the conventional low pressure CVD and can easily be practiced for making conventional semiconductor devices, which renders the present invention advantageous also from the viewpoint of profitability and efficiency.

Abstract

In forming a metal or metal silicide film by CVD, a fluoro-silane is used as a reaction gas, or a fluoro-silane is added to a source gas. Examples of the metal halide used in the present invention include fluorides and chlorides of tungsten, molybdenum, titanium, tantalum and niobium. Among them, fluorides of tungsten and molybdenum are more desirable particularly from the viewpoint of the availability of the deposited metal or metal silicide. It is preferred that the source gases, i.e. silane series gas and metal halide, be diluted with a carrier gas such as nitrogen, hydrogen, helium or argon, and this is also true of the fluoro-silane. The total pressure is preferably 0.01 to 10 Torr. The reaction temperature is desirably 200° to 800° C., more desirably 300° to 500° C. Plasma CVD instead of thermal CVD may be employed for the purpose of lowering the reaction temperature.

Description

U.S. patent application--continuation-in-part of U.S. Ser. No. 07/646,423 filed Jan. 28, 1991.
BACKGROUND OF THE INVENTION
The present invention relates to a method for forming a metal or a metal silicide film, and more particularly to a method of forming a metal or metal silicide film for electrode and interconnects of a semiconductor device through CVD.
A three dimensional structure of a semiconductor device element has been formed for the purpose of LSI. This requires a reliable multilevel metallization technique capable of coping with abrupt steps and, capable of coping with small and deep contacts as well. Under these circumstances, there has been active research and development in recent years regarding the techniques for forming metal and metal silicide films through CVD. Among the above-described techniques for forming a thin film through CVD, studies have been made on blanket W-CVD wherein metal and metal silicide films are deposited on the whole surface of a semiconductor substrate and selective W-CVD wherein metal silicide films are deposited only on the surface of a conductor, such as silicon, without deposition of the film on an insulating film. The blanket W-CVD has been studied mainly as a method of forming a thin film for electrodes and interconnects, while the selective W-CVD has been studied mainly as a technique of filling for contacts and vias.
A selective W-CVD process widely known in the art comprises selectively depositing tungsten and molybdenum on silicon and a metal through the use of metal halides, such as WF6 and MoF6, and reducing gases, such as hydrogen and SiH4. For example, U.S. Pat. No. 3,697,343 discloses a selective W-CVD process wherein WF6 and hydrogen are used.
Japanese Patent Laid-Open No. 72132/1984 discloses selective W-CVD wherein WF6 and SiH4 are used. In this process, a sufficient deposition rate can be obtained at a temperature as low as 300° C., the surface morphology is very smooth and defects to the tungsten/silicon interface can be prevented because silicon is supplied from SiH4 to reduce WF6.
SUMMARY
It is an object of the present invention to find, analyze and solve problems of the prior art techniques in this field.
In the U.S. Pat. No. 3,697,343 selective process, the deposition temperature is usually as high as 450° C. or above. Therefore, when tungsten is deposited on a metal having a low melting temperature, the thermal stability of the metal is insufficient and the surface of the deposited tungsten film is remarkably rough. Further, the surface of silicon is etched by a product of a reaction of WF6 with hydrogen, such as HF, which brings about defects such as encroachment of tungsten at the tungsten/silicon interface, so that the junction is broken unfavorably.
The Japanese Laid-Open process has drawbacks such as peeling of the film due to poor adhesion of the film to the substrate, variation in the deposition rate due to the deposition of tungsten on the wall of the apparatus and susceptor, and the occurrence of unnecessary W nucleation on an insulating film due to a lowering in the selectivity caused by reaction by-products, as compared with H2 reduced CVD.
Blank CVD of tungsten and tungsten silicide through the use of a metal halide, such as WF6, and SiH4, SiH2 Cl2 or the like has also widely been studied in the art. In the blanket CVD wherein tungsten is deposited on a sputtering-deposited adhesion layer through the use of WF6 and SiH4, the tungsten deposition rate is limited by the mass transfer of SiH4, which causes the step coverage in fine via holes to be remarkably lowered. In the SiH4 reduced CVD, silicon is easily incorporated in the deposited tungsten. This brought about problems such as high stress and high resistivity of the film. Also in the case of the use of WF6 and SiH2 Cl2, the film deposition rate is limited by the mass transfer of SiH2 Cl2, so that there occur problems such as low step coverage at abrupt steps, difficulty of controlling the reaction rate and frequent formation of particles during the process.
As described above, the H2 reduced process and SiH4 reduced process have both merits and demerits, which make it very difficult to simultaneously solve the problem of the selectivity, deposition rate, morphology of the surface of the film, encroachment, step coverage, stress, resistivity, etc. In particularly, in the method wherein WF6 and SiH4 are used, a halide such as WF6 reacts with SiH4 to form, besides SiH4, reaction by-products, such as SiHF3, SiH2 F2, and SiF4, in a gaseous phase, so that is is very difficult to control the reaction rate because of the very complicate reaction pathway. This brought about selectivity loss problems in the case of the selective CVD, and in the case of blanket CVD it was difficult to realize good step coverage and decrease of the formation of particles. Further, since SiH4 hardly exhibits the effect of etching the silicon oxide film, a native oxide tends to remain at the tungsten/silicon interface, so that the adhesion becomes poor, which is unfavorable.
Objects of the present invention include: to solve the problems of the conventional methods; to prevent reaction between the above-described various reaction products and the metal halide; to provide good control of the reaction rate; in the case of the selective CVD, ensure good selectivity; and in the case of the blanket CVD, ensure good step coverage, the decrease of the occurrence of encroachment and the decrease of the particles.
The above-described objects can be attained by forming a metal or metal silicide film by a CVD wherein a fluoro-silane is used instead of a source gas such as SiH4 or SiH2 Cl2, or CVD wherein a fluoro-silane is added to a source gas such as SiH4 or SiH2 Cl2. Examples of the metal halide used in the present invention include fluorides and chlorides of tungsten, molybdenum, titanium, tantalum and niobium. Among them, fluorides of tungsten and molybdenum are more desirable particularly from the viewpoint of the availability of the deposited metal or metal silicide.
It is preferred that the source gases, i.e., silane series gas and metal halide, be diluted with a carrier gas such as nitrogen, hydrogen, helium or argon, and this is also true of the fluoro-silane. The total pressure is preferably 0.01 to 10 Torr. The reaction temperature is desirably 200° to 800° C., more desirably 300° to 500° C. Plasma CVD instead of thermal CVD may be employed for the purpose of lowering the reaction temperature.
BRIEF DESCRIPTION OF THE DRAWINGS
Further objects, features, and advantages of the present invention will become more clear from the following detailed disclosure.
FIGS. 1 to 4(a) to (c) are diagrams illustrating Examples of the present invention;
FIGS. 5(a) to (f) and FIGS. 6(a) to (e) are schematic cross-sectional views of semiconductor devices showing stepwise an example wherein tungsten is filled into the contacts in a MOSFET and via holes of the multilevel metallization;
FIGS. 7(a) to (c) are diagrams for illustrating the second Example of the present invention;
FIGS. 8(a) to (e) show a manufacturing process of a MOSFET in the third example of the present invention.
FIG. 9 is a schematic cross-sectional view of an initial stage of the construction of another example of the present invention;
FIG. 10 is a schematic cross-sectional view of a further stage of the construction of the FIG. 9 example of the present invention;
FIG. 11 is a schematic cross-sectional view of a device construction useful in explaining terminology;
FIG. 12 is a schematic cross-sectional view of a still further stage of the construction of the FIG. 9 example of the present invention;
FIG. 13 is a micrograph of a cross-section corresponding to FIG. 11, but of a device structure formed with a 0.5 μm contact using a process with a reduction gas of SiH2 F2, and a temperature of 300° C., according to the present invention;
FIG. 14 is a micrograph of a cross-section corresponding to FIG. 11, but of a device structure formed with a 0.5 μm contact using a process with a reduction gas of H2, and a temperature of 450° C., for comparison to the present invention;
FIG. 15 is a micrograph of a cross-section corresponding to FIG. 11, but of a device structure formed with a 0.5 μm contact using a process with a reduction gas of SiH4, and a temperature of 300° C., for comparison to the present invention;
FIG. 16 is a micrograph of a cross-section corresponding to FIG. 13, but of a device structure formed with a 0.3 μm contact; and
FIG. 17 is a micrograph of a cross-section corresponding to FIG. 13, but of a device structure formed with a 0.6 μm contact.
DETAILED DISCLOSURE
The reactivity of a gas used as a reducing agent for the metal halide has a great affect on the deposition rate and step coverage of the film. When the reactivity of the reducing gas with the metal halide is high, the deposition rate becomes high. In this case, however, since the reducing is consumed before it reaches the bottom of the hole, the amount of the gas fed to the bottom of the hole is insufficient, so that the step coverage lowers. When the reactivity is low, the results are the opposite. The reactivity of SiH2 F2 and SiH3 F is lower than that of SiH4 but far higher than that of hydrogen, i.e., it is between them. Therefore, when SiH2 F2 and SiH3 F are used, not only a sufficient deposition rate of 50 to 100 nm/min or higher can be obtained at a temperature of about 300° C., but also good step coverage can be realized. As with the SiH4 reduced-process, since the deposition temperature is as low as 300° C., the surface migration of tungsten atoms can be prevented, so that the morphology of the surface of the deposited tungsten film is very smooth. Further, the low deposition temperature prevents the reaction of WF6 with silicon and enables silicon to be fed from SiH2 F2 and SiH3 F, so that the encroachment can be prevented.
The metal halide, such as WF6, reacts with the SiH4 on a heated substrate to form tungsten. This reaction, however, also produced fluoro-silanes (SiH3 F, SiH2 F2, SiHF3, etc.) through another reaction pathway, which is ia new discovery and part of the present invention. These flouro-silanes react again with the metal halide to particularly form a metal such as tungsten. For example, in the selective CVD wherein WF6 and SiH4 are used, the main reaction is one which produces SiHF3. In this case, however, there exists also a reaction pathway through which SiF4 is produced, which brings about the selectivity loss. Therefore, when a fluoro-silane is added to the SiH4, it becomes possible to prevent or regulate the first-stage reaction of the metal halide with SiH4 or the reaction which brings about the selectivity loss. This decreases the excessive gas-phase reaction and consequently promotes the deposition of tungsten by the surface reaction. As a result, in the case of the selective CVD the selectivity can be improved, and in the case of the blanket CVD it becomes possible to attain the formation of a film having good step coverage, the control of the reaction rate and the decrease in the formation of particles. Since the fluoro-silane has a larger capability of reducing a silicon oxide film than prior art gases used, the tungsten-silicon interface is clean after the deposition of tungsten, so that the interface characteristics such as adhesion and contact resistance are excellent.
The present invention will now be described in more detail by way of the following Examples.
EXAMPLE 1
FIGS. 1 to 3 demonstrate the results of infrared spectroscopic analysis of a gas produced by a reaction during the selective CVD of a tungsten film through low-pressure CVD wherein WF6 and SiH4 are used. A sample substrate was prepared by depositing a 100 nm-thick tungsten film on a 4 in. silicon wafer by sputtering, depositing an 800 nm-thick silicon oxide film thereon by CVD, and forming square openings having sizes of 0.5 to 10 μm in the silicon oxide film by conventional photolithography to partially expose the surface of the tungsten. Tungsten was selectively deposited only on the tungsten-exposed surface of the sample substrate under CVD conditions of: a sample substrate temperature of 300 degrees C., a flow of WF6 gas of 20 sccm (cm3 per minute at 0 degrees Celsius and one atmosphere), a flow of SiH4 gas of 4 sccm, a total pressure set at 0.64 Torr, and the use of nitrogen as a carrier gas.
FIG. 1 is a schematic view of a sample setup during infrared spectroscopic analysis. An infrared adsorption spectrum of a reaction gas species 26 produced by the reaction was obtained by passing therethrough an infrared beam 22, in a rectangular form having a size 5 nm×10 nm, parallel to and 1 cm above the sample substrate 21 during deposition of a tungsten film, with the beam being introduced through a KBr window 23. Instead of KBr, CsO2, BaF2 and ZnSe can be used for a window. The sample substrate may be heated by a lamp (not shown) or a heater 24 mounted on a sample base. In the present experiment, a lamp (not shown) in the lamp house 25 was used for the heating.
FIG. 2 shows an infrared absorption spectrum of a reaction gas during the W-CVD of FIG. 1. Peaks assignable to SiHF3 (at 845 cm-1, 859 cm-1, 999 cm-1 and 2315 cm-1) and SiF4 (1014 cm-1) as reaction product gases were observed besides peaks assignable to WF6 (absorption peak at 711 cm-1, etc.) and SiH4 (at 2189 cm-1, etc.) as the source gases. This shows the WF6 is reduced through a reaction with SiH4 on the tungsten surface of the sample to form trifluoro-silane (SiHF3) and SiF4, as tungsten was selectively deposited. In this case the formed fluoro-silane partially contributes to the deposition of tungsten because it can reduce WF6.
FIG. 3 is a graph showing a change with deposition time in the absorbance of the gas detected by the infrared absorption spectrum during the selective W-CVD of FIG. 1. The absorbance is an accumulated average value up to that time. The absorbance intensity of WF6 is drawn to a scale of 1 to 100 because it is about 100 times larger than that of the other illustrated species. The absorbance of the source gases, i.e., WF6 and SiH4, becomes constant with time and reaches an equilibrium. The absorbance of SiHF3 and SiF4 increases with the deposition of the tungsten and gradually reaches an equilibrium. The intensity ratio of SiHF3 to SiF4 is substantially constant during the deposition of tungsten. These experimental results show that SiHF3 and SiF4 are produced simultaneously with the deposition of tungsten. In this experiment, tungsten began to deposit on the insulating film from about 2 min after the introduction of WF6 and SiH4, which brought about a selectivity loss. The amount of SiHF3 and SiF4 increased with the lowering in the selectivity.
By contrast, in a variation of the experiment wherein SiH2 F2 was used as a fluoro-silane instead of SiH4, SiF4 was hardly detected by infrared spectroscopy, i.e., the reaction that forms SiF4 was prevented. This facilitated the ensuring of the selectivity. The same results were obtained when SiH3 F was used as a fluoro-silane instead of SiH2 F2.
EXAMPLE 2
FIGS. 4(a)-(c) are graphs showing a change with time in the partial pressure of gas detected through an infrared absorption spectrum during selective W-CVD under conditions of the same gas flow rates and total pressure as those of Example 1 and substrate temperatures of 250, 300 and 350 degrees Celsius, respectively. The partial pressure was determined by calibrating the absorbance (accumulated time average value) of the infrared absorption spectrum. The partial pressure of the source gases, i.e., WF6 and SiH4, becomes constant with time and reaches an equilibrium. The partial pressure of SiHF3 and SiF4 increases with the deposition of tungsten and gradually reaches an equilibrium. The partial pressure of SiF4 is as small as 1/10 of that of SiHF3, which substantiates that the major reaction product in the selective CVD of tungsten is SiHF3. The amount of SiHF3 and SiHF4 increased with a rise in the deposition temperature. Nucleation of tungsten occurred also on the insulating film with an increase in the deposition rate of tungsten, so that the selectivity was lowered. The increase in the amount of SiHF3 corresponds to the increase of SiF4. Specifically, the chemical reaction of the selective CVD is represented by the formula: WF6 +2SiH4 =W+2SiHF3 +3H2. The chemical reaction represented by the formula WF6 +3/2SiH4 =W+3/2SiF4 +3H2 lowers the selectivity. Therefore it is seen that the flow of source gases is important. The selectivity at a ratio represented by the first formula is lowered by increasing the flow of SiH4. As the ratio of SiH4 /WF6 changes from 0 to about 2, the tungsten deposition rate increases with selective deposition, and thereafter the deposition rate stays about the same as the deposition becomes non-selective.
The deposition rate of tungsten on the sputtering-deposited tungsten film and the density of tungsten nuclei on an insulating film were determined under conditions of a flow of WF6 as a source gas of 20 sccm, a flow of SiH4 as another source gas of 8 sccm, an amount of addition of SiF4 to the source gas of 1 to 300 sccm, a total pressure of 0.40 Torr, a deposition temperature of 300 degrees C. and a deposition time of 5 to 8 minutes. The tungsten nuclei density was determined by counting the number of tungsten nuclei through the use of a scanning electron photomicrograph (SEM) (×300). No variation in the tungsten deposition rate and tungsten nuclei density was observed when the flow of SiF4 was increased from 1 to 10 sccm. When this rate was increased from 10 to 300 sccm, the tungsten deposition rate slightly decreased (10% at the maximum) while the tungsten nuclei density decreased by at least one order. In particular, when the flow of SiF4 was 100 sccm or more, the tungsten nuclei density was remarkably improved. This is believed to result from the addition of SiF4 preventing the occurrence of a side reaction which produces SiF4. From the present Example, it is apparent that the addition of SiF4 in a flow of not lower than that of SiH4 is desired for the purpose of improving the selectivity.
In the present Example, SiF4 was added for the purpose of improving the selectivity. Studies have been made also on the addition of SiHF3 in a flow of 10 to 500 sccm for controlling the reaction rate. In general, in the W-CVD wherein use is made of SiH4, since the reaction rate is high, the deposition rate is limited by the mass transfer of SiH4, which makes it difficult to control the deposition rate. In the case of the blanket CVD on a sputtering-deposited metal film, the step coverage in fine via holes is unfavorably poor. The deposition rate of tungsten was about 100 nm/min under conditions of a flow of WF6 of 20 sccm and a flow of SiH4 of 8 sccm. The deposition rate decreased from 80 to 30 nm/min with an increase in the amount of addition of SiHF3 from 50 to 200 sccm. This facilitated the control of the deposition rate and improved the film step coverage of fine via holes.
EXAMPLE 3
FIGS. 5(a) to (f) are schematic cross-sectional views of a semiconductor device showing stepwise an example wherein tungsten is filled into the contacts in a MOSFET and via holes of the multilevel metallization. At the outset, as shown in FIG. 5(a), a field silicon oxide film 2 and a 15 nm-thick gate silicon oxide film 2 were formed on a p-type (100) silicon substrate 1, and a polycrystalline silicon film 3 (thickness: 300 nm) was deposited therein by low-pressure CVD. Thereafter doping was conducted for lowering the resistance, and patterning into a gate electrode was then conducted by conventional photolithography. Then, an ion implantation was conducted by making use of As ions through the use of the polycrystallate silicon gate electrode 3 as a mask, and heat treatment was then conducted to form a source/drain region 4. Thereafter, a SiO2 film 5 was deposited by HLD (high-temperature low-pressure decomposition) and the whole surface thereof was subjected to dry etching to leave the HLD-SiO2 film 5 only around the so-called LDD (lightly doped drain) structure.
Thereafter, as shown in FIG. 5(b), an about 700 nm-thick BPSG (boron-doped PSG) film 6 was deposited by CVD and annealed in nitrogen at 900 degrees C. for densification of the BPSG film, and a contact hole h having a width of 0.5 μm was formed by conventional photolithography.
Then, as shown in FIG. 5(c), a 500 nm-thick tungsten (W) film 7 was deposited on the whole surface by low-pressure CVD wherein WF6 and SiH2 F2 were used as the source gas. The CVD was conducted under conditions of a flow of WF6 gas of 80 sccm, a flow of SiH2 F2 gas of 100 sccm, a total pressure set at 0.75 Torr through dilution with nitrogen, and a temperature of 500 degrees C. The tungsten film 7 thus formed was excellent in the step coverage on the contact hole h, and the morphology of the surface of the film was also excellent, i.e., very smooth. In the present Example 3, the tungsten film 7 was directly deposited on the insulating film. Alternatively, it is also possible to provide an adhesion layer of TiW, TiN, or sputtering-deposited tungsten film 7 between the insulating film 6 and the tungsten film for the purpose of further improving adhesion between the insulating film 6 and the tungsten film 7. Subsequently, the tungsten film 7 was fabricated into interconnections by conventional photolithography.
In the present Example, a tungsten film was formed by making use of WF6 and SiH2 F2. Alternatively, is it also possible to form a tungsten silicide film instead of the tungsten film by increasing the flow ratio of SiH2 F2 to WF6, for example, setting the flow of SiH2 F2 and WF6 respectively at 200 sccm and 80 sccm. SiHF3 as well way be used for the deposition of a tungsten film or a tungsten silicide film. In this case, it is necessary to raise the deposition temperature (>600 degrees C.) above that used in the case of SiH2 F2. Thus, as opposed to the prior art method wherein WF6 /SiH4 is used, when a fluoro-silane was used, the quality of the tungsten silicide film and the step coverage were superior, and the formation of particles during the formation of the film could be reduced. In this case, however, the film thickness distribution lowers within a wafer of the deposited tungsten or tungsten silicide film when the total pressure becomes 10 Torr or more. For this reason it is preferred to conduct CVD at a low pressure of 10 Torr or less.
Thereafter, as shown in FIG. 5(d), a plasma SiO/SOG (spin on glass)/plasma SiO multilayered film 8 (thickness: 300 nm/400 nm/300 nm; 1 μm in total) was deposited, and via holes h' having a width of 0.4 μm and reaching the tungsten film 7 were formed in the multilayered film 8 by conventional photolithography.
Thereafter, as shown in FIG. 5(e), tungsten 9 was selectively filled into the via holes h' by low pressure CVD wherein WF6, SiH4 and SiF4 were used. The CVD was conducted under conditions of a flow of WF6 gas of 20 sccm, a flow of SiH4 gas of 5 sccm and a flow of SiF4 gas of 50 sccm, a total pressure set at 0.2 Torr by dilution with hydrogen, and a temperature of 300 degrees C.
In the present Example 3, tungsten was selectively deposited on a tungsten film. It is also possible to selectively deposit tungsten on, besides the tungsten film, conductive films such as aluminum, molybdenum, TiN, TiW or silicide and semiconductors such as silicon.
Thereafter, as shown in FIG. 5(f), a titanium-tungsten (TiW) film 10 (thickness: 150 nm) and an aluminum (Al) film 11 (thickness: 800 nm) were successively deposited by sputtering, and aluminum interconnection was formed as the second level by conventional photolithography.
In the present Example 3, since the contact holes and via holes between interconnections were filled with the tungsten film 7 and the tungsten 9, multilevel metallization could be planarized, so that the problem of poor step coverage of aluminum interconnection could be remarkably alleviated. Further, the contact resistance with the source/drain and the interlevel contact resistance to the tungsten interconnection and aluminum interconnection were lower than those in the case where no tungsten was filled. The adhesion to the substrate was improved over that of the prior art wherein use was made of SiH4, so that there occurred no problem of peeling of the tungsten film from the substrate. These results are believed to derive from the fact that a clean interface can be obtained because SiH2 F2 used in the formation of the film reduces the silicon oxide film and the tungsten oxide film.
In the present Example 3, a tungsten interconnection was used as the first level interconnection. Alternatively, an aluminum interconnection may be used as the first level interconnection by leaving the tungsten film only within the contact hole through an etch-back process of the tungsten film and then depositing an aluminum film. In the present Example 3, thermal CVD was employed as the CVD. Alternatively, when the process temperature should be lowered, it is also possible to apply plasma CVD.
The interlevel dielectrics comprised a BPSG film 6 for the first level and a plasma SiO/SOG/plasma SiO multilayered film 8 for the second level. The same structure can be formed even when PSG of a heat-resistant organic polymer insulator of polyimide, etc., is used instead of the above-described dielectrics.
EXAMPLE 4
FIGS. 6(a) to (e) are schematic cross-sectional views of a semiconductor device showing stepwise an example wherein tungsten is filled into the contacts in a MOSFET and via holes of the multilevel interconnection. At the outset, as shown in FIG. 6(a), a field silicon oxide film 62 and a 15 nm-thick gate silicon oxide film 62' were formed on a p-type (100) silicon crystal substrate 61, and a polycrystalline silicon film 63 (thickness: 300 nm) was deposited thereon by low pressure CVD. Thereafter doping was conducted for lowering the resistance, and patterning into a gate electrode was conducted by conventional photolithography. Then, an ion implantation was conducted by making use of As ions through the use of the polycrystalline silicon film (gate electrode) 63 as a mask, and heat treatment was then conducted to form a source/drain region 64. Thereafter, a SiO2 film 65 was deposited by HLD and the whole surface thereof was subjected to dry etching to leave the HLD film 65 only around the LDD structure.
Thereafter, as shown in FIG. 6(b), an about 700 nm-thick BPSG (boron-doped PSG) film 66 was deposited thereon by CVD and annealed in nitrogen at 900 degrees C. for densification of the BPSG film, and contact holes h having a width of 0.5 μm were formed by conventional photolithography. Then, as shown in FIG. 6(c), a 150 nm-thick titanium nitride (TiN) film 67 was deposited as a barrier metal by reactive sputtering. Alternatively, the TiN film 67 may be formed by low-pressure thermal CVD or plasma CVD wherein use is made of TiCl4. Thereafter, a 500 nm thick tungsten silicide film 68 was deposited on the whole surface thereof by blanket low-pressure CVD wherein WF6, SiH4 and SiHF3 were used as the source gas. The CVD was conducted under conditions of a flow of WF6 gas of 80 sccm, a flow of SiH4 gas of 800 sccm, a flow SiHF3 gas of 1000 sccm, a total pressure set at 0.75 Torr through dilution with nitrogen, and a temperature of 400 degrees C. The tungsten silicide film 68 thus formed was excellent in the step coverage in the contact hole h', and the morphology of the surface of the film was also excellent, i.e., very smooth.
In the present Example 4, an adhesion layer 67 (a TiW film, a TiN film, a sputtering-deposited tungsten film, etc.) was provided between the insulating film and the tungsten film for the purpose of further improving the adhesion between the insulating film 66 and the tungsten silicide film 68. Alternatively, it is also possible to directly deposit the tungsten silicide film 68 on the insulating film 66. Subsequently, the tungsten silicide film 68 was fabricated into interconnection by conventional photolithography. In the present Example 4, a tungsten silicide film 68 was formed by making use of WF6 and SiH4 /SiHF3. Alternatively, it is possible to form a tungsten film instead of the tungsten silicide film 68 by decreasing the flow ratio of WF6 /(SiH4 +SiHF3), for example, setting the flow of WF6, SiH4 and SiHF3 respectively at 80, 200 and 1000 sccm. SiHF3 may be used alone for the formation of the tungsten or tungsten silicide film. In this case, it is necessary to raise the deposition temperature (>600 degrees C.) above that used in the case of SiH4. Thus, as opposed to the prior art method wherein WF6 and SiH4 were used, when a fluoro-silane was used, the quality of the tungsten silicide film 68 and the step coverage were superior, and the formation of particles during the formation of the film could be reduced. In this case, however, the film thickness distribution lowers within a wafer of the deposited tungsten or tungsten silicide film when the total pressure becomes 10 Torr or more. For this reason, it is preferred to conduct the CVD under a low pressure of 10 Torr or less.
Thereafter, a shown in FIG. 6(c), a plasma SiO/SOG (spin on glass)/plasma SiO multilayered film 69 (thickness: 300 nm/400 nm/300 nm; 1 μm in total) was deposited, and via holes h' having a diameter of 0.4 μm and reaching the tungsten film 68 were formed in the multilayered film 69 by conventional photolithography.
Thereafter, as shown in FIG. 6(d), tungsten 610 was selectively filled into the via hole h' by low pressure CVD wherein WF6, SiH4 and SiF4 were used. The CVD was conducted under conditions of a flow of WF6 gas of 20 sccm, a flow of SiH4 gas of 5 sccm, a flow of SiF4 gas of 50 sccm, a total pressure set at 0.2 Torr by dilution with hydrogen, and a temperature of 300 degrees C.
In the present Example 4, tungsten has selectively deposited on a tungsten film. It is also possible to selectively deposit tungsten on, besides the tungsten film, conductive films such as aluminum, molybdenum, TiN, TiW or silicide and a semiconductor such as silicon.
Thereafter, as shown in FIG. 6(e), titanium-tungsten (TiW) film 611 (thickness: 150 nm) and an aluminum (Al) film 612 (thickness: 800 nm) were successively deposited by sputtering, and aluminum interconnection was formed as the second level by conventional photolithography.
In the present Example 4, since the contact holes h and via holes h' between interconnections were filled with the tungsten silicide film 68 and the tungsten 610, an even multilevel metallization structure could be planarized, so that the problem of poor step coverage of aluminum interconnection could be remarkably alleviated. Further, the contact resistance with the source/drain and the interlevel contact resistance of the tungsten interconnection and aluminum interconnection were lower than those in the case where no tungsten was filled. The adhesion to the substrate was improved over that of the prior art wherein use was made of SiH4, so that there occurred no problem of peeling of the tungsten film from the substrate. These results are believed to derive from the fact that a clean interface can be obtained because SiHF3 used in the formation of the film can reduce the silicon oxide film and the tungsten oxide film.
In the present Example 4, a tungsten interconnection was used as the first level interconnection. Alternatively, an aluminum interconnection may be used as the first level interconnection by leaving the tungsten film only with the contact hole by using means such as an etch-back process of the tungsten film and then depositing an aluminum film. In the present Example, thermal CVD was employed as the CVD. Alternatively, when the process temperature should be lowered, it is also possible to apply plasma CVD.
The interlevel dielectrics comprised a BPSG film 66 for the first level and a plasma SiO/SOG/plasma SiO multilayered film 69 for the second level. The same structure can be formed even when PSG or a heated-resistant organic polymer insulator of polyimide, etc., is used instead of the above-described dielectrics.
EXAMPLE 5
A sample substrate 1 was prepared by thermally oxidizing a 4 in.-thick silicon substrate, forming a 1 μm-thick silicon oxide film on the surface thereof and then depositing a 100 nm-thick tungsten film by sputtering. A tungsten film was formed on the sample substrate 1 by CVD wherein WF6 and SiH2 F2 were used. The apparatus used was a cold wall type low-pressure CVD apparatus, and the sample substrate 1 was heated by means of an infrared lamp.
The sample substrate 1 was heated to 300 degrees. The flow of WF6 and SiH2 F2 were 20 and 30 sccm, respectively, and nitrogen was flowed as a carrier gas at a flow of 500 sccm to set the total pressure at 0.4 Torr. The deposition of tungsten was conducted for 15 min, thereby forming a 200 nm-thick tungsten film. The resistivity of the resultant tungsten film was 10 μΩcm. This value is 2/3 of the resistivity (15 mcm) of a tungsten film formed by the SiH4 -reduced process under conditions of a substrate temperature of 350 degrees C., a WF6 flow of 20 sccm and a SiH4 flow of 20 sccm, and comparable to the resistivity (8 to 12 μΩcm) in the case of the hydrogen-reduced process.
Similar experiments to the first example were conducted with variation only in the deposition temperature and the flow of SiH2 F2. The deposition rates of the resultant tungsten film are given in Table 1.
              TABLE 1                                                     
______________________________________                                    
[Deposition Rate of W (nm/min)]                                           
SiH.sub.2 F.sub.2 gas flow (sccm)                                         
                    30       60    90                                     
______________________________________                                    
Deposition Rate of W at 300° C.                                    
                    15       40    50                                     
Deposition Rate of W at 350° C.                                    
                    15       --    --                                     
Deposition Rate of W at 400° C.                                    
                    20       --    70                                     
______________________________________                                    
                                  TABLE 2                                 
__________________________________________________________________________
                step surface                                              
                            Si                                            
source resist.                                                            
           T °C.                                                   
                coverage                                                  
                     morphology                                           
                            defect                                        
                                selectivity                               
                                      adhesion                            
__________________________________________________________________________
H.sub.2 /WF.sub.6                                                         
        8-10                                                              
           500  good rough  yes ?     good                                
SiH.sub.4 /WF.sub.6                                                       
       10-15                                                              
           300  poor smooth no  ?     poor                                
SiH.sub.4 /WF.sub.6 +                                                     
       10-15                                                              
           300  poor smooth --  good  good                                
SiF.sub.4                                                                 
SiH.sub.2 F.sub.2 /WF.sub.6                                               
       12-16                                                              
           300-400                                                        
                good smooth no  ?     good                                
SiH.sub.4 /WF.sub.6 +                                                     
       10-15                                                              
           --   good --     --  ?     --                                  
SiHF.sub.3                                                                
__________________________________________________________________________
Table 2 is a summary of experimental results where good results for step coverage and surface morphology indicate usefulness for tungsten blanket deposition, and good results for no silicon defect and good selectivity indicate usefulness for selective deposition of tungsten.
In these experiments, the results of which are shown in Table 1, tungsten films having a maximum thickness of 1 μm were formed. None of them brought about peeling. In the case of the SiH4 -reduced process, peeling occurred when the thickness of the deposited film became 300 mm or more. By contrast, it is apparent that when the SiH2 F2 is used, the stress of the tungsten film becomes small. The composition of the resultant tungsten film was determined by the Auger electron spectroscopy. As a result no silicon was detected. This shows that a film having a low resistivity and small stress could be formed by virtue of the absence of silicon in the tungsten film. The observation of the surface of the deposited tungsten film under a scanning electron microscope (SEM) has revealed that the surface was very smooth, substantially equal to that of a tungsten film formed by the SiH4 -reduced process and improved over that of a tungsten film formed by the H2 -reduced process.
In the above-described Example, tungsten was deposited on a tungsten film. The deposition of tungsten can be on, besides the tungsten film, a substrate having 100-nm thick aluminum, TiN, TiW, or sputtering-deposited tungsten silicide films and a silicon substrate in the same manner as that used in the above Examples. As a result, tungsten was deposited at an equivalent deposition rate. When MoF6 is used instead of WF6, a molybdenum film can be formed instead of the tungsten film.
EXAMPLE 6
A sample substrate shown in FIG. 7(a) was prepared by depositing a 100 nm-thick tungsten film 12 on a 4-in. thick silicon substrate 11 by sputtering, depositing an 800 nm-thick silicon oxide film 13 thereon by CVD and forming holes of 0.5 to 10 mm squares in the silicon oxide film by conventional photolithography to partially expose the surface of tungsten.
A 30 nm-thick tungsten film was deposited on the sample substrate in FIG. 7(b), and a tungsten film 15 was formed on the whole surface by CVD wherein use was made of SiH2 F2. The deposition of tungsten was conducted for 9 min under conditions of a temperature of 300° C., a flow of WF6 of 20 sccm, a flow of SiH2 F2 of 90 sccm, a flow of nitrogen of 500 sccm, and a total pressure of 0.4 Torr. FIG. 7(b) is a cross sectional view of the resultant multilayered film. The step coverage was 0.9 in a hole h having a diameter or 0.9 μm, and the hole having a diameter of 0.2 μm could be completely filled with tungsten. This value is slightly smaller than a step coverage of 0.6 in the case where a tungsten film is formed by the SiH4 -reduced process under conditions of a substrate temperature of 300° C., a flow of WF6 of 20 sccm and a flow of SiH4 of 20 sccm.
Subsequently, as shown in FIG. 7(c), a tungsten film 15' was directly deposited on another sample substrate of FIG. 7(a) by CVD without deposition of a tungsten film by sputtering. The CVD was conducted under conditions of a temperature of 300° C., a flow of WF6 of 20 sccm, a flow of SiH2 F2 of 30 sccm, a flow of nitrogen of 500 sccm, and a total pressure of 0.6 Torr. The deposition of the tungsten was conducted for 10 min. As a result, it has been found that, as shown in FIG. 7(c), a 200 nm-thick tungsten film 15' was formed only on the tungsten 12 within the openings of the silicon oxide film, that is, the deposition for the tungsten was selective. Then, the deposition time was prolonged to 20 min to deposit a tungsten film having a thickness of 400 nm. The observation of the surface of the sample substrate has revealed that no tungsten nucleation occurred in the silicon oxide film. When a 400 nm-thick tungsten film was formed by the SiH4 -reduced process, a number of tungsten nuclei were formed on the silicon oxide film. Therefore, it is apparent that the use of SiH2 F2 improves the selectivity.
Then, a sample substrate 1b was prepared by depositing an 800-thick silicon oxide film 13 on a 5 in thick silicon substrate 11 by CVD and forming openings having a size of 0.5 to 10 mm squares in the silicon oxide film 13 by conventional photolithography to partially expose the surface of the silicon substrate. Tungsten was deposited on the substrate 1b for 15 min under conditions of a temperature of 300° C., a flow of WF6 of 20 sccm, a flow of SiH2 F2 of 300 sccm, a flow of nitrogen of 500 sccm and a total pressure of 1.0 Torr. Thereafter, the tungsten film thus formed was removed with aqueous hydrogen peroxide, and the sample substrate 1b was observed under SEM. As a result, no encroachment was observed.
EXAMPLE 7
FIGS. 8(a) to (e) show a manufacturing process of an example wherein tungsten is filled into a contact portion and a multilevel interconnection to prepare a MOSFET. At the outset, as shown in FIG. 8(a), a field oxide film 76 and a 15 nm-thick gate oxide film 76' were formed on a p-type (100) silicon substrate 71, and a polycrystalline silicon film 77 (thickness: 300 nm) was deposited thereon by low-pressure CVD. Thereafter doping was conducted for lowering the resistance, and patterning into a gate electrode was then conducted by conventional photolithography. Then, As ions were implanted through the use of the polycrystalline silicon gate electrode 77 as a mask under conditions of an energy of 80 keV and a dose of 1×10 15 cm2, and a heat treatment was then conducted at 900° C. for 10 min to form a source/drain region 78. Thereafter, a SiO2 film 79 was deposited by HLD and the whole surface thereof was subjected to dry etching to leave the HLD film 79 around the periphery of the gate, thereby forming the LDD structures.
Thereafter, as shown in FIG. 8(b), an about 700 nm-thick BPSG (boron-doped PSG) film 720 was deposited thereon by CVD and annealed in nitrogen at 900° C. for densification of the BPSG film 720, and contact holes h having a diameter of 0.5 μm were formed by conventional photolithography.
Then, as shown in FIG. 8(c), a 100-nm thick TiN film 721 was deposited as an adhesion layer by CVD. The CVD was conducted under conditions of a substrate temperature of 700° C., a flow of TiCl4 of 10 sccm, a flow of NH3 of 100 sccm, a total pressure of 0.2 Torr, and a deposition time of 1 min. Thereafter, a 500 nm-thick tungsten film 715 was deposited on the whole surface thereof by low-pressure CVD wherein WF6 and SiH2 F2 were used as the source gas. The CVD was conducted under conditions of a flow of WF6 of 20 sccm, a flow of SiH2 F2 of 90 sccm, a flow of nitrogen of 500 sccm, a total pressure of 0.5 Torr, and a temperature of 300° C. The contact hole h was completely filled with tungsten by this CVD. In the present Example, A TiN 721 film was formed as the adhesion layer by CVD. Alternatively, it is also possible to use a TiW film etc. as the adhesion layer. Subsequently, the TiN film 721 and the tungsten film 715 were fabricated into interconnection by conventional photolithography. In the present Example, a tungsten film 715 was formed by making use of WF6 and SiH2 F2. Alternatively, it is also possible to form a tungsten silicide film instead of the tungsten film by increasing the flow ratio of the SiH2 F2 to WF6, for example, by setting the flow of WF6 and SiH2 F2 respectively at 80 and 2000 sccm, and raising the temperature to 500° C. Thus, as opposed to the conventional SiH4 -reduced process, the use of SiH2 F2 could reduce the stress of the tungsten silicide film, prevent the peeling of the film, provide excellent step coverage, and reduce the formation of particles. In this case, however, the film thickness distribution lowers within a wafer of the deposited tungsten or tungsten silicide film when the total pressure becomes 10 Torr or more. On the other hand, with the total pressure of 1 mTorr or less, no sufficient deposition rate can be obtained. For this reason, it is preferred to conduct the CVD under a pressure of 1 mTorr to 10 Torr. Thereinafter, a plasma SiO/SOG (spin on glass/plasma SiO multilayered film 722 (thickness: 300 nm/400 nm/300 nm; 1 μm in total) was deposited, and contact holes h' having a diameter of 0.4 μm and reaching the tungsten film 715 were formed in the multilayered film 722 by conventional photolithography.
Thereafter, as shown in FIG. 8(d), tungsten 715' was selectively filled into the contact holes h' by low pressure CVD wherein WF6 and SiH2 F2 were used. The CVD was conducted under conditions of a flow of WF6 of 20 sccm, a flow of SiH2 F2 of 30 sccm, a flow of nitrogen of 500 sccm, a total pressure of 0.6 Torr, and a temperature of 400° C. In the present Example, tungsten 715' was selectively deposited on the tungsten film 715. It is also possible to deposit and aluminum film on a tungsten film 715 to form an aluminum/tungsten multilayered interconnection structure as the first level followed by selective deposition of tungsten 715' on aluminum.
Thereafter, as show in FIG. 8(e), a TiW film 723 (thickness: 150 nm) and an aluminum film 724 (thickness: 800 nm) were successfully deposited by sputtering, and aluminum interconnection was formed as the second level by conventional photolithography.
In the present example, since the contact holes h and via holes h' between interconnections were filled with the tungsten film 175 and the tungsten film 715', multilevel interconnection structure could be planarized, so that the problem of poor step coverage of aluminum could be remarkably alleviated. Further, the contact resistance of contact with the sources and the drain and the via resistance of the tungsten interconnections aluminum interconnection were lower than those in the case where no tungsten was filled. Since the stress becomes lower than that in the prior art method wherein use is made of SiH4, there occurred no problem of peeling of the tungsten film from the substrate.
The interlevel dielectrics comprised a BPSG film 720 for the first level and a SiO/SOG plasma, SiO multilayered film 722 for the second level. The same structure can be formed even when PSG of a heat-resistant organic polymer insulator of polyimide, etc. is used instead of the above-described dielectrics.
EXAMPLE 8
After a tungsten film was deposited using CVD and was patterned into interconnections, the step coverage' factor of the tungsten film in contact holes, of 0.2, 0.3, 0.5 and 0.6 micron (μm) diameter, the surface roughness, the reliability of the interconnection, and patternability of the tungsten film were investigated.
First in forming the above-mentioned film of this example as shown in FIG. 9, a field silicon oxide film 2 was formed on a p-type (100) silicon substrate 1, an ion implantation was conducted by making use of As ions at an accelerating voltage of 80 KeV with a dosage of 1015 cm-2, and then heat treatment at 900° C. for 10 min was conducted to form a N+ diffused region 30. Then, a 500 nm thick PSG film 31 was deposited using CVD and annealed in N2 ambient atmosphere at 900° C. for 20 min to density the PSG film 31. Thereafter, a contact hole having a diameter of 0.3 μm and an angle between the contact wall and the bottom surface of ninety degrees was formed by conventional photolithography and dry etching. Then a 50 nm thick tungsten adhesion film 32 was deposited by sputtering on the whole surface.
Then, as shown in FIG. 10, a tungsten film 33 was deposited on the whole surface by low pressure CVD, wherein WF6 and SiH2 F2 were used as the source gas. The step coverage factor and the ratio of the surface roughness to the average film thickness were investigated. The CVD for film 33 was conducted under conditions of a flow of WF6 gas of 100 sccm, a flow of SiH2 F2 gas of 50 to 400 sccm, a total pressure set at 0.5 Torr through dilution with argon, and a deposition temperature of 300° C. to 400° C. The micrograph of the resulting device structure according to the present invention is shown in FIG. 13.
For comparison with the above-described device structure of the present invention, other structures were made that were identical to that described for FIGS. 9 and 10 under identical conditions except that the tungsten film 33 was respectively deposited by low pressure CVD through H2 and SiH4 reduction processes to obtain device structures whose micrographs are shown in FIGS. 14 and 15. In the H2 reduction process that produced the structure of FIG. 14, the CVD was conducted under conditions of a flow of WF6 gas of 80 sccm, a flow of H2 gas of 4000 sccm, a total pressure set at 0.65 Torr through dilution with argon, and a deposition temperature of 450° C. to 475° C. In the SiH4 reduction process that produced the structure shown in FIG. 15, the CVD was conducted under the conditions of a flow of WF6 of 80 sccm, a flow of SiH4 of 65 sccm, a total pressure of 0.35 Torr through dilution with argon, and a deposition temperature of 250° C. to 300° C.
As shown in FIG. 11, the step coverage factor is defined as the ratio of film thicknesses=a/t, wherein "a" represents the minimum film thickness of the tungsten film 33 in the contact hole and "t" represents the average film thickness of the tungsten film 33 formed on the flat surface outside of the contact hole. The surface roughness is defined as the difference of film thicknesses=t1 -t2, wherein "t2 " represents the maximum film thickness and "t2 " represents the minimum film thickness of the tungsten film 33 in the area of a 10 μm square of the film on the flat surface as seen through SEM observation.
Thereafter, the tungsten film 33 of FIG. 10 was patterned into interconnections 34 by conventional photolithography. The shape of the tungsten interconnection 34 having a width of 0.2 μm was observed through SEM. Then, as shown in FIG. 12, plasma SiO/SOG/plasma SiO multilayered insulator film 35 (300 nm/400 nm/300 nm) was deposited on the whole surface, and then the contact openings 36 for metallization were formed using conventional photolithography and dry etching. Then, Aluminum (Al) metallization was sequentially conducted to fabricate the semiconductor device for electrical measurements.
                                  TABLE 3                                 
__________________________________________________________________________
                       surface                                            
            reduction                                                     
                  step roughness/  fine                                   
reduction                                                                 
     temperature                                                          
            gas flow                                                      
                  coverage                                                
                       average film                                       
                                   pattern-                               
gas  (°C.)                                                         
            rate(sccm)                                                    
                  factor                                                  
                       thickness                                          
                              reliability                                 
                                   ability                                
__________________________________________________________________________
SiH.sub.2 F.sub.2                                                         
     300     400  0.7  0.1    ◯                               
                                   ◯                          
     350     100  0.7  0.2    ◯                               
                                   ◯                          
     400     400  0.9  0.2    ◯                               
                                   ◯                          
SiH.sub.4                                                                 
     300     65   0.5  0.1    X    ◯                          
     250     65   0.6  0.1    X    ◯                          
H.sub.2                                                                   
     450    4000  0.8  0.3    X    X                                      
     475    4000  0.9  0.4    X    X                                      
__________________________________________________________________________
Table 3 summarizes the experimental results of the step coverage factor, the contact resistance and so on, wherein the symbol "0" represents the experimental result that the yield of the semiconductor devices having the contact resistance of less than 100 ohms was more than 99%, whereas "X" represents the experimental result that the yield of the semiconductor devices having the contact resistance of less than 100 ohms was not more than 99%.
In all the semiconductor devices of FIG. 13 wherein the tungsten film was deposited by the SiH2 F2 reduction according to the present invention, the step coverage factor was equal to or more than 0.7 and the ratio of the surface roughness to the average film thickness was equal to or less than 0.2. Moreover, the electrical characteristics such as contact resistance and the leakage current of the N+ diffused region 30 were excellent.
On the other hand, in the semiconductor devices of FIGS. 14 and 15 produced for comparison wherein the tungsten film was deposited by the H2 or SiH4 reduction, the reliability of electrical characteristics was not good. In FIG. 15, it is seen that there is a relatively thin deposit of tungston at the bottom of the contact hoe, which reduces the step coverage. Because the step coverage factor of the tungsten film 33 deposited by the SiH4 reduction (FIG. 15) was low, the tungsten film 33 in the contact hole was frequently oxidized during the deposition process, such as plasma SiO deposition. In the semiconductor devices wherein the tungsten film 33 was deposited by the H2 reduction (FIG. 14), the surface roughness was so large that a seam (also known as a keyhole) of the tungsten film 33 was formed in the contact hole. As shown in FIG. 14, the seam is the open tear drop shaped chamber formed at the center of the contact hole where Tungston has not deposited because the top of the tear drop shape has effectively closed further communication to the interior of the seam for preventing further CVD. As a result of this seam shown in FIG. 14, water or processing gas remained in the seam and degraded the tungsten film quality in the contact hole.
As shown in FIG. 13, the present invention provided a more even deposition in the contact hole than the comparison examples, for the reasons mentioned above relating to the differences in the reducing gases, and therefore had less of a seam problem than either FIG. 14 or FIG. 15.
To summarize these experimental results, it has been found as a part of the present invention that in order to obtain high reliability of electrical characteristics of semiconductor devices, it is necessary to form the tungsten film 33 to have the step coverage factor of at least 0.7 and the ratio of the surface roughness to the averaged film thickness of no more than 0.2. This was also found to be true when a tungsten film 33 is filled into the contact hole having a diameter less than 0.3 μm and an aspect ratio of more than 1.0. It is more difficult to obtain such a high step coverage factor with a smaller diameter contact hole and a higher aspect ratio, but the present invention has shown results equal to the challenge, as obtained in this example.
As for the patternability of the tungsten film deposited by SiH2 F2 or SiH4 reduction, the shape of the tungsten interconnection having a width of 0.3 μm was well defined using conventional photolithography and dry etching. However, in the tungsten film deposited by the H2 reduction, the tungsten interconnection having a width of 0.3 μm was not well defined using conventional photolithography, because it was difficult to get small resolution for fine patterns on the tungsten film having a large surface roughness. Consequently, in order to obtain fine patternability of the tungsten film, it is necessary to form a tungsten film having the ratio of the surface roughness to the average film thickness of not more than than 0.2.
FIGS. 16 and 17 are micrographs, respectively of 0.2 mm and 0.6 mm contact holes showing step coverage of tungston films deposited according to the present invention. The CVD-W film was deposited on a Si contact substrate using a sputter-deposited W film as an adhesion layer. The deposition rae, film resistivity and step coverage of tungston films were investigate. The CVD conditions were as follows: the SiH2 F2 flow was 31-92 sccm, the WF6 flow was 20 sccm, the N2 flow was 720 sccm, the total pressure was 0.4 Torr, and the deposition temperature was 300° C. to 400° C. A deposition rate of 78 nm/min was achieved at 400° C. Conformal tungston films were obtained for 0.2 to 0.6 μm contacts, as shown in FIGS. 16 and 17. The temperature dependence of the deposition rate showed that the deposition rate was limited by the surface reaction, which leads to good step coverage. Film resistivity was 12 to 25 μΩcm, and little solid Si was incorporated in the films.
Although not shown in the Figures the present invention was tried for a 0.2 μm contact hole and the same good results as discussed above for the step coverage were obtained for the reducing gas of SiH2 F2 according to the present invention. While this last example has been specifically disclosed with respect to a contact hole, particularly with a diameter of less than 0.3 μm and an aspect ratio (depth of contact hole/diameter of contact hole) of at least 1, it is also applicable to a fine groove, particularly having a minimum width of less than 0.3 μm and a ratio (depth of groove/minimum width of groove) of at least 1.
According to the present invention, a sufficient deposition rate can be attained at a low temperature, the surface roughness of the film can be reduced, excellent step coverage can be provided, the occurrence of encroachment can be prevented, the electrical resistance of the tungsten film can be reduced, and the peeling of the tungsten film can be reduced. The present invention has an excellent compatibility with the conventional low pressure CVD and can easily be practiced for making conventional semiconductor devices, which renders the present invention advantageous also from the viewpoint of profitability and efficiency.
While a preferred embodiment has been set forth along with modifications and variations to show specific advantageous details of the present invention, further embodiments, modifications and variations are contemplated within the broader aspects of the present invention, all as set forth by the spirit and scope of the following claims.

Claims (8)

We claim:
1. A semiconductor device, comprising:
a contact hole having a diameter of less than 0.3 μm and an aspect ratio (depth of contact hole/diameter of contact hole) of at least 1;
a refractory metal thin film interconnection with a step coverage factor of at least 0.7 in the contact hole; and
said refractory metal interconnection having a ratio of surface roughness to film thickness of no more than 0.2.
2. A device according to claim 1, wherein said refractory metal is tungston.
3. A device according to claim 1, wherein said refractory metal is CVD deposited tungston.
4. A device according to claim 1, including a substrate of silicon having therein the contact hole and wherein said refractory metal is tungston CVD deposited on the silicon substrate within the contact hole.
5. A semiconductor device, comprising:
a fine groove with a minimum width of less than 0.3 μm and a ratio (depth of groove/minimum width of groove) of at least 1;
a refractory metal thin film interconnection with a step coverage factor of at least 0.7 in the fine groove; and
said refractory metal interconnection having a ratio of surface roughness to film thickness of no more than 0.2.
6. A device according to claim 5, wherein said refractory metal is tungston.
7. A device according to claim 5, wherein said refractory metal is CVD deposited tungston.
8. A device according to claim 5, including a substrate of silicon having therein the contact hole and wherein said refractory metal is tungston CVD deposited on the silicon substrate within the contact hole.
US07/765,310 1990-01-29 1991-09-25 Refractory metal thin film having a particular step coverage factor and ratio of surface roughness Expired - Fee Related US5177589A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US07/765,310 US5177589A (en) 1990-01-29 1991-09-25 Refractory metal thin film having a particular step coverage factor and ratio of surface roughness

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP1601990 1990-01-29
JP2-16019 1990-01-29
JP16265090 1990-06-22
JP2-162650 1990-06-22
JP2-211712 1990-08-13
JP21171290 1990-08-13
US07/646,423 US5175017A (en) 1990-01-29 1991-01-28 Method of forming metal or metal silicide film
US07/765,310 US5177589A (en) 1990-01-29 1991-09-25 Refractory metal thin film having a particular step coverage factor and ratio of surface roughness

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/646,423 Continuation-In-Part US5175017A (en) 1990-01-29 1991-01-28 Method of forming metal or metal silicide film

Publications (1)

Publication Number Publication Date
US5177589A true US5177589A (en) 1993-01-05

Family

ID=27519773

Family Applications (1)

Application Number Title Priority Date Filing Date
US07/765,310 Expired - Fee Related US5177589A (en) 1990-01-29 1991-09-25 Refractory metal thin film having a particular step coverage factor and ratio of surface roughness

Country Status (1)

Country Link
US (1) US5177589A (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5276314A (en) * 1992-04-03 1994-01-04 International Business Machines Corporation Identity verification system resistant to compromise by observation of its use
US5621247A (en) * 1995-02-20 1997-04-15 Matsushita Electric Industrial Co., Ltd. Memory device with tungsten and aluminum interconnects
US5643825A (en) * 1994-12-29 1997-07-01 Advanced Micro Devices, Inc. Integrated circuit isolation process
US5825078A (en) * 1992-09-23 1998-10-20 Dow Corning Corporation Hermetic protection for integrated circuits
US5834827A (en) * 1994-06-15 1998-11-10 Seiko Epson Corporation Thin film semiconductor device, fabrication method thereof, electronic device and its fabrication method
US5962084A (en) * 1995-12-25 1999-10-05 Sony Corporation Plasma CVD process and semiconductor device having metal film formed by the process
US5977599A (en) * 1996-04-29 1999-11-02 Chartered Semiconductor Manufacturing Formation of a metal via using a raised metal plug structure
US6048740A (en) * 1998-11-05 2000-04-11 Sharp Laboratories Of America, Inc. Ferroelectric nonvolatile transistor and method of making same
US6265311B1 (en) 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6410432B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6410433B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited Thermal CVD of TaN films from tantalum halide precursors
US6413860B1 (en) 1999-04-27 2002-07-02 Tokyo Electron Limited PECVD of Ta films from tanatalum halide precursors
US20020096776A1 (en) * 2001-01-24 2002-07-25 Hrl Laboratories, Llc Integrated circuits protected against reverse engineering and method for fabricating the same using an apparent metal contact line terminating on field oxide
US20020173131A1 (en) * 2000-10-25 2002-11-21 Clark William M. Implanted hidden interconnections in a semiconductor device for preventing reverse engineering
US6589873B2 (en) * 1999-01-07 2003-07-08 Nec Electronics Corporation Process for manufacturing a semiconductor device
US20040012067A1 (en) * 2001-06-15 2004-01-22 Hrl Laboratories, Llc Programmable connector/isolator and double polysilicon layer CMOS process with buried contact using the same
US20040061186A1 (en) * 2002-09-27 2004-04-01 Lap-Wai Chow Conductive channel pseudo block process and circuit to inhibit reverse engineering
US20040099912A1 (en) * 2002-11-22 2004-05-27 Hrl Laboratories, Llc. Use of silicon block process step to camouflage a false transistor
US20040144998A1 (en) * 2002-12-13 2004-07-29 Lap-Wai Chow Integrated circuit modification using well implants
US20040164361A1 (en) * 2001-06-15 2004-08-26 Hrl Laboratories, Llc Permanently on transistor implemented using a double polysilicon layer CMOS process with buried contact
US6897535B2 (en) 2002-05-14 2005-05-24 Hrl Laboratories, Llc Integrated circuit with reverse engineering protection
US20050230787A1 (en) * 2004-04-19 2005-10-20 Hrl Laboratories, Llc. Covert transformation of transistor properties as a circuit protection method
US7242063B1 (en) 2004-06-29 2007-07-10 Hrl Laboratories, Llc Symmetric non-intrusive and covert technique to render a transistor permanently non-operable
US20080079082A1 (en) * 2006-09-28 2008-04-03 Hrl Laboratories, Llc Programmable connection and isolation of active regions in an integrated circuit using ambiguous features to confuse a reverse engineer
US20110070666A1 (en) * 2008-05-22 2011-03-24 Nxp B.V. Deposition method
EP3792590A1 (en) 2019-09-12 2021-03-17 Bayerische Mass-Industrie Arno Keller GmbH Level refinement

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3697343A (en) * 1970-12-16 1972-10-10 Ibm Method of selective chemical vapor deposition
JPS5972132A (en) * 1982-10-19 1984-04-24 Toshiba Corp Forming method for metal and metallic silicide film
US4897709A (en) * 1988-04-15 1990-01-30 Hitachi, Ltd. Titanium nitride film in contact hole with large aspect ratio
US5051812A (en) * 1989-07-14 1991-09-24 Hitachi, Ltd. Semiconductor device and method for manufacturing the same
US5081064A (en) * 1989-06-06 1992-01-14 Fujitsu Limited Method of forming electrical contact between interconnection layers located at different layer levels
US5084416A (en) * 1989-02-17 1992-01-28 Matsushita Electronics Corporation Method of forming a low resistance contact by forming a contact hole within a recess
US5084413A (en) * 1986-04-15 1992-01-28 Matsushita Electric Industrial Co., Ltd. Method for filling contact hole

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3697343A (en) * 1970-12-16 1972-10-10 Ibm Method of selective chemical vapor deposition
JPS5972132A (en) * 1982-10-19 1984-04-24 Toshiba Corp Forming method for metal and metallic silicide film
US5084413A (en) * 1986-04-15 1992-01-28 Matsushita Electric Industrial Co., Ltd. Method for filling contact hole
US4897709A (en) * 1988-04-15 1990-01-30 Hitachi, Ltd. Titanium nitride film in contact hole with large aspect ratio
US5084416A (en) * 1989-02-17 1992-01-28 Matsushita Electronics Corporation Method of forming a low resistance contact by forming a contact hole within a recess
US5081064A (en) * 1989-06-06 1992-01-14 Fujitsu Limited Method of forming electrical contact between interconnection layers located at different layer levels
US5051812A (en) * 1989-07-14 1991-09-24 Hitachi, Ltd. Semiconductor device and method for manufacturing the same

Cited By (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5276314A (en) * 1992-04-03 1994-01-04 International Business Machines Corporation Identity verification system resistant to compromise by observation of its use
US5825078A (en) * 1992-09-23 1998-10-20 Dow Corning Corporation Hermetic protection for integrated circuits
US5834827A (en) * 1994-06-15 1998-11-10 Seiko Epson Corporation Thin film semiconductor device, fabrication method thereof, electronic device and its fabrication method
US5643825A (en) * 1994-12-29 1997-07-01 Advanced Micro Devices, Inc. Integrated circuit isolation process
US5621247A (en) * 1995-02-20 1997-04-15 Matsushita Electric Industrial Co., Ltd. Memory device with tungsten and aluminum interconnects
US5962084A (en) * 1995-12-25 1999-10-05 Sony Corporation Plasma CVD process and semiconductor device having metal film formed by the process
US5977599A (en) * 1996-04-29 1999-11-02 Chartered Semiconductor Manufacturing Formation of a metal via using a raised metal plug structure
US6048740A (en) * 1998-11-05 2000-04-11 Sharp Laboratories Of America, Inc. Ferroelectric nonvolatile transistor and method of making same
US6589873B2 (en) * 1999-01-07 2003-07-08 Nec Electronics Corporation Process for manufacturing a semiconductor device
US20030205194A1 (en) * 1999-01-07 2003-11-06 Tetsuya Taguwa Process for manufacturing a semiconductor device
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6410432B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6410433B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited Thermal CVD of TaN films from tantalum halide precursors
US6413860B1 (en) 1999-04-27 2002-07-02 Tokyo Electron Limited PECVD of Ta films from tanatalum halide precursors
US6265311B1 (en) 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6900129B2 (en) 1999-04-27 2005-05-31 Tokyo Electron Limited CVD of tantalum and tantalum nitride films from tantalum halide precursors
US20020173131A1 (en) * 2000-10-25 2002-11-21 Clark William M. Implanted hidden interconnections in a semiconductor device for preventing reverse engineering
US6815816B1 (en) 2000-10-25 2004-11-09 Hrl Laboratories, Llc Implanted hidden interconnections in a semiconductor device for preventing reverse engineering
US7166515B2 (en) 2000-10-25 2007-01-23 Hrl Laboratories, Llc Implanted hidden interconnections in a semiconductor device for preventing reverse engineering
US20020096776A1 (en) * 2001-01-24 2002-07-25 Hrl Laboratories, Llc Integrated circuits protected against reverse engineering and method for fabricating the same using an apparent metal contact line terminating on field oxide
US7294935B2 (en) 2001-01-24 2007-11-13 Hrl Laboratories, Llc Integrated circuits protected against reverse engineering and method for fabricating the same using an apparent metal contact line terminating on field oxide
US6919600B2 (en) 2001-06-15 2005-07-19 Hrl Laboratories, Llc Permanently on transistor implemented using a double polysilicon layer CMOS process with buried contact
US6774413B2 (en) 2001-06-15 2004-08-10 Hrl Laboratories, Llc Integrated circuit structure with programmable connector/isolator
US6893916B2 (en) 2001-06-15 2005-05-17 Hrl Laboratories, Llc Programmable connector/isolator and double polysilicon layer CMOS process with buried contact using the same
US20040012067A1 (en) * 2001-06-15 2004-01-22 Hrl Laboratories, Llc Programmable connector/isolator and double polysilicon layer CMOS process with buried contact using the same
US20040164361A1 (en) * 2001-06-15 2004-08-26 Hrl Laboratories, Llc Permanently on transistor implemented using a double polysilicon layer CMOS process with buried contact
US6897535B2 (en) 2002-05-14 2005-05-24 Hrl Laboratories, Llc Integrated circuit with reverse engineering protection
US20050161748A1 (en) * 2002-05-14 2005-07-28 Hrl Laboratories, Llc Integrated circuit with reverse engineering protection
US7008873B2 (en) 2002-05-14 2006-03-07 Hrl Laboratories, Llc Integrated circuit with reverse engineering protection
US20040061186A1 (en) * 2002-09-27 2004-04-01 Lap-Wai Chow Conductive channel pseudo block process and circuit to inhibit reverse engineering
US7888213B2 (en) 2002-09-27 2011-02-15 Hrl Laboratories, Llc Conductive channel pseudo block process and circuit to inhibit reverse engineering
US8258583B1 (en) 2002-09-27 2012-09-04 Hrl Laboratories, Llc Conductive channel pseudo block process and circuit to inhibit reverse engineering
US20060157803A1 (en) * 2002-09-27 2006-07-20 Hrl Laboratories, Llc Conductive channel pseudo block process and circuit to inhibit reverse engineering
US8679908B1 (en) 2002-11-22 2014-03-25 Hrl Laboratories, Llc Use of silicide block process to camouflage a false transistor
US6979606B2 (en) 2002-11-22 2005-12-27 Hrl Laboratories, Llc Use of silicon block process step to camouflage a false transistor
US20070243675A1 (en) * 2002-11-22 2007-10-18 Hrl Laboratories, Llc Use of silicon block process step to camouflage a false transistor
US20040099912A1 (en) * 2002-11-22 2004-05-27 Hrl Laboratories, Llc. Use of silicon block process step to camouflage a false transistor
US7344932B2 (en) 2002-11-22 2008-03-18 Hrl Laboratories, Llc Use of silicon block process step to camouflage a false transistor
US7514755B2 (en) 2002-12-13 2009-04-07 Hrl Laboratories Llc Integrated circuit modification using well implants
US8524553B2 (en) 2002-12-13 2013-09-03 Hrl Laboratories, Llc Integrated circuit modification using well implants
US20040144998A1 (en) * 2002-12-13 2004-07-29 Lap-Wai Chow Integrated circuit modification using well implants
US20050230787A1 (en) * 2004-04-19 2005-10-20 Hrl Laboratories, Llc. Covert transformation of transistor properties as a circuit protection method
US7541266B2 (en) 2004-04-19 2009-06-02 Hrl Laboratories, Llc Covert transformation of transistor properties as a circuit protection method
US20070224750A1 (en) * 2004-04-19 2007-09-27 Hrl Laboratories, Llc Covert transformation of transistor properties as a circuit protection method
US7217977B2 (en) 2004-04-19 2007-05-15 Hrl Laboratories, Llc Covert transformation of transistor properties as a circuit protection method
US7935603B1 (en) 2004-06-29 2011-05-03 Hrl Laboratories, Llc Symmetric non-intrusive and covert technique to render a transistor permanently non-operable
US8049281B1 (en) 2004-06-29 2011-11-01 Hrl Laboratories, Llc Symmetric non-intrusive and covert technique to render a transistor permanently non-operable
US7242063B1 (en) 2004-06-29 2007-07-10 Hrl Laboratories, Llc Symmetric non-intrusive and covert technique to render a transistor permanently non-operable
US20080079082A1 (en) * 2006-09-28 2008-04-03 Hrl Laboratories, Llc Programmable connection and isolation of active regions in an integrated circuit using ambiguous features to confuse a reverse engineer
US8168487B2 (en) 2006-09-28 2012-05-01 Hrl Laboratories, Llc Programmable connection and isolation of active regions in an integrated circuit using ambiguous features to confuse a reverse engineer
US8564073B1 (en) 2006-09-28 2013-10-22 Hrl Laboratories, Llc Programmable connection and isolation of active regions in an integrated circuit using ambiguous features to confuse a reverse engineer
US20110070666A1 (en) * 2008-05-22 2011-03-24 Nxp B.V. Deposition method
EP3792590A1 (en) 2019-09-12 2021-03-17 Bayerische Mass-Industrie Arno Keller GmbH Level refinement

Similar Documents

Publication Publication Date Title
US5177589A (en) Refractory metal thin film having a particular step coverage factor and ratio of surface roughness
US5175017A (en) Method of forming metal or metal silicide film
US6544876B1 (en) Titanium boride gate electrode and interconnect and methods regarding same
EP0279588B1 (en) Contact in a contact hole in a semiconductor and method of producing same
US6830838B2 (en) Chemical vapor deposition of titanium
US5084417A (en) Method for selective deposition of refractory metals on silicon substrates and device formed thereby
KR0140379B1 (en) Process for the selective encapsulation an electrically conductive structure in a semiconductor device
US20060246714A1 (en) Method of forming a conductive contact
US20020050644A1 (en) Electrode structure and method for fabricating the same
US5202287A (en) Method for a two step selective deposition of refractory metals utilizing SiH4 reduction and H2 reduction
US6444556B2 (en) Chemistry for chemical vapor deposition of titanium containing films
US5302846A (en) Semiconductor device having improved vertical insulated gate type transistor
JP2000058650A (en) Semiconductor device, and method and device for manufacturing the device
US6433434B1 (en) Apparatus having a titanium alloy layer
JPH05129231A (en) Electrode wiring
US6048794A (en) Selective W CVD plug process with a RTA self-aligned W-silicide barrier layer
US7858518B2 (en) Method for forming a selective contact and local interconnect in situ
JPH0521712A (en) Semiconductor device and its manufacture
JPH06120355A (en) Manufacture of semiconductor device
JP3027987B2 (en) Method for forming metal film or metal silicide film and method for manufacturing semiconductor device
Martínez-Duart et al. Micrometallization Technologies
JP2000174021A (en) Semiconductor device having multilayer wiring structure and manufacture of the same
JP2000260774A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI, LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNORS:KOBAYASHI, NOBUYOSHI;GOTO, HIDEKAZU;SUZUKI, MASAYUKI;AND OTHERS;REEL/FRAME:006338/0001

Effective date: 19911017

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20050105