US5374315A - Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment - Google Patents

Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment Download PDF

Info

Publication number
US5374315A
US5374315A US08/133,659 US13365993A US5374315A US 5374315 A US5374315 A US 5374315A US 13365993 A US13365993 A US 13365993A US 5374315 A US5374315 A US 5374315A
Authority
US
United States
Prior art keywords
susceptor
ring
passage
substrate
annular
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/133,659
Inventor
Wiebe B. deBoer
Albert E. Ozias
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
Advanced Semiconductor Materials America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US07/032,474 external-priority patent/US4821674A/en
Priority claimed from US07/330,200 external-priority patent/US4996942A/en
Application filed by Advanced Semiconductor Materials America Inc filed Critical Advanced Semiconductor Materials America Inc
Priority to US08/133,659 priority Critical patent/US5374315A/en
Application granted granted Critical
Publication of US5374315A publication Critical patent/US5374315A/en
Priority to US08/513,321 priority patent/US5902407A/en
Assigned to IMPERIAL BANK reassignment IMPERIAL BANK SECURITY AGREEMENT Assignors: EPSILON TECHNOLOGY, INC., D/B/A ASM EPITAXY
Assigned to ASM AMERICA, INC. reassignment ASM AMERICA, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED SEMICONDUCTOR MATERIALS AMERICA, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/02Apparatus for spreading or distributing liquids or other fluent materials already applied to a surface ; Controlling means therefor; Control of the thickness of a coating by spreading or distributing liquids or other fluent materials already applied to the coated surface
    • B05C11/08Spreading liquid or other fluent material by manipulating the work, e.g. tilting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Definitions

  • This invention relates in general to deposition equipment of the type used for chemical vapor deposition of materials on substrates, and more particularly to a rotatable substrate supporting mechanism with temperature sensing device for use in the deposition chambers of such equipment.
  • chemical vapor deposition equipment for depositing various materials, on substrates at high temperatures as part of the process of manufacturing semi-conductor devices.
  • chemical vapor deposition equipment includes a reaction chamber which is heated to a desired reaction temperature and is configured for the controlled flow of the material carrier gas therethrough.
  • a base which is commonly referred to in the art as a "susceptor" is located in the reaction chamber for supporting the substrates upon which the material is to be deposited by the well known chemical vapor deposition process.
  • Prior art susceptors are typically of two basic types with the first being a single planar surface for use in a horizontal attitude and the second being an upstanding barrel shaped multi-surface structure. In either case, these susceptors are configured to support a multiplicity of relatively small substrates, i.e. in the neighborhood of 2 to 5 inches in diameter, for simultaneously depositing materials on the multiplicity of substrates. While simultaneous deposition of materials on a multiplicity of substrates is desirable from a manufacturing standpoint, it has some drawbacks from a quality standpoint.
  • the first problem associated with multi-substrate processing relates to the carrier gas which contains the atoms of the deposition materials.
  • the gas which may be referred to as a reactant gas
  • the reactant gas flows over the surfaces of the substrate and the susceptor, deposition of the materials results in chances in the concentration of the deposition materials in the carrier gas. Consequently, as the reactant gas flows across or over the length of these relatively large susceptors, across each individual substrate and across a multiplicity of such substrates, different rates of growth of the deposited layer to material have been found.
  • a second problem is that of temperature control which is critical at the elevated temperatures needed for proper deposition. It is difficult, if not impossible, to control the temperature within the critical tolerances at all the desired locations within the relatively large reaction chambers.
  • Single substrate chemical vapor deposition equipment becomes inherently more desirable than multi-substrate equipment as the manufacturers of semi-conductor devices change to larger substrates, i.e. 6 to 8 inches in diameter or even larger.
  • One important consideration is the cost at risk when processing one substrate as opposed to the simultaneous multi-substrate processing. That is, if something goes wrong, the monetary loss is far less with one substrate that it is with a plurality of substrates.
  • the susceptors being used in single substrate progressing equipment consist essentially of some sort of platform, or base, for supporting the substrate and contribute nothing further to the chemical vapor deposition equipment.
  • a new and useful rotatable substrate supporting mechanism for use in substrate chemical vapor deposition equipment.
  • the rotatable substrate supporting mechanism includes a circular susceptor, or platform, for receiving a single circular substrate and supporting the substrate in the reactant gas flow path through the reaction chamber.
  • the susceptor is provided with an axially depending or driveshaft which is suitably coupled to a variable speed drive means for rotation of the susceptor, and thus the substrate, about a vertical rotation axis.
  • the substrate supporting mechanism of the present invention is preferably configured to provide a sophisticated temperature sensing system which can be used to produce accurate temperature control in the vicinity of the susceptor.
  • the temperature sensing system includes a first temperature sensor means which in the preferred embodiment, extends axially and upwardly through the axial driveshaft of the susceptor for sensing the temperature at the center of the susceptor.
  • a fixed concentric ring is located in close surrounding relationship with the rotatable susceptor and at least one, and preferably more, additional temperature sensing means are providing at circumferentially spaced increments in the fixed ring, and these additional temperature sensing means will sense the temperatures at various points about the rotatable susceptor, Each of the temperature sensing means produces a signal indicative of the sensed temperature, and those signals may be coupled to a suitable control system which operates in conjunction with the heating system of the reaction chamber for temperature control purposes.
  • the mechanism of the present invention is provided with a purging system by which a purge gas is introduced under elevated pressure into the tubular shaft which is configured so that the purging gas will emerge from the driveshaft below the susceptor.
  • a purge gas will inhibit the flow of reactant gas into the area below the susceptor and into the tubular shaft and thereby prevent deposited material contamination in those areas.
  • the purging gas will inhibit the formation of hot spots in the mechanism.
  • the mechanism of the present invention is provided with means for vertical adjustment whereby the susceptor, and thus the substrate carried thereon, can be set at an optimum position in the reactant gas flow path of the reaction chamber. Also, the mechanism is provided with a drive control system by which the rotational speed of the susceptor may be adjusted to a desired speed and by which the susceptor's rotation is stopped at the same point each time for substrate handling purposes.
  • Another object of the present invention is to provide a new and improved substrate supporting mechanism having a susceptor, or platform, upon which a single substrate is supported in the reactant gas flow path in a heated reaction chamber with the susceptor being rotatable for rotating the substrate about an axis which is normal to the center of the substrate for temperature and growth rate averaging purposes.
  • Another object of the present invention is to provide a new and improved substrate supporting mechanism of the above described character which includes a temperature sensing means for sensing the temperature at various points in and about the rotatable susceptor and producing signals indicative of the sensed temperatures with the produced signals being usable for temperature control purposes which interacts with the equipment heating system to provide a flat temperature profile across the substrate.
  • Another object of the present invention is to provide a new and improved substrate supporting mechanism of the above described character which further includes a purging system by which a purge gas under elevated pressure is introduced into the mechanism to prevent the flow of the reactant gas into the mechanism itself and into the area below the rotatable susceptor.
  • Still another object of the present invention is to provide a new and improved substrate supporting mechanism of the above described type wherein the substrate supporting susceptor is adjustable along its rotational axis for optimum locating of the substrate in the reactant gas flow path through the reaction chamber.
  • Yet another object of the present invention is to provide the above described substrate supporting mechanism with a drive control system by which the rotating speed of the susceptor may be adjustably set to a desired rotation rate and by which the susceptor's rotation is stopped at the same location each time for improved substrate handling purposes.
  • FIG. 1 is an elevational view of the substrate supporting mechanism of the present invention with the mechanism shown as being used in a type of reaction chamber which i s commonly referred to as a horizontal flow reaction chamber.
  • FIG. 2 is a view similar to FIG. 1 but showing the substrate supporting mechanism of the present invention as being used in a type of reaction chamber that is commonly referred to as an axial flow reaction chamber.
  • FIG. 3 is an enlarged elevational view which is partially broken away to show the various features of the substrate supporting mechanism.
  • FIG. 4 is an enlarged top view of the substrate supporting susceptor and showing the concentric relationship of a fixed ring structure which is part of the temperature sensing system of the mechanism.
  • FIG. 5 is an enlarged fragmentary sectional view taken along the line 5--5 of FIG. 4.
  • FIG. 6 is an exploded perspective view showing the mounting structures and arrangement of the susceptor and the fixed ring of the temperature sensing system of the mechanism of the present invention.
  • FIG. 7 is a top view of a particular form of susceptor which is provided with an especially configured top surface upon which a substrate is supportable.
  • FIG. 8 is a view similar to FIG. 7 but which shows an alternate top surface configuration provided on the susceptor.
  • FIG. 9 is a fragmentary sectional view taken a long the line 9--9 of FIG. 3.
  • FIG. 10 is a fragmentary view similar to FIG. 3 and showing a modification of the substrate supporting mechanism of the present invention.
  • FIG. 11 is a fragmentary sectional view taken along the line 11--11 of FIG. 10.
  • FIG. 12 is a fragmentary sectional view taken along the line 12--12 of FIG. 10.
  • FIG. 13 is a schematic drawing of the drive control system of the substrate supporting mechanism of the present invention.
  • FIG. 14 is a fragmentary view similar to FIG. 3 and showing an alternate temperature sensor embodiment.
  • a reactant gas which contains gaseous forms of the a t ores o f the material to be deposited, is introduced into what is referred to as a reaction chamber in a manner which causes the reactant gas to flow through the reaction chamber in a path which is determined by reaction chamber configuration, gas injection location and the like.
  • Reaction chambers are heated to relatively high temperatures, which are determined by the various factors of the particular process to be accomplished.
  • FIGS. 1 and 2 show the rotatable substrate supporting mechanism of the present invention which is indicated in its entirety by the reference numeral 20.
  • FIG. 1 shows the mechanism 20 as being used in conjunction with a reaction chamber 22 of the type sometimes referred to as a horizontal flow reaction chamber
  • FIG. 2 shows the mechanism 20 as being used in conjunction with an axial flow reaction chamber 26.
  • both horizontal flow and axial flow reaction chambers have been devised in various configurations and the particular chambers 22 and 28, which are only partially shown, are intended to be typical representations of such reaction chambers.
  • the reaction chamber 22 has a planar bottom surface 23 with a tubular shaft 24 depending integrally therefrom, and similarly, the reaction chamber 26 has a planar bottom surface 27 from which a tubular shaft 28 integrally depends.
  • the rotatable substrate supporting mechanism is coupled to the depending end of either of the tubular shafts 24 or 28 and portions of the mechanism 20 extend upwardly through the axial bores of the shafts into the interior of the reaction chamber 22 and/or 26, to provide, among other things, a platform, or susceptor 30 upon which a substrate 32 is demountably supportable.
  • the substrate 32 is placed on the susceptor 30 prior to commencement of the chemical vapor deposition process and is off-loaded when the desired deposition film, or layer has been formed thereon and the process has been completed.
  • the rotatable substrate supporting mechanism 20 is carried in a manner which will be described in detail below, on a suitable support plate 33 which is disposed below the reaction chamber 22 and/or 26.
  • the plate 33 is provided with a plurality of bushing mounts 34 (one shown) each of which is slideably movable along a different one of a plurality of fixed vertical rod 35 (one shown).
  • the plate 33, and thus the entire mechanism 20, is vertically adjustable relative to the reaction chamber 22 and/or 26 by a vertical elevating means 36.
  • the vertical elevating means 36 includes a worm gear nut 37 carried on the support plate 33 for movement along an elongated rotatable worm gear 38.
  • the worm gear 38 is journaled for rotation about its longitudinal axis in a drive housing 39 that is fixedly carried on a suitable support means 40.
  • the housing 39 contains a suitable gear train such as the illustrated worm gear 41 which is rotatable by means of the hand wheel 42, and the worm gear 41 is in driving meshed engagement with a spur gear 43 (FIG. 1) that is mounted on the lower end of the elongated worm gear 38.
  • a suitable gear train such as the illustrated worm gear 41 which is rotatable by means of the hand wheel 42, and the worm gear 41 is in driving meshed engagement with a spur gear 43 (FIG. 1) that is mounted on the lower end of the elongated worm gear 38.
  • the above described vertically adjustable elevating means 36 is a coarse adjustment for positioning the susceptor 30 at an optimum position within the reaction chamber 22 and/or 26 and a fine adjustment device is also provided as will hereinafter be described.
  • the susceptor 30 is of circular substantially planar configuration and is demountably carried and rotatably driven by a spider structure 44 having a central hub 45 from which at least three arms 46 extend radially. Each of the arms 46 is bent or otherwise formed at its distal end to provide an upstanding peg 47 upon which the susceptor 30 is supported.
  • the hub 45 of spider 44 is provided with a truncated conical bore 48 in which the tapered upper end 49 of a driveshaft assembly 50 is disposed.
  • the driveshaft assembly 50 is a multi-piece assembly including an elongated rotation shaft 51 at the uppermost end of the assembly.
  • the rotation shaft 51 having the tapered upper end 49 as mentioned above, is provided with an axial bore 52 for reasons which will become apparent as this description progresses.
  • the rotation shaft 51 has an enlarged tapered lower end 53 of inverted frusto-conical configuration with an enlarged enlarged diameter upwardly facing annular shoulder 54 in axially spaced relationship with the lower open end of the shaft.
  • the reaction chambers 22 and 26 are fabricated of a suitable transparent material, such as fused quartz, for the transmission of heating radiation from a suitable heat source (not shown). Since the depending tubular shafts 24 and 28 depend integrally from their respective reaction chambers 22 and 26, they too are formed of the same transparent material. For the same heat transmission reason, the above described spider structure 44 and the rotation shaft 51 are also formed of transparent material.
  • the driveshaft assembly 50 further includes a spindle 56 having an upper body portion 57 and a lower body portion 58 which may be formed as a single unitary structure or may be formed as two separate pieces for ease of fabrication and joined together such as by welding in the manner indicated in the drawing.
  • the upper body portion 57 is of generally cylindrical configuration with a reduced diameter upper end 59 which forms an upwardly facing annular shoulder 60 on the upper body portion 57.
  • the reduced diameter upper end 59 is provided with external threads as indicated at 61 and an axial bore 62 is formed through the upper body portion 57.
  • the axial bore has a tapered socket 64 at its upper end in which the enlarged tapered lower end 53 of the rotation shaft 51 is seated.
  • a suitable hold-down nut 66 is threadingly carried on the upper end of the body portion 57 and a wave washer 68, or other suitable biasing means, is contained within the hold-down nut 66 to wedgingly hold the tapered lower end 53 of the rotation shaft 51 in the socket 64 of the spindle body portion 57.
  • the hold-down nut 66 is provided with a suitable aperture through which the rotation shaft extends.
  • the upper body portion 57 of the spindle 56 is further provided with a depending externally threaded boss 70 and a plurality (two shown) of passages 72 which extend downwardly from the annular shoulder 60 into intersecting relationship with the axial bore 62 at a location spaced below the tapered socket 64 portion of the axial bore.
  • the lower body portion 58 of the spindle 56 is of elongated cylindrical configuration and defines an axial bore 74 which has an inside diameter that is considerably larger than the axial bore 62 formed through the spindle's upper body portion 57 and is larger than the outside diameter of the depending boss 70 thereof for reasons which will be explained below.
  • the lower body portion 58 is provided with a reduced diameter lower end 76 and has external threads 77 formed thereon immediately above the point which the diameter of the lower body portion 58 is reduced.
  • a bearing means in the preferred form of rotary seal 80, is provided with a non-rotating portion 81, which is mounted in a manner which will hereinafter be described, and has an elongated rotatable sleeve 82 journaled for rotation therein, with the sleeve being axially disposed in the bearing means with a dependingly extending lower end 83 having external threads 84 on the lowermost part of depending end 83.
  • the driveshaft assembly 50 is disposed so as to extend coaxially through the rotatable sleeve 82 in a manner whereby the sleeve 82 and the driveshaft assembly 50 rotate as a single entity.
  • the bearing means 80 is employed in the substrate supporting mechanism 20 to support and rotatably journal the driveshaft assembly and to prevent gas leakage for reasons which will become apparent as this description progresses.
  • the bearing means in the form described is commercially available from the Ferrofludics Corporation of 40 Simon Street, Nashua, N.H. 03061 and is identified as Model Number HS-1500-F-W.
  • a driven pulley 86 is attached, such as by means of the set screw shown, to the dependingly extending lower end 83 of the sleeve 82 and a position indicator wheel 88 is threadingly mounted immediately below the pulley on the threads 84 provided on the lowermost end of the sleeve 82. Both the driven pulley 86 and the position indicator wheel 88 form a part of a drive means 90 which will hereinafter be described in detail.
  • a special cage nut 92 having an internally threaded upper portion 93 which is threadingly carried on the threads 84 of the sleeve 82 and an internally threaded lower portion 94 which is threadingly carried on the threads 77 of the spindle 56.
  • the upper and lower portions 93 and 94 of the cage nut 92 are interconnected in axially spaced relationship by at least two diametrically opposed ribs 95 (one shown) which provided openings for access to an adjustment nut 96 which is captively retained between the upper and lower portions 93 and 94 of the cage nut 92.
  • the adjustment nut 96 is threadingly mounted on the threaded end 77 of the spindle 56.
  • the substrate supporting mechanism 20 is carried on the above mentioned support plate 33 which has an opening 100 formed therethrough.
  • An adjustment plate 102 is mounted atop the support plate 33 and is provided with an opening 104 which is substantially coaxial with respect to the opening 100 of the support plate.
  • the adjustment plate 102 is connected to the support plate 33 by a suitable bolt 105 about which the plate 102 is pivotably movable for adjustable location in a horizontal plane of the opening 104.
  • the adjustment plate 102 is lockable in the desired position by a diametrically opposed bolt 106 and washer 107 with the bolt 106 passing downwardly through an enlarged aperture 108 of the plate 102 into threaded attachment with the support plate 33.
  • a bearing mounting plate 110 having an opening 111 formed therethrough is positioned atop the adjustment plate 102 and is configured to provide an axially depending reduced diameter boss 112 which extends approximately half way down into the opening 104 of the adjustment plate 102.
  • the non-rotating portion 81 of the bearing means 80 is bolted fast to the lower surface of the boss 112 so that it extends approximately half way up into the opening 104 of the adjustment plate 102,
  • the bearing mounting plate 102 is mounted in place by the bolt 105 and others (not shown) which, as previously discussed, are used to fix the adjustment plate 102 on the support plate 33.
  • the bearing mounting plate 110 is adjustably tiltable by means of the adjustment screw 113 which depends from the seal mounting plate 110 into bearing engagement with the upper surface of the adjustment plate 102. From the above, it will be seen that the adjustment plate 102 and the bearing mounting plate 110 are independently adjustable and cooperatively interact to provide the properly aligned coaxial and vertical relationships of the bearing 80 and the driveshaft assembly 50.
  • a coupling plate 114 is positioned atop the bearing mounting plate 110 and is free to float into aligning relationship with the bearing mounting plate 110 and the depending tubular shaft 24 and/or 28 of the reaction chambers 22 and 26 respectively. Once the aligned relationship has been established, such as during initial assembly of the substrate supporting mechanism 20, the coupling plate 114 may be tightened down against any further additional movement such as by means of the illustrated bolt 116, and others (not shown).
  • the coupling plate 114 is formed with an axially upwardly extending externally threaded boss 118, and an axial bore 120 is formed through the coupling plate.
  • An O-ring seal 122 is provided at the upper end of the boss 118 so as to be in sealed circumscribing engagement with the peripheral surface of the depending tubular shaft 24 or 28.
  • a clamping nut 124 having an axially opening 125 formed therethrough is threadingly carried on the boss 118 to exert a circumferentially compressive force on the O-ring seal 122 by virtue of a compressing ring 126 carried in the clamping nut.
  • a heat sensing means in the preferred form of a thermocouple 130 is mounted in the upper end of a sheath 132 formed of suitable heat resistant material.
  • the sheath 132 is of elongated configuration and is open on the end 133 thereof which is opposite to the closed end in which the thermocouple 130 is contained, with the open end being provided for exiting of the thermocouple wires 134 from the sheath.
  • the lower end portion of the sheath 132 is axially disposed in a tube 136 having a fitting means 138 on its upper end and having a nut 140 on its lower end.
  • the tube 136 and its associated fitting 138 and nut 140 is used to install and hold the sheath 132 in the axial bore that is cooperatively formed by the bores of the various components which make up the driveshaft assembly 50.
  • the fitting means 138 is threadingly attached to the depending boss 70 of the upper body portion 57 of the spindle 56, and a suitable O-ring seat 142 is provided at the junction of the fitting means 138 and the boss 70 to prevent gas leakage downwardly through the tube 136 as will hereinafter be described
  • the lower end, that is, the nut 140 extends below the open bottom end of the spindle 56 and is used simply as a tool for attaching the upper end fitting of the tube to the boss 70.
  • thermocouple 130 will sense the temperature at the center of the susceptor 30 and produce an electric signal indicative of the sensed temperature in the manner well known in the art.
  • the thermocouple 130 forms part of a temperature sensing system of the substrate supporting mechanism 20 as will hereinafter be described in detail.
  • a slip-ring means 146 is provided on the lower end of the substrate supporting mechanism 20.
  • the slip-ring means 146 is commercially available from the IEC Corporation, 3100 Longhorn Boulevard, Austin Tex. 78759, and is a sealed unit identified as Model No. IECFCS.
  • the slip-ring means 146 has an axial sleeve 148 therein which is coupled by means of a clamping nut 150 to the reduced diameter lower end 76 of the spindle 52 for rotation therewith.
  • the lower reduced diameter end 76 of the spindle 56 extends axially through the sleeve 148 of the slip-ring means 146, and the lower end of the sleeve 148 is coupled, such as by a pin 152 to the rotatable outer portion 154 of the slip-ring means.
  • the slip-ring means 146 has a fixed core 156 which is coaxially disposed between the inner sleeve 148 and the rotatable portion 154.
  • the core 156 is fixed against rotation by means of a flange 158 that is suitably attached to the upper end of the core 156 and is also attached by bolts 160 to the lower end of a housing 162.
  • thermocouple wires 134 which extend from the sheath are connected by means of a suitable plug 164 to the wires 166 which extend from the rotatable outer portion 154 of the slip-ring means 146.
  • the electric signal produced by the thermocouple 130 is thereby transmitted via the wires 134 and 166 to the rotatable portion of the slip-ring means 146, and by the well known slip-ring method, are conducted to the fixed core 156 thereof.
  • the signal received in the fixed core 156 is coupled by suitable wires 168 extending from the core 156 to a point of use (not shown).
  • the housing 162 is of cylindrical open ended configuration defining a bore 170 with a counterbore 171.
  • the housing 162 is suspendingly carried by the rotary bearing means 80 such as by means of the bayonette mounting arrangement 172 shown in FIGS. 1 and 2, and the split clamps 173 and 174 shown best in those same figures.
  • the rotatable substrate supporting mechanism 20 is provided with a purging system by which the reactant gas, and deposition material carried thereby, through the reaction chamber, will be prevented from flowing into the area below the susceptor 30 and downwardly into the mechanism 20.
  • the bearing mounting plate 110 is provided with a passage 176 one end of which opens into the bore 111 thereof, with the other end being provided with means 178, such as the fitting shown, for directing a suitable purge gas under elevated pressure from an external source (not shown) into the bore 111 of the bearing mounting plate.
  • a gas restrictor housing 180 is mounted in the bore 111 of the bearing mounting plate 110 and is configured to have an inverted cup-shaped body 182.
  • the body 182 is provided with an annular groove 183 which aligns with the inwardly opening end of the passage 176 for receiving the purge gas therefrom.
  • a plurality of incrementally spaced injection ports 184 extend from the annular groove 183 radially into the cavity 186 defined by the cup-shaped body 182.
  • the purge gas received in the cavity 186 will flow downwardly into the annular recess between the reduced diameter upper end 59 of the spindle 56 and the upper end of the sleeve 82 of the rotary bearing means 80.
  • the gas received in that annular recess will flow through the passages 72 of the spindle 56 into the bore 62 of the spindle.
  • the O-ring seal 142 which sealingly separates the lower end of the bore of the driveshaft assembly 51 from the upper end thereof, the purge gas received from the passages 72 will flow upwardly in the bore 62 of the spindle 56 into the bore 52 of the rotation shaft 51.
  • the top end of the rotation shaft 51 is open so that the thermocouple sheath 132 can extend therefrom to the susceptor 30, upwardly flowing purge gas will exit the rotation shaft 51 under the central portion of the susceptor 30.
  • the gas restrictor housing 180 further includes a boss 188 which extends axially upwardly from the cup-shaped body 182 and defines a bore 190 through which the rotation shaft 51 axially extends.
  • the outside diameter of the rotation shaft 51 is smaller than the inside diameter of the bore 190 to provide an annular gap therebetween. Therefore, some of the purge gas received in the cavity 186 will flow upwardly through that annular gap into the bore of the tubular shaft 24 or 28 of the reaction chambers 22 or 26, and that gas will flow upwardly into the area below the susceptor 30.
  • a suitable bracket means 192 is mounted so as to depend from the support plate 33 and a variable speed DC motor 194 is carried by the bracket.
  • a drive pulley 195 is mounted on the output shaft 196 of the motor and a belt 198 is employed to transmit rotary power from the DC motor 194 to the driven pulley 86 cart led on the driveshaft assembly 50 of the substrate supporting mechanism 20.
  • the position indicator wheel 88 which was hereinbefore mentioned as being part of the drive means 90, is provided with a circular base 200 to which a nut 201 is demountably attached, such as by means of the illustrated threaded engagement, to hold an index means 202 therebetween.
  • the index means 202 preferably includes a pair of ring-shaped plates 203 and 204 which are in contiguous coaxial engagement with each other. As shown in FIG.
  • the upper plate 203 has a notch formed in its peripheral edge and the lower plate 204 has a similar notch.
  • the two plates 203 and 204 may be adjustably, rotated relative to each other so that the notches thereof cooperatively form a slot 206 which is adjustably variable as to its length.
  • This adjustment feature of the index means 202 is desirable to provide the rotatable susceptor mechanism 20 with the capability of being repeatedly stopped at the same place, i.e. home position, regardless of the rotational home speed at which the mechanism is to be driven.
  • an index means having a fixed size slot may be used whenever a single rotational home speed is to be used, as will hereinafter be described.
  • the index means 202 is disposed so that the variably sized slot 206 thereof is rotatably driven through a groove 207 formed in the bifurcated end of a sensor switch 208.
  • the sensor switch 208 is carried in a suitable mounting bracket 210 which is bolted or otherwise attached to the housing 162 so that the bifurcated end of the switch 208 extends radially into the interior of the housing 162 and straddles the rotational path of the index means 202 of the position indicator wheel 88.
  • FIG. 13 shows the various components which cooperatively form a control system 212 for driving the rotatable substrate supporting mechanism 20.
  • a suitable programmable input system 214 which is not part of the control system 212, except for its supplying of an input signal having a predetermined time duration, is used to adjustably determine, among other things, the length of time of a mechanism cycle.
  • the input system 214 applies an input signal, i.e. a voltage, via a conductor 215 to a junction point 216 so that the voltage is simultaneously applied by a conductor 217 to the first terminal of voltage comparator 218 and by a conductor 219 to a potentiometer P1.
  • the potentiometer P1 is adjustably set for determining the normal rotational speed of the mechanism 20, in otherwords, the input system 214 cannot drive the mechanism 20 at speeds above that set by the potentiometer P1.
  • the output voltage from the potentiometer P1 provides a first control signal which is directed through a two position contactor 220 of a relay R1 and through a resistive-capacitive network 221 (RC) by a conductor 222 which applies that voltage to a suitable amplifier 223.
  • the amplified voltage is directed by a conductor 224 to the variable speed DC motor 194 for driving the driveshaft means at a relatively high speed.
  • a second potentiometer P2 is used to apply an adjustably variable voltage to the second input terminal of the above mentioned voltage comparator 218.
  • the comparator 218 is non-conductive when the voltage value from the input system 214 is above the voltage value applied by the potentiometer P2. In otherwords, when the voltage from the input system 214 is at a relatively high value, for producing normal rotational speed of the motor 194, the comparator 218 will be non-conductive. However, when the voltage from the input system 214 begins to decrease in value, indicative of an end of a cycle of the mechanism 20, the comparator 218 will be enabled when the voltage from the input system 214 drops in value below that applied by the potentiometer P2.
  • a voltage at its output terminal will be coupled by conductor 225 to the relay R1, for energizing thereof.
  • the relay R1 When the relay R1 is energized in this manner, it will move the two position contactor 220 out of its normal position into contact with the terminal 226, and simultaneously close the normally open contactor 227 thereof.
  • the output voltage from a third potentiometer P3 provides a second control signal which is coupled through the RC network 221, the amplifier 223 to the D.C. motor 194.
  • the potentiometer P3 is adjustably set to a voltage value which is less than that of the output value of the potentiometer P1 for rotatably driving the mechanism 20 at a reduced, or "home" speed.
  • the above mentioned sensor switch 208 is an optical device including a photoemitter diode 230 and a normally open photoreceptor transistor 232 on opposites sides of the index means 202 of the position indicator wheel 88.
  • the slot 206 of the index means 202 is rotated into position between the photoemitter diode 230 and the photoreceptor transistor 232, impinging light will render the photo transistor 232 conductive.
  • the supply voltage applied to the input terminal of the photo transistor 232 by conductor 234 will pass through the contactor 227, which was closed upon energization of the relay R1, and will energize another relay R2.
  • the relay R1 When the relay R1 is energized it will close its contactor 236 which completes a grounding circuit at the input of the amplifier 223 to drop the voltage at the input to zero thus stopping the motor 194.
  • the RC network 221 of the control system 212 is a time constant circuit which is used to control start-up and slow-down speeds of the rotational drive of the mechanism. In otherwords, the start-up and slow-down speeds must not be too abrupt or the substrate carried on the susceptor could be dislodged.
  • the RC network produces in a well known manner, relatively smooth build-up or ramp, in the applied voltages to the motor 194, and a relatively smooth decrease or ramp, in those voltages when they are being removed from the motor 194.
  • control system 212 is preferably provided with the potentiometers P1, P2 and P3 for adjustable versatility of the mechanism 20, it will be understood than in most applications, fixed value voltage dropping resistors (not shown) could be used.
  • the susceptor 30 may be provided with a smooth planar upper surface 240 upon which a substrate to be processed is supportable. However, due to rotation of the susceptor and the flow of gas through the reaction chamber 22 and/or 26, it is preferred that the susceptor be formed with a non-smooth upper planar surface for positional stability of the substrate.
  • a circular central recessed area 242 is provided for receiving a substrate and retaining it in the desired position within a circular rim 244.
  • the upper surface 246 has a plurality of concentric grooves 248 of varying diameters, and a plurality of radially extending channels 250 formed therein. The grooves 248 and channel 250 cooperatively interact to allow the free flow of gasses between the substrate and the susceptor during placement and removal of the substrate to prevent undesired slipping movement of the substrate.
  • the substrate supporting mechanism 20 includes a temperature sensing system with the hereinbefore described heat sensing means 130 forming a part thereof.
  • the temperature sensing system further includes a fixed ring structure 252 which is supported in substantially surrounding concentric relationship relative to the susceptor 30.
  • the ring structure 252 is supported in upwardly spaced relationship with respect to the bottom surface 23 or 27 of the reaction chambers 22 or 26 by a stand 254 which is preferably formed of transparent material.
  • the stand 254 as best seen in FIG. 6, has a multi-sided substantially ring-shaped rail 256 having depending feet 258 for resting on the bottom surface of the reaction chamber and upstanding pins 260 for supporting the ring structure 252 in a fixed non-rotating position.
  • the stand 254 is shown as being, of a fixed height relative to the bottom surface of the reaction chamber(s), that height may be change such at the time of manufacture, by employing feet and/or pins of different lengths.
  • the fixed ring structure 252 includes an inner ring body 262 and an outer ring body 264 which cooperatively define an annular passage 266 about the ring structure.
  • three temperature sensing means 268, 270, and 272 are shown as being disposed at various locations the annular passage 266 of the ring structure 252. It will be understood that as few as one temperature Sensing means can be employed or a multiplicity can be used as necessary to achieve the desired temperature sensing capability. It has been found that in horizontal flow reaction chambers, such as the one indicated at 22 in FIG. 1, the three temperature sensing means 268, 270, and 272 provide ideal temperature sensing capabilities when used in conjunction with the previously described temperature sensing means 130. As indicated by the arrow 274 in FIG.
  • the gas carrying the desired deposition materials will flow across the ring structure 252 in a direction from what may be considered as the leading edge 276 to the trailing edge 278.
  • the temperature sensing means 268 is located at the leading edge 276 to sense the temperature at that point.
  • the temperature sensor means 130 will, as hereinbefore described, sense the temperatures at the center of the rotatable susceptor 20, and the other two temperature sensor means 270 and 272 will sense the temperature proximate the trailing edge 278 of the ring structure 252.
  • the temperature sensing means 268, 270, and 272 are preferably of the same configuration as the previously described temperature sensor means 130. That is, the temperature sensor means are in the preferred form of thermocouples each of which is mounted in a sheath 280 of transparent material.
  • each of the thermocouples 268, 270, and 272 are of elongated configuration and extend from the ring structure 252 via suitable apertures 282, 283, and 284 formed through the outer ring body 264.
  • the conductive wires 286, 288, and 290 of the thermocouples 268, 270, and 272 respectively, extend out of the hostile environment of the susceptor 20 and ring structure 252.
  • the electric signals produced by the thermocouples 120, 268, 270, and 272 are coupled to a suitable temperature control device (not shown) which forms no part of the substrate supporting mechanism 20 of this invention.
  • FIGS. 10, 11 and 12 wherein a modified form of the rotatable substrate supporting mechanism shown and is indicated generally by the reference numeral 20A.
  • the mechanism 20A is essentially the same as the previously described mechanism and has a modified form of gas restrictor housing 294 mounted in the bore 111 of the bearing seal mounting plate 110.
  • the gas restrictor housing 294 has an inverted cup-shaped body 296 with the annular groove 298 and injection ports for receiving the purge gas from the passage 176 of the seal mounting plate 110.
  • the purge gas is directed into the downwardly opening cavity 300 of the gas restrictor housing 294, for movement downwardly and then upwardly into the bore 52 of the rotation shaft 51 in the manner hereinbefore fully described with reference to the mechanism 20.
  • the modified gas restrictor housing 294 of the mechanism 20A has an elongated tube 302 extending axially upwardly therefrom.
  • the rotation shaft 51 extends axially through the tube 302 which is sized to provide an annular gap 304 between the outside diameter of the rotation shaft 51 and the inside diameter of the tube 302 of the gas restrictor housing 294. Therefore some of the purge gas received in the cavity 300 of the gas restrictor housing 294 will flow upwardly through the annular gap 304 and emerge from the tube 302 below the susceptor 30.
  • the uppermost end of the tube 302 of the gas restrictor housing 294 is suitably configured to carry a stand means 306 preferably of transparent material upon which the ring structure is supported.
  • the stand means 306 includes a disc shaped body 308 having at least three arms 310 extending therefrom. Each of the arms 310 is provided with an upstanding pin 311 upon which the fixed ring structure 252 is carried.
  • the stand means 306, and thereby the ring structure 252 and the temperature sensing means 268, 270, and 272 (FIG. 4) carried thereby are vertically adjustable along with the susceptor 30 as hereinbefore described with reference to the mechanism 20.
  • the modified form of substrate supporting mechanism 20A further includes a modified coupling plate 312 which, as in the case of the previously described coupling plate 114, is free to float into aligning relationship with the bearing mounting plate 110 and the depending tubular shaft 24 and/or 28 of the reaction chamber 22 or 26.
  • the coupling plate 312 is provided with an axially upwardly extending externally threaded boss 314 defining an axial bore 316.
  • the clamping nut 124 is threadingly carried on the boss 314 for exerting a circumferentially compressive force on the O-ring seal 122 by virtue of the compression ring 126 carried in the clamping nut 124.
  • Purge gas from an external source is supplied to a passage 318 formed in the coupling plate 312 such as through a suitable fitting means 320 so that the purge gas is directed into the counterbored lower end 322 of the coupling plate 312 and will flow upwardly in the annular space 324 between the depending tubular shaft 24 or 28 of the reaction chamber 22 or 26, and the elongated tube 302 of the gas restrictor housing 294.
  • the purging gas will flow upwardly through the rotation shaft 51, through the annular gap 304 between the rotation shaft 51 and the upstanding tube 302 of the gas restrictor housing 294, and through the annular space 324 discussed above.
  • the free floating coupling plate 114 of the first embodiment of the present invention was described as being bolted in a fixed position subsequent to its having been positioned in the desired aligned position. In many reaction chamber installations, such bolting in place may not be able to be accomplished due to the inability to reach the necessary points for accomplishing such bolting. Therefore, the modified mechanism 20A is shown as including an automatic clamping device 326.
  • the clamping device 326 includes a lever 328 which is pivotably carried on a suitable pin 330.
  • the pivot pin 330 is mounted in clevis 332 which is mounted atop the support plate 33A, and a depending lug 334 is mounted on the lever 328 with the pivot pin 330 passing through a suitable aperture provided in the lug.
  • One end 336 of the lever 328 extends away from the mechanism 20A and a suitable adjustment screw assembly 338 is carried in that end 336 of the lever.
  • An electrically, pneumatically, or otherwise operated actuator mechanism 340 is mounted in the support plate 33A, and is operable for extending and retracting movement of an actuator pin 342. When actuated, such as at the beginning of an operational cycle, or cycles, of the mechanism 20A, the actuator pin 342 will move to its illustrated extended position and produce a counterclockwise pivot movement of the lever 328, as viewed in FIG. 10.
  • the other end 344 of the lever 328 is bifurcated to provide a pair of spaced apart arms 346 which are stradlingly disposed on diametrically opposed sides of the upwardly extending boss 314 of the coupling plate 312.
  • Each of the arms 346 is provided with a depending pin 348 which will bear down on the coupling plate 312 when the lever 328 is pivotably moved in the manner hereinbefore described.
  • the mechanism 208 which may be identical to the hereinbefore described mechanisms 20 or 20A with the exception of the changes discussed below, includes a modified susceptor 308 which is provided with a central aperture 360 rather than the blind cavity 144 mentioned in the previous embodiments.
  • the driveshaft assembly 508 includes the rotation shaft with a modified spindle 568.
  • the spindle 568 includes the upper portion 57 and a modified lower port ion 588 which has a depending boss 362 on its lower end which is externally threaded as shown.
  • the drive shaft assembly 508 defines an axial passage 364 which in this embodiment, is used for the transmission of radiated heat from the center of the substrate 32 and is, therefore left open.
  • the radiated heat enters the axial bore, or passage, 364 through the central aperture 360 of the susceptor 30B, and is transmitted by radiation to a window means 366 which is carried on the depending boss 362 of the drive shaft assembly 50B.
  • a suitable mounting means 368 such as the illustrated nut, is used to mount the window means 366 on the drive shaft assembly for rotation therewith.
  • the window means 366 includes a lens 370 which is formed of a material such as magnesium to the particular wavelength of radiated heat and are not dependent on the temperature for their transparency.
  • the lens 370 is mounted in a suitable ring 372 and is carried by the mounting means 368 with a suitable O-ring gasket 374 is employed to prevent the passage of purge gas around the window means 366.
  • the radiated heat passing through the window means 366 is sensed by a radiation pyrometer 376 of a type well known in the art, which produces an electric signal indicative of the sensed temperature.

Abstract

A rotatable substrate supporting mechanism for use in a chemical vapor deposition reaction chamber of the type used in producing semi-conductor devices is provided with a susceptor for supporting a single substrate, or wafer, for rotation about an axis normal to the center of the wafer. The mechanism is provided with a temperature sensing system for producing signals indicative of sensed temperatures taken at the center of the susceptor and at various points about the periphery thereof. A gas purging system is provided for inhibiting the flow of reactant gas in unwanted areas of the reaction chamber and in the supporting system itself. Rotational driving of the mechanism is accomplished by a variable speed motor under control of a circuit which stops and starts the rotation at controlled speeds and stops the rotation at a home position for enhancing the handling of the wafers.

Description

This application is a continuation of application Ser. No. 07/882,309, filed May 13, 1992, which is a continuation of application Ser. No. 07/664,867, filed Mar. 4, 1991, now U.S. Pat. No. 5,198,043; which is a division of application Ser. No. 07/330,200, filed Mar. 29, 1989, now U.S. Pat. No. 4,996,942; which is a division of application Ser. No. 07/032,474, filed Mar. 31, 1987, now U.S. Pat. No. 4,821,674.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates in general to deposition equipment of the type used for chemical vapor deposition of materials on substrates, and more particularly to a rotatable substrate supporting mechanism with temperature sensing device for use in the deposition chambers of such equipment.
2. Discussion of the Related Art
In the electronics art, it has long been a practice to employ chemical vapor deposition equipment for depositing various materials, on substrates at high temperatures as part of the process of manufacturing semi-conductor devices. Basically, chemical vapor deposition equipment includes a reaction chamber which is heated to a desired reaction temperature and is configured for the controlled flow of the material carrier gas therethrough. A base, which is commonly referred to in the art as a "susceptor", is located in the reaction chamber for supporting the substrates upon which the material is to be deposited by the well known chemical vapor deposition process.
Prior art susceptors are typically of two basic types with the first being a single planar surface for use in a horizontal attitude and the second being an upstanding barrel shaped multi-surface structure. In either case, these susceptors are configured to support a multiplicity of relatively small substrates, i.e. in the neighborhood of 2 to 5 inches in diameter, for simultaneously depositing materials on the multiplicity of substrates. While simultaneous deposition of materials on a multiplicity of substrates is desirable from a manufacturing standpoint, it has some drawbacks from a quality standpoint.
The first problem associated with multi-substrate processing relates to the carrier gas which contains the atoms of the deposition materials. As the gas, which may be referred to as a reactant gas, flows over the surfaces of the substrate and the susceptor, deposition of the materials results in chances in the concentration of the deposition materials in the carrier gas. Consequently, as the reactant gas flows across or over the length of these relatively large susceptors, across each individual substrate and across a multiplicity of such substrates, different rates of growth of the deposited layer to material have been found. A second problem is that of temperature control which is critical at the elevated temperatures needed for proper deposition. It is difficult, if not impossible, to control the temperature within the critical tolerances at all the desired locations within the relatively large reaction chambers. This results in different deposition layer thicknesses from one substrate to another, and can even produce varying thickness within the individual substrates. Still another problem is contamination which can result from various factors such as the handling techniques used to load and unload the substrates, the introduction of the carrier gas into the reaction chamber, and indeed from the reaction chamber itself. The carrier gas not only deposits the deposition material on the substrate, but also deposition takes place on the walls of the reaction chamber. In the relatively large reaction chambers required for multi-substrate processing, the unwanted deposits on the walls of the reaction chambers can be inadvertently incorporated into the growing layers being deposited on the substrates.
These problems and drawbacks, as well as other factors, all contribute to significant problems as the semi-conductor devices and the uses to which they are put become more sophisticated. As a result, many changes and improvements have been made in the equipment that is used to simultaneously process a mutliplicity of substrates. For example, some equipment manufacturers are now using automated loading and off-loading devices to eliminate, or at least substantially reduce contamination resulting from human handling. Further, the second type of susceptor discussed above, i.e. the upstanding barrel shaped structure, is being rotated in some instances about its vertical axis to rotate the multiplicity of substrates about that same axis within the reaction chamber. Such barrel rotation is being done for averaging purposes, that is, temperature averaging and reactant gas flow averaging. Obviously these and other things which are being done to improve the simultaneously multi-substrate processing techniques have helped. However, there are practical limits which many feet will ultimately make the simultaneous multi-substrate processing techniques unacceptable or at least undesirable. One of the limitations is that of the equipment being adaptable for handling larger diameter substrates. The economics of larger diameter substrates are causing many manufactures of semi-conductor devices to use larger substrates. However, increasing the size of the substrate is causing some problems with regard to temperature differentials across the substrate, decreasing concentrations of the deposition material as it is carried across the substrate, and the like.
Therefore, steps are being taken now by some equipment manufacturers to make suitable single substrate equipment which is significantly simpler in so far as controlling the various factors involved in chemical vapor deposition. Single substrate chemical vapor deposition equipment becomes inherently more desirable than multi-substrate equipment as the manufacturers of semi-conductor devices change to larger substrates, i.e. 6 to 8 inches in diameter or even larger. One important consideration is the cost at risk when processing one substrate as opposed to the simultaneous multi-substrate processing. That is, if something goes wrong, the monetary loss is far less with one substrate that it is with a plurality of substrates. The susceptors being used in single substrate progressing equipment consist essentially of some sort of platform, or base, for supporting the substrate and contribute nothing further to the chemical vapor deposition equipment.
Therefore, a need exists for a new and improved susceptor for use in single substrate chemical vapor deposition equipment which enhances the process and thereby helps in eliminating, or least reducing, the problems and shortcomings of the prior art.
SUMMARY OF THE INVENTION
In accordance with the present invention, a new and useful rotatable substrate supporting mechanism is disclosed for use in substrate chemical vapor deposition equipment. The rotatable substrate supporting mechanism includes a circular susceptor, or platform, for receiving a single circular substrate and supporting the substrate in the reactant gas flow path through the reaction chamber. The susceptor is provided with an axially depending or driveshaft which is suitably coupled to a variable speed drive means for rotation of the susceptor, and thus the substrate, about a vertical rotation axis. By rotating the substrate about this axis, which is normal with respect to the center of the substrate, an averaging of the deposited material growth rates results thus overcoming the problem in concentration depletion of the deposition materials as the reactant gas flows past the substrate. Rotation of the susceptor also produces an averaging of the temperature gradient which results in a significant reduction in the temperature differences both in the susceptor and in the substrate being supported thereon.
While the rotatability of the susceptor will inherently improve the temperature characteristics in comparison to a fixed non-rotatable susceptor, the substrate supporting mechanism of the present invention is preferably configured to provide a sophisticated temperature sensing system which can be used to produce accurate temperature control in the vicinity of the susceptor. The temperature sensing system includes a first temperature sensor means which in the preferred embodiment, extends axially and upwardly through the axial driveshaft of the susceptor for sensing the temperature at the center of the susceptor. A fixed concentric ring is located in close surrounding relationship with the rotatable susceptor and at least one, and preferably more, additional temperature sensing means are providing at circumferentially spaced increments in the fixed ring, and these additional temperature sensing means will sense the temperatures at various points about the rotatable susceptor, Each of the temperature sensing means produces a signal indicative of the sensed temperature, and those signals may be coupled to a suitable control system which operates in conjunction with the heating system of the reaction chamber for temperature control purposes.
Due to the rotation capability of the susceptor and the placement of the first temperature sensing means within the axle driveshaft of the susceptor, the driveshaft must be tubular and the susceptor must be provided with clearance relative to the reaction chamber, Therefore, the mechanism of the present invention is provided with a purging system by which a purge gas is introduced under elevated pressure into the tubular shaft which is configured so that the purging gas will emerge from the driveshaft below the susceptor. In this way, the purge gas will inhibit the flow of reactant gas into the area below the susceptor and into the tubular shaft and thereby prevent deposited material contamination in those areas. In addition, the purging gas will inhibit the formation of hot spots in the mechanism.
In addition to the above, the mechanism of the present invention is provided with means for vertical adjustment whereby the susceptor, and thus the substrate carried thereon, can be set at an optimum position in the reactant gas flow path of the reaction chamber. Also, the mechanism is provided with a drive control system by which the rotational speed of the susceptor may be adjusted to a desired speed and by which the susceptor's rotation is stopped at the same point each time for substrate handling purposes.
Accordingly, it is an object of the present invention to provide a new and improved substrate supporting mechanism for use in single substrate chemical vapor deposition equipment.
Another object of the present invention is to provide a new and improved substrate supporting mechanism having a susceptor, or platform, upon which a single substrate is supported in the reactant gas flow path in a heated reaction chamber with the susceptor being rotatable for rotating the substrate about an axis which is normal to the center of the substrate for temperature and growth rate averaging purposes.
Another object of the present invention is to provide a new and improved substrate supporting mechanism of the above described character which includes a temperature sensing means for sensing the temperature at various points in and about the rotatable susceptor and producing signals indicative of the sensed temperatures with the produced signals being usable for temperature control purposes which interacts with the equipment heating system to provide a flat temperature profile across the substrate.
Another object of the present invention is to provide a new and improved substrate supporting mechanism of the above described character which further includes a purging system by which a purge gas under elevated pressure is introduced into the mechanism to prevent the flow of the reactant gas into the mechanism itself and into the area below the rotatable susceptor.
Still another object of the present invention is to provide a new and improved substrate supporting mechanism of the above described type wherein the substrate supporting susceptor is adjustable along its rotational axis for optimum locating of the substrate in the reactant gas flow path through the reaction chamber.
Yet another object of the present invention is to provide the above described substrate supporting mechanism with a drive control system by which the rotating speed of the susceptor may be adjustably set to a desired rotation rate and by which the susceptor's rotation is stopped at the same location each time for improved substrate handling purposes.
The foregoing and other objects of the present invention as well as the invention itself, may be more fully understood from the following description when read in conjunction with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is an elevational view of the substrate supporting mechanism of the present invention with the mechanism shown as being used in a type of reaction chamber which i s commonly referred to as a horizontal flow reaction chamber.
FIG. 2 is a view similar to FIG. 1 but showing the substrate supporting mechanism of the present invention as being used in a type of reaction chamber that is commonly referred to as an axial flow reaction chamber.
FIG. 3 is an enlarged elevational view which is partially broken away to show the various features of the substrate supporting mechanism.
FIG. 4 is an enlarged top view of the substrate supporting susceptor and showing the concentric relationship of a fixed ring structure which is part of the temperature sensing system of the mechanism.
FIG. 5 is an enlarged fragmentary sectional view taken along the line 5--5 of FIG. 4.
FIG. 6 is an exploded perspective view showing the mounting structures and arrangement of the susceptor and the fixed ring of the temperature sensing system of the mechanism of the present invention.
FIG. 7 is a top view of a particular form of susceptor which is provided with an especially configured top surface upon which a substrate is supportable.
FIG. 8 is a view similar to FIG. 7 but which shows an alternate top surface configuration provided on the susceptor.
FIG. 9 is a fragmentary sectional view taken a long the line 9--9 of FIG. 3.
FIG. 10 is a fragmentary view similar to FIG. 3 and showing a modification of the substrate supporting mechanism of the present invention.
FIG. 11 is a fragmentary sectional view taken along the line 11--11 of FIG. 10.
FIG. 12 is a fragmentary sectional view taken along the line 12--12 of FIG. 10.
FIG. 13 is a schematic drawing of the drive control system of the substrate supporting mechanism of the present invention.
FIG. 14 is a fragmentary view similar to FIG. 3 and showing an alternate temperature sensor embodiment.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
In that chemical vapor deposition per se is well known in the art, only a brief description thereof will be presented herein for completeness of this disclosure and to ensure full understanding of the present invention. Briefly, a reactant gas, which contains gaseous forms of the a t ores o f the material to be deposited, is introduced into what is referred to as a reaction chamber in a manner which causes the reactant gas to flow through the reaction chamber in a path which is determined by reaction chamber configuration, gas injection location and the like. Reaction chambers are heated to relatively high temperatures, which are determined by the various factors of the particular process to be accomplished. By virtue of gas movement, temperature and chemical reaction, the material carried by the gas will be deposited and adhere to whatever is located in the gas follow path and will provide a deposition layer thereon. This general chemical vapor deposition technique is widely used in the electronics art for manufacturing semi-conductor devices.
Referring more particularly to the drawings, FIGS. 1 and 2 show the rotatable substrate supporting mechanism of the present invention which is indicated in its entirety by the reference numeral 20. FIG. 1 shows the mechanism 20 as being used in conjunction with a reaction chamber 22 of the type sometimes referred to as a horizontal flow reaction chamber, and FIG. 2 shows the mechanism 20 as being used in conjunction with an axial flow reaction chamber 26. As is known, both horizontal flow and axial flow reaction chambers have been devised in various configurations and the particular chambers 22 and 28, which are only partially shown, are intended to be typical representations of such reaction chambers.
It will be noted however, that the reaction chamber 22 has a planar bottom surface 23 with a tubular shaft 24 depending integrally therefrom, and similarly, the reaction chamber 26 has a planar bottom surface 27 from which a tubular shaft 28 integrally depends. As will hereinafter be described in detail, the rotatable substrate supporting mechanism is coupled to the depending end of either of the tubular shafts 24 or 28 and portions of the mechanism 20 extend upwardly through the axial bores of the shafts into the interior of the reaction chamber 22 and/or 26, to provide, among other things, a platform, or susceptor 30 upon which a substrate 32 is demountably supportable. The substrate 32 is placed on the susceptor 30 prior to commencement of the chemical vapor deposition process and is off-loaded when the desired deposition film, or layer has been formed thereon and the process has been completed.
The rotatable substrate supporting mechanism 20 is carried in a manner which will be described in detail below, on a suitable support plate 33 which is disposed below the reaction chamber 22 and/or 26. The plate 33 is provided with a plurality of bushing mounts 34 (one shown) each of which is slideably movable along a different one of a plurality of fixed vertical rod 35 (one shown). The plate 33, and thus the entire mechanism 20, is vertically adjustable relative to the reaction chamber 22 and/or 26 by a vertical elevating means 36. The vertical elevating means 36 includes a worm gear nut 37 carried on the support plate 33 for movement along an elongated rotatable worm gear 38. The worm gear 38 is journaled for rotation about its longitudinal axis in a drive housing 39 that is fixedly carried on a suitable support means 40. The housing 39 contains a suitable gear train such as the illustrated worm gear 41 which is rotatable by means of the hand wheel 42, and the worm gear 41 is in driving meshed engagement with a spur gear 43 (FIG. 1) that is mounted on the lower end of the elongated worm gear 38. Thus, manual rotation of the hand wheel 42 in the appropriate direction will, by virtue o f the gears 41 and 43, cause the worm gear 38 to be rotatably driven. Such driving of the worm gear 38 will cause the worm gear nut 37 to travel along the worm gear 38 and thereby raise or lower the support plate 33 as determined by the direction of rotation of the hand wheel 42.
The above described vertically adjustable elevating means 36 is a coarse adjustment for positioning the susceptor 30 at an optimum position within the reaction chamber 22 and/or 26 and a fine adjustment device is also provided as will hereinafter be described.
The susceptor 30 is of circular substantially planar configuration and is demountably carried and rotatably driven by a spider structure 44 having a central hub 45 from which at least three arms 46 extend radially. Each of the arms 46 is bent or otherwise formed at its distal end to provide an upstanding peg 47 upon which the susceptor 30 is supported. The hub 45 of spider 44 is provided with a truncated conical bore 48 in which the tapered upper end 49 of a driveshaft assembly 50 is disposed.
The driveshaft assembly 50 is a multi-piece assembly including an elongated rotation shaft 51 at the uppermost end of the assembly. The rotation shaft 51, having the tapered upper end 49 as mentioned above, is provided with an axial bore 52 for reasons which will become apparent as this description progresses. The rotation shaft 51 has an enlarged tapered lower end 53 of inverted frusto-conical configuration with an enlarged enlarged diameter upwardly facing annular shoulder 54 in axially spaced relationship with the lower open end of the shaft.
As is customary in the art, the reaction chambers 22 and 26 are fabricated of a suitable transparent material, such as fused quartz, for the transmission of heating radiation from a suitable heat source (not shown). Since the depending tubular shafts 24 and 28 depend integrally from their respective reaction chambers 22 and 26, they too are formed of the same transparent material. For the same heat transmission reason, the above described spider structure 44 and the rotation shaft 51 are also formed of transparent material.
The driveshaft assembly 50 further includes a spindle 56 having an upper body portion 57 and a lower body portion 58 which may be formed as a single unitary structure or may be formed as two separate pieces for ease of fabrication and joined together such as by welding in the manner indicated in the drawing. In either case, the upper body portion 57 is of generally cylindrical configuration with a reduced diameter upper end 59 which forms an upwardly facing annular shoulder 60 on the upper body portion 57. The reduced diameter upper end 59 is provided with external threads as indicated at 61 and an axial bore 62 is formed through the upper body portion 57. The axial bore has a tapered socket 64 at its upper end in which the enlarged tapered lower end 53 of the rotation shaft 51 is seated. A suitable hold-down nut 66 is threadingly carried on the upper end of the body portion 57 and a wave washer 68, or other suitable biasing means, is contained within the hold-down nut 66 to wedgingly hold the tapered lower end 53 of the rotation shaft 51 in the socket 64 of the spindle body portion 57. The hold-down nut 66 is provided with a suitable aperture through which the rotation shaft extends.
For reasons which will hereinafter be described in detail, the upper body portion 57 of the spindle 56. is further provided with a depending externally threaded boss 70 and a plurality (two shown) of passages 72 which extend downwardly from the annular shoulder 60 into intersecting relationship with the axial bore 62 at a location spaced below the tapered socket 64 portion of the axial bore.
The lower body portion 58 of the spindle 56 is of elongated cylindrical configuration and defines an axial bore 74 which has an inside diameter that is considerably larger than the axial bore 62 formed through the spindle's upper body portion 57 and is larger than the outside diameter of the depending boss 70 thereof for reasons which will be explained below. The lower body portion 58 is provided with a reduced diameter lower end 76 and has external threads 77 formed thereon immediately above the point which the diameter of the lower body portion 58 is reduced.
A bearing means, in the preferred form of rotary seal 80, is provided with a non-rotating portion 81, which is mounted in a manner which will hereinafter be described, and has an elongated rotatable sleeve 82 journaled for rotation therein, with the sleeve being axially disposed in the bearing means with a dependingly extending lower end 83 having external threads 84 on the lowermost part of depending end 83. The driveshaft assembly 50 is disposed so as to extend coaxially through the rotatable sleeve 82 in a manner whereby the sleeve 82 and the driveshaft assembly 50 rotate as a single entity.
Thus, the bearing means 80 is employed in the substrate supporting mechanism 20 to support and rotatably journal the driveshaft assembly and to prevent gas leakage for reasons which will become apparent as this description progresses. The bearing means in the form described is commercially available from the Ferrofludics Corporation of 40 Simon Street, Nashua, N.H. 03061 and is identified as Model Number HS-1500-F-W.
A driven pulley 86 is attached, such as by means of the set screw shown, to the dependingly extending lower end 83 of the sleeve 82 and a position indicator wheel 88 is threadingly mounted immediately below the pulley on the threads 84 provided on the lowermost end of the sleeve 82. Both the driven pulley 86 and the position indicator wheel 88 form a part of a drive means 90 which will hereinafter be described in detail.
A special cage nut 92 having an internally threaded upper portion 93 which is threadingly carried on the threads 84 of the sleeve 82 and an internally threaded lower portion 94 which is threadingly carried on the threads 77 of the spindle 56. The upper and lower portions 93 and 94 of the cage nut 92 are interconnected in axially spaced relationship by at least two diametrically opposed ribs 95 (one shown) which provided openings for access to an adjustment nut 96 which is captively retained between the upper and lower portions 93 and 94 of the cage nut 92. As shown, the adjustment nut 96 is threadingly mounted on the threaded end 77 of the spindle 56. Manual rotation of the adjustment nut 96 will axially slideably move the entire driveshaft assembly 50 relative to the sleeve 82 of the rotary seal bearing means 80, and this is used to make the above mentioned fine vertical adjustments of the susceptor 30 in the reaction chamber 22 and/or 26.
The substrate supporting mechanism 20 is carried on the above mentioned support plate 33 which has an opening 100 formed therethrough. An adjustment plate 102 is mounted atop the support plate 33 and is provided with an opening 104 which is substantially coaxial with respect to the opening 100 of the support plate. The adjustment plate 102 is connected to the support plate 33 by a suitable bolt 105 about which the plate 102 is pivotably movable for adjustable location in a horizontal plane of the opening 104. The adjustment plate 102 is lockable in the desired position by a diametrically opposed bolt 106 and washer 107 with the bolt 106 passing downwardly through an enlarged aperture 108 of the plate 102 into threaded attachment with the support plate 33. A bearing mounting plate 110 having an opening 111 formed therethrough is positioned atop the adjustment plate 102 and is configured to provide an axially depending reduced diameter boss 112 which extends approximately half way down into the opening 104 of the adjustment plate 102. The non-rotating portion 81 of the bearing means 80 is bolted fast to the lower surface of the boss 112 so that it extends approximately half way up into the opening 104 of the adjustment plate 102, The bearing mounting plate 102 is mounted in place by the bolt 105 and others (not shown) which, as previously discussed, are used to fix the adjustment plate 102 on the support plate 33. In addition to supporting the bearing means, the bearing mounting plate 110 is adjustably tiltable by means of the adjustment screw 113 which depends from the seal mounting plate 110 into bearing engagement with the upper surface of the adjustment plate 102. From the above, it will be seen that the adjustment plate 102 and the bearing mounting plate 110 are independently adjustable and cooperatively interact to provide the properly aligned coaxial and vertical relationships of the bearing 80 and the driveshaft assembly 50.
A coupling plate 114 is positioned atop the bearing mounting plate 110 and is free to float into aligning relationship with the bearing mounting plate 110 and the depending tubular shaft 24 and/or 28 of the reaction chambers 22 and 26 respectively. Once the aligned relationship has been established, such as during initial assembly of the substrate supporting mechanism 20, the coupling plate 114 may be tightened down against any further additional movement such as by means of the illustrated bolt 116, and others (not shown). The coupling plate 114 is formed with an axially upwardly extending externally threaded boss 118, and an axial bore 120 is formed through the coupling plate. An O-ring seal 122 is provided at the upper end of the boss 118 so as to be in sealed circumscribing engagement with the peripheral surface of the depending tubular shaft 24 or 28. A clamping nut 124 having an axially opening 125 formed therethrough is threadingly carried on the boss 118 to exert a circumferentially compressive force on the O-ring seal 122 by virtue of a compressing ring 126 carried in the clamping nut.
A heat sensing means in the preferred form of a thermocouple 130 is mounted in the upper end of a sheath 132 formed of suitable heat resistant material. The sheath 132 is of elongated configuration and is open on the end 133 thereof which is opposite to the closed end in which the thermocouple 130 is contained, with the open end being provided for exiting of the thermocouple wires 134 from the sheath. The lower end portion of the sheath 132 is axially disposed in a tube 136 having a fitting means 138 on its upper end and having a nut 140 on its lower end. The tube 136 and its associated fitting 138 and nut 140, is used to install and hold the sheath 132 in the axial bore that is cooperatively formed by the bores of the various components which make up the driveshaft assembly 50. As shown, the fitting means 138 is threadingly attached to the depending boss 70 of the upper body portion 57 of the spindle 56, and a suitable O-ring seat 142 is provided at the junction of the fitting means 138 and the boss 70 to prevent gas leakage downwardly through the tube 136 as will hereinafter be described, The lower end, that is, the nut 140 extends below the open bottom end of the spindle 56 and is used simply as a tool for attaching the upper end fitting of the tube to the boss 70.
As shown,the upper closed end of the sheath 132 extends from the bore 52 of the rotation shaft 51 upwardly into a blind central cavity 144 formed in the lower surface of the susceptor 30. In this way, the thermocouple 130 will sense the temperature at the center of the susceptor 30 and produce an electric signal indicative of the sensed temperature in the manner well known in the art. The thermocouple 130 forms part of a temperature sensing system of the substrate supporting mechanism 20 as will hereinafter be described in detail.
In that the sheath 132 is axially carried in the driveshaft assembly 50 as described above, it will rotate along with the driveshaft assembly, For this reason, a slip-ring means 146 is provided on the lower end of the substrate supporting mechanism 20. The slip-ring means 146 is commercially available from the IEC Corporation, 3100 Longhorn Boulevard, Austin Tex. 78759, and is a sealed unit identified as Model No. IECFCS. The slip-ring means 146 has an axial sleeve 148 therein which is coupled by means of a clamping nut 150 to the reduced diameter lower end 76 of the spindle 52 for rotation therewith. The lower reduced diameter end 76 of the spindle 56 extends axially through the sleeve 148 of the slip-ring means 146, and the lower end of the sleeve 148 is coupled, such as by a pin 152 to the rotatable outer portion 154 of the slip-ring means. The slip-ring means 146 has a fixed core 156 which is coaxially disposed between the inner sleeve 148 and the rotatable portion 154. The core 156 is fixed against rotation by means of a flange 158 that is suitably attached to the upper end of the core 156 and is also attached by bolts 160 to the lower end of a housing 162.
The thermocouple wires 134 which extend from the sheath are connected by means of a suitable plug 164 to the wires 166 which extend from the rotatable outer portion 154 of the slip-ring means 146. The electric signal produced by the thermocouple 130 is thereby transmitted via the wires 134 and 166 to the rotatable portion of the slip-ring means 146, and by the well known slip-ring method, are conducted to the fixed core 156 thereof. The signal received in the fixed core 156 is coupled by suitable wires 168 extending from the core 156 to a point of use (not shown).
The housing 162 is of cylindrical open ended configuration defining a bore 170 with a counterbore 171. The housing 162 is suspendingly carried by the rotary bearing means 80 such as by means of the bayonette mounting arrangement 172 shown in FIGS. 1 and 2, and the split clamps 173 and 174 shown best in those same figures.
In that the reaction chambers 22 and/or 26 are provided with the depending tubular shafts 24 and 28 and the driveshaft assembly 51 is tubular, the rotatable substrate supporting mechanism 20 is provided with a purging system by which the reactant gas, and deposition material carried thereby, through the reaction chamber, will be prevented from flowing into the area below the susceptor 30 and downwardly into the mechanism 20.
As shown in FIG. 3, the bearing mounting plate 110 is provided with a passage 176 one end of which opens into the bore 111 thereof, with the other end being provided with means 178, such as the fitting shown, for directing a suitable purge gas under elevated pressure from an external source (not shown) into the bore 111 of the bearing mounting plate. A gas restrictor housing 180 is mounted in the bore 111 of the bearing mounting plate 110 and is configured to have an inverted cup-shaped body 182. The body 182 is provided with an annular groove 183 which aligns with the inwardly opening end of the passage 176 for receiving the purge gas therefrom. A plurality of incrementally spaced injection ports 184 extend from the annular groove 183 radially into the cavity 186 defined by the cup-shaped body 182. In that the body 182 opens downwardly, the purge gas received in the cavity 186 will flow downwardly into the annular recess between the reduced diameter upper end 59 of the spindle 56 and the upper end of the sleeve 82 of the rotary bearing means 80. The gas received in that annular recess will flow through the passages 72 of the spindle 56 into the bore 62 of the spindle. By virtue of the O-ring seal 142 which sealingly separates the lower end of the bore of the driveshaft assembly 51 from the upper end thereof, the purge gas received from the passages 72 will flow upwardly in the bore 62 of the spindle 56 into the bore 52 of the rotation shaft 51. In that the top end of the rotation shaft 51 is open so that the thermocouple sheath 132 can extend therefrom to the susceptor 30, upwardly flowing purge gas will exit the rotation shaft 51 under the central portion of the susceptor 30.
The gas restrictor housing 180 further includes a boss 188 which extends axially upwardly from the cup-shaped body 182 and defines a bore 190 through which the rotation shaft 51 axially extends. The outside diameter of the rotation shaft 51 is smaller than the inside diameter of the bore 190 to provide an annular gap therebetween. Therefore, some of the purge gas received in the cavity 186 will flow upwardly through that annular gap into the bore of the tubular shaft 24 or 28 of the reaction chambers 22 or 26, and that gas will flow upwardly into the area below the susceptor 30.
A suitable bracket means 192 is mounted so as to depend from the support plate 33 and a variable speed DC motor 194 is carried by the bracket. A drive pulley 195 is mounted on the output shaft 196 of the motor and a belt 198 is employed to transmit rotary power from the DC motor 194 to the driven pulley 86 cart led on the driveshaft assembly 50 of the substrate supporting mechanism 20.
As indicated in phantom lines in FIG. 4, most, if not all, substrates are provided with a notch 199 for orientation purposes. For this reason, it is desirable that the susceptor 30 be stopped at the same point in its rotation each time that it is stopped for placement and removal of a substrate. Therefore, the position indicator wheel 88, which was hereinbefore mentioned as being part of the drive means 90, is provided with a circular base 200 to which a nut 201 is demountably attached, such as by means of the illustrated threaded engagement, to hold an index means 202 therebetween. The index means 202 preferably includes a pair of ring-shaped plates 203 and 204 which are in contiguous coaxial engagement with each other. As shown in FIG. 9, the upper plate 203 has a notch formed in its peripheral edge and the lower plate 204 has a similar notch. The two plates 203 and 204 may be adjustably, rotated relative to each other so that the notches thereof cooperatively form a slot 206 which is adjustably variable as to its length. This adjustment feature of the index means 202 is desirable to provide the rotatable susceptor mechanism 20 with the capability of being repeatedly stopped at the same place, i.e. home position, regardless of the rotational home speed at which the mechanism is to be driven. However an index means having a fixed size slot (not shown) may be used whenever a single rotational home speed is to be used, as will hereinafter be described.
The index means 202 is disposed so that the variably sized slot 206 thereof is rotatably driven through a groove 207 formed in the bifurcated end of a sensor switch 208. The sensor switch 208 is carried in a suitable mounting bracket 210 which is bolted or otherwise attached to the housing 162 so that the bifurcated end of the switch 208 extends radially into the interior of the housing 162 and straddles the rotational path of the index means 202 of the position indicator wheel 88.
Reference is now made to the schematic wiring diagram of FIG. 13 which shows the various components which cooperatively form a control system 212 for driving the rotatable substrate supporting mechanism 20. First of all, a suitable programmable input system 214, which is not part of the control system 212, except for its supplying of an input signal having a predetermined time duration, is used to adjustably determine, among other things, the length of time of a mechanism cycle. The input system 214 applies an input signal, i.e. a voltage, via a conductor 215 to a junction point 216 so that the voltage is simultaneously applied by a conductor 217 to the first terminal of voltage comparator 218 and by a conductor 219 to a potentiometer P1. The potentiometer P1 is adjustably set for determining the normal rotational speed of the mechanism 20, in otherwords, the input system 214 cannot drive the mechanism 20 at speeds above that set by the potentiometer P1. The output voltage from the potentiometer P1 provides a first control signal which is directed through a two position contactor 220 of a relay R1 and through a resistive-capacitive network 221 (RC) by a conductor 222 which applies that voltage to a suitable amplifier 223. The amplified voltage is directed by a conductor 224 to the variable speed DC motor 194 for driving the driveshaft means at a relatively high speed.
A second potentiometer P2 is used to apply an adjustably variable voltage to the second input terminal of the above mentioned voltage comparator 218. The comparator 218 is non-conductive when the voltage value from the input system 214 is above the voltage value applied by the potentiometer P2. In otherwords, when the voltage from the input system 214 is at a relatively high value, for producing normal rotational speed of the motor 194, the comparator 218 will be non-conductive. However, when the voltage from the input system 214 begins to decrease in value, indicative of an end of a cycle of the mechanism 20, the comparator 218 will be enabled when the voltage from the input system 214 drops in value below that applied by the potentiometer P2. When the comparator 218 is enabled, a voltage at its output terminal will be coupled by conductor 225 to the relay R1, for energizing thereof. When the relay R1 is energized in this manner, it will move the two position contactor 220 out of its normal position into contact with the terminal 226, and simultaneously close the normally open contactor 227 thereof. When the two-position contactor 220 is moved into contact with the terminal 226, the output voltage from a third potentiometer P3 provides a second control signal which is coupled through the RC network 221, the amplifier 223 to the D.C. motor 194. The potentiometer P3 is adjustably set to a voltage value which is less than that of the output value of the potentiometer P1 for rotatably driving the mechanism 20 at a reduced, or "home" speed.
The above mentioned sensor switch 208 is an optical device including a photoemitter diode 230 and a normally open photoreceptor transistor 232 on opposites sides of the index means 202 of the position indicator wheel 88. When the slot 206 of the index means 202 is rotated into position between the photoemitter diode 230 and the photoreceptor transistor 232, impinging light will render the photo transistor 232 conductive. When enabled in this way, the supply voltage applied to the input terminal of the photo transistor 232 by conductor 234, will pass through the contactor 227, which was closed upon energization of the relay R1, and will energize another relay R2. When the relay R1 is energized it will close its contactor 236 which completes a grounding circuit at the input of the amplifier 223 to drop the voltage at the input to zero thus stopping the motor 194.
The RC network 221 of the control system 212 is a time constant circuit which is used to control start-up and slow-down speeds of the rotational drive of the mechanism. In otherwords, the start-up and slow-down speeds must not be too abrupt or the substrate carried on the susceptor could be dislodged. Thus, the RC network produces in a well known manner, relatively smooth build-up or ramp, in the applied voltages to the motor 194, and a relatively smooth decrease or ramp, in those voltages when they are being removed from the motor 194.
While the control system 212 is preferably provided with the potentiometers P1, P2 and P3 for adjustable versatility of the mechanism 20, it will be understood than in most applications, fixed value voltage dropping resistors (not shown) could be used.
As indicated in FIG. 6, the susceptor 30 may be provided with a smooth planar upper surface 240 upon which a substrate to be processed is supportable. However, due to rotation of the susceptor and the flow of gas through the reaction chamber 22 and/or 26, it is preferred that the susceptor be formed with a non-smooth upper planar surface for positional stability of the substrate. In a first modified susceptor 30A shown in FIG. 7, a circular central recessed area 242 is provided for receiving a substrate and retaining it in the desired position within a circular rim 244. In a second modified susceptor 30B shown in FIG. 8, the upper surface 246 has a plurality of concentric grooves 248 of varying diameters, and a plurality of radially extending channels 250 formed therein. The grooves 248 and channel 250 cooperatively interact to allow the free flow of gasses between the substrate and the susceptor during placement and removal of the substrate to prevent undesired slipping movement of the substrate.
As hereinbefore mentioned, the substrate supporting mechanism 20 includes a temperature sensing system with the hereinbefore described heat sensing means 130 forming a part thereof. The temperature sensing system further includes a fixed ring structure 252 which is supported in substantially surrounding concentric relationship relative to the susceptor 30. The ring structure 252 is supported in upwardly spaced relationship with respect to the bottom surface 23 or 27 of the reaction chambers 22 or 26 by a stand 254 which is preferably formed of transparent material. The stand 254 as best seen in FIG. 6, has a multi-sided substantially ring-shaped rail 256 having depending feet 258 for resting on the bottom surface of the reaction chamber and upstanding pins 260 for supporting the ring structure 252 in a fixed non-rotating position. Although the stand 254 is shown as being, of a fixed height relative to the bottom surface of the reaction chamber(s), that height may be change such at the time of manufacture, by employing feet and/or pins of different lengths.
As shown in FIGS. 4 and 6, the fixed ring structure 252 includes an inner ring body 262 and an outer ring body 264 which cooperatively define an annular passage 266 about the ring structure. In the illustrated embodiment, three temperature sensing means 268, 270, and 272 are shown as being disposed at various locations the annular passage 266 of the ring structure 252. It will be understood that as few as one temperature Sensing means can be employed or a multiplicity can be used as necessary to achieve the desired temperature sensing capability. It has been found that in horizontal flow reaction chambers, such as the one indicated at 22 in FIG. 1, the three temperature sensing means 268, 270, and 272 provide ideal temperature sensing capabilities when used in conjunction with the previously described temperature sensing means 130. As indicated by the arrow 274 in FIG. 4, the gas carrying the desired deposition materials, will flow across the ring structure 252 in a direction from what may be considered as the leading edge 276 to the trailing edge 278. The temperature sensing means 268 is located at the leading edge 276 to sense the temperature at that point. The temperature sensor means 130 will, as hereinbefore described, sense the temperatures at the center of the rotatable susceptor 20, and the other two temperature sensor means 270 and 272 will sense the temperature proximate the trailing edge 278 of the ring structure 252. The temperature sensing means 268, 270, and 272 are preferably of the same configuration as the previously described temperature sensor means 130. That is, the temperature sensor means are in the preferred form of thermocouples each of which is mounted in a sheath 280 of transparent material. The sheaths 280 of each of the thermocouples 268, 270, and 272 are of elongated configuration and extend from the ring structure 252 via suitable apertures 282, 283, and 284 formed through the outer ring body 264. In this way, the conductive wires 286, 288, and 290 of the thermocouples 268, 270, and 272 respectively, extend out of the hostile environment of the susceptor 20 and ring structure 252. The electric signals produced by the thermocouples 120, 268, 270, and 272 are coupled to a suitable temperature control device (not shown) which forms no part of the substrate supporting mechanism 20 of this invention.
Reference is now made to FIGS. 10, 11 and 12 wherein a modified form of the rotatable substrate supporting mechanism shown and is indicated generally by the reference numeral 20A. The mechanism 20A is essentially the same as the previously described mechanism and has a modified form of gas restrictor housing 294 mounted in the bore 111 of the bearing seal mounting plate 110. The gas restrictor housing 294 has an inverted cup-shaped body 296 with the annular groove 298 and injection ports for receiving the purge gas from the passage 176 of the seal mounting plate 110. The purge gas is directed into the downwardly opening cavity 300 of the gas restrictor housing 294, for movement downwardly and then upwardly into the bore 52 of the rotation shaft 51 in the manner hereinbefore fully described with reference to the mechanism 20. The modified gas restrictor housing 294 of the mechanism 20A has an elongated tube 302 extending axially upwardly therefrom. The rotation shaft 51 extends axially through the tube 302 which is sized to provide an annular gap 304 between the outside diameter of the rotation shaft 51 and the inside diameter of the tube 302 of the gas restrictor housing 294. Therefore some of the purge gas received in the cavity 300 of the gas restrictor housing 294 will flow upwardly through the annular gap 304 and emerge from the tube 302 below the susceptor 30.
The uppermost end of the tube 302 of the gas restrictor housing 294 is suitably configured to carry a stand means 306 preferably of transparent material upon which the ring structure is supported. The stand means 306 includes a disc shaped body 308 having at least three arms 310 extending therefrom. Each of the arms 310 is provided with an upstanding pin 311 upon which the fixed ring structure 252 is carried.
By mounting the ring structure 252 on the stand means 306, rather than the stand 254 of the mechanism 20, the stand means 306, and thereby the ring structure 252 and the temperature sensing means 268, 270, and 272 (FIG. 4) carried thereby, are vertically adjustable along with the susceptor 30 as hereinbefore described with reference to the mechanism 20.
The modified form of substrate supporting mechanism 20A further includes a modified coupling plate 312 which, as in the case of the previously described coupling plate 114, is free to float into aligning relationship with the bearing mounting plate 110 and the depending tubular shaft 24 and/or 28 of the reaction chamber 22 or 26. The coupling plate 312 is provided with an axially upwardly extending externally threaded boss 314 defining an axial bore 316. The clamping nut 124, is threadingly carried on the boss 314 for exerting a circumferentially compressive force on the O-ring seal 122 by virtue of the compression ring 126 carried in the clamping nut 124. Purge gas, from an external source is supplied to a passage 318 formed in the coupling plate 312 such as through a suitable fitting means 320 so that the purge gas is directed into the counterbored lower end 322 of the coupling plate 312 and will flow upwardly in the annular space 324 between the depending tubular shaft 24 or 28 of the reaction chamber 22 or 26, and the elongated tube 302 of the gas restrictor housing 294.
Therefore, in the modified substrate supporting mechanism 20A the purging gas will flow upwardly through the rotation shaft 51, through the annular gap 304 between the rotation shaft 51 and the upstanding tube 302 of the gas restrictor housing 294, and through the annular space 324 discussed above.
The free floating coupling plate 114 of the first embodiment of the present invention was described as being bolted in a fixed position subsequent to its having been positioned in the desired aligned position. In many reaction chamber installations, such bolting in place may not be able to be accomplished due to the inability to reach the necessary points for accomplishing such bolting. Therefore, the modified mechanism 20A is shown as including an automatic clamping device 326.
The clamping device 326 includes a lever 328 which is pivotably carried on a suitable pin 330. The pivot pin 330 is mounted in clevis 332 which is mounted atop the support plate 33A, and a depending lug 334 is mounted on the lever 328 with the pivot pin 330 passing through a suitable aperture provided in the lug. One end 336 of the lever 328 extends away from the mechanism 20A and a suitable adjustment screw assembly 338 is carried in that end 336 of the lever. An electrically, pneumatically, or otherwise operated actuator mechanism 340 is mounted in the support plate 33A, and is operable for extending and retracting movement of an actuator pin 342. When actuated, such as at the beginning of an operational cycle, or cycles, of the mechanism 20A, the actuator pin 342 will move to its illustrated extended position and produce a counterclockwise pivot movement of the lever 328, as viewed in FIG. 10.
The other end 344 of the lever 328 is bifurcated to provide a pair of spaced apart arms 346 which are stradlingly disposed on diametrically opposed sides of the upwardly extending boss 314 of the coupling plate 312. Each of the arms 346 is provided with a depending pin 348 which will bear down on the coupling plate 312 when the lever 328 is pivotably moved in the manner hereinbefore described. When the clamping force is applied to the coupling plate 312 a compressive force will be applied to the O-ring seals 350 to prevent gas leakage between the coupling plate 312 and the bearing mounting plate 110.
Reference is now made to FIG. 14 wherein a modified form of the rotatable substrate supporting mechanism is shown with the modified configuration being indicated in its entirety by the reference numeral 208. The mechanism 208, which may be identical to the hereinbefore described mechanisms 20 or 20A with the exception of the changes discussed below, includes a modified susceptor 308 which is provided with a central aperture 360 rather than the blind cavity 144 mentioned in the previous embodiments. The driveshaft assembly 508 includes the rotation shaft with a modified spindle 568. The spindle 568 includes the upper portion 57 and a modified lower port ion 588 which has a depending boss 362 on its lower end which is externally threaded as shown.
The drive shaft assembly 508 defines an axial passage 364 which in this embodiment, is used for the transmission of radiated heat from the center of the substrate 32 and is, therefore left open. The radiated heat enters the axial bore, or passage, 364 through the central aperture 360 of the susceptor 30B, and is transmitted by radiation to a window means 366 which is carried on the depending boss 362 of the drive shaft assembly 50B. A suitable mounting means 368, such as the illustrated nut, is used to mount the window means 366 on the drive shaft assembly for rotation therewith. The window means 366 includes a lens 370 which is formed of a material such as magnesium to the particular wavelength of radiated heat and are not dependent on the temperature for their transparency. The lens 370 is mounted in a suitable ring 372 and is carried by the mounting means 368 with a suitable O-ring gasket 374 is employed to prevent the passage of purge gas around the window means 366. The radiated heat passing through the window means 366 is sensed by a radiation pyrometer 376 of a type well known in the art, which produces an electric signal indicative of the sensed temperature.
While the principles of the invention have now been made clear in the illustrated embodiments, there will be immediately obvious to those skilled in the art, many modifications of structure, arrangements, proportions, the elements, materials and components used in the practice of the invention and otherwise, which are particularly adapted for specific environments and operation requirements without departing from those principles. The appended claims are therefore intended to cover and embrace any such modifications within the limits only of the true spirit and scope of the invention.

Claims (27)

What we claim is:
1. An apparatus used for chemical vapor deposition of materials on substrates, comprising:
a circular, substantially planar susceptor having an upper surface for centrally receiving a substrate for chemical deposition purposes; and
a circular, substantially planar susceptor ring separate from said susceptor having an inner diameter slightly larger than the outer diameter of said susceptor to enable the positioning of said ring in substantially concentric relation with the susceptor and to enable said susceptor to be rotated while the ring is stationary, said ring being slightly thicker than said susceptor and including structure for supporting one or more sensors close to the periphery of the susceptor for purposes of monitoring the temperature at the periphery of the susceptor.
2. The apparatus of claim 1, wherein said ring has an annular, imperforate upper wall, and an annular, imperforate inner wall, and said sensor supporting structure includes an internal passage for positioning said sensors close to said inner wall.
3. The apparatus of claim 1, wherein said sensor supporting structure includes an annular passage for positioning said sensors at spaced locations around said ring and one or more apertures in an outer annular wall of said ring for inserting sensors into said passage.
4. The apparatus of claim 1, wherein said sensor supporting structure includes a passage for positioning a sensor at a leading edge of the ring, which first encounters gaseous flow across the ring, a passage for positioning a sensor at a trailing edge of said susceptor spaced about 180° from the leading edge, and a passage for positioning a side edge sensor at a location between the leading and trailing edges.
5. The apparatus of claim 1, wherein said sensor supporting structure includes an annular passage in said ring, a trailing edge aperture extending generally radially from said passage to the periphery of said ring, and a pair of apertures that extend substantially tangentially from said passage through a peripheral edge of said ring structure, said pair of apertures being on opposite sides of said trailing edge aperture and extending generally rearwardly from said passage in a direction generally parallel to said trailing edge aperture.
6. The apparatus of claim 5, wherein said pair of apertures are located so that one of said sensors may be positioned in said passage about 90° from said trailing edge aperture and another of said sensors may be inserted in the other one of said pair of apertures and fed through said passage to a location at a leading edge of said ring, about 180° from said trailing edge aperture.
7. The apparatus of claim 1, wherein said ring includes an inner ring body and an outer ring body which cooperatively define an annular passage in the ring with said passage forming said sensor supporting structure.
8. The apparatus of claim 7, wherein said inner ring body forms a portion of said passage and opens radially outwardly, and said outer ring body surrounds said portion to complete said passage.
9. The apparatus of claim 7, wherein said inner ring body has an inner, imperforate annular vertical wall and an imperforate, annular, radially extending horizontal wall, and said outer ring body engages a surface of said horizontal wall and includes a vertical, outer, annular wall with one or more apertures formed therein for inserting sensors into said passage.
10. The apparatus of claim 1, wherein said ring includes one or more recesses in its lower surface for receiving one or more upwardly extending pins to removably support said ring within a vapor deposition chamber.
11. The apparatus of claim 10, further including a support ring having said upwardly extending pins, said support ring being transparent to radiant heat.
12. The apparatus of claim 1, wherein said susceptor has one or more recesses in its lower surface for removably receiving one or more susceptor support elements.
13. The apparatus of claim 12, wherein said recesses are spaced outwardly from the center of the susceptor for receiving circumferentially spaced support elements.
14. The apparatus of claim 13, including a support having a control hub with a plurality of spaced radially extending arm with an upwardly extending support element which fit into said recesses.
15. The apparatus of claim 14, wherein said support ring is transparent to radiant heat.
16. The apparatus of claim 1, wherein said susceptor upper surface is generally planar but non-smooth.
17. The apparatus of claim 1, wherein said susceptor has a central recess in its upper surface for receiving a substrate.
18. The apparatus of claim 1, wherein said susceptor upper surface is generally planar but has a plurality of intersecting grooves to allow the free flow of gases between the substrate and the susceptor.
19. The apparatus of claim 1, wherein the supporting structure comprises a space within the ring between an inner and outer diameter of the ring.
20. The apparatus of claim 19, wherein said space is below an upper surface of said ring.
21. Chemical vapor deposition apparatus, comprising a generally circular substantially planar susceptor having an upper surface for centrally receiving a substrate for deposition purposes, said upper surface having a plurality of intersecting grooves to allow the free flow of gases between the substrate and the susceptor, said susceptor has a lower surface with one or more recesses; and including a spider structure having a plurality of upstanding elements to be received in said recesses to support said susceptor.
22. Chemical vapor deposition apparatus, comprising a generally circular substantially planar susceptor having an upper surface for centrally receiving a substrate for deposition purposes, said upper surface having a plurality of intersecting grooves to allow the free flow of gases between the substrate and the susceptor;
a ring separate from said susceptor for positioning in concentric relation with the susceptor, said ring including an inner ring body and a surrounding outer ring body, with said ring bodies defining an annular passage for receiving sheathed thermocouples to sense the temperature of said ring at circumferentially spaced locations close to the periphery of said susceptor for purposes of monitoring the temperature of the periphery of the susceptor.
23. Chemical vapor deposition apparatus comprising a ring for positioning in concentric relation with a susceptor adapted to receive a substrate for deposition purposes, said ring having a thin flat, generally rectangular cross section with a flat imperforate, upper wall, and an annular, imperforate inner wall, said ring further including a support supporting one or more sensors which sense the temperature of said ring close to said inner wall of said ring so as to be close to the periphery of the susceptor when installed in a vapor deposition chamber, for the purpose of monitoring the temperature at the periphery of the susceptor.
24. The apparatus of claim 23, wherein said ring includes an inner ring body and an outer ring body surrounding the inner ring body, said ring bodies defining an annular passage forming said sensor supporting means.
25. The apparatus of claim 23, including apertures through said outer ring body between said passage and the outer periphery of said outer ring body through which said sensors may be inserted to be positioned in said passage.
26. The apparatus of claim 25, including a susceptor having one or more recesses in its lower surface for receiving susceptor support elements, and said ring includes one or more recesses in its lower surface for receiving one or more support pins.
27. The apparatus of claim 23, wherein said ring has a lower surface with one or more recesses; and including a support stand having a plurality of upstanding pins to be received in said recesses to support said ring.
US08/133,659 1987-03-31 1993-10-07 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment Expired - Lifetime US5374315A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US08/133,659 US5374315A (en) 1987-03-31 1993-10-07 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US08/513,321 US5902407A (en) 1987-03-31 1995-08-10 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US07/032,474 US4821674A (en) 1987-03-31 1987-03-31 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US07/330,200 US4996942A (en) 1987-03-31 1989-03-29 Rotatable substrate supporting susceptor with temperature sensors
US07/664,867 US5198034A (en) 1987-03-31 1991-03-04 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US07/882,309 US5427620A (en) 1987-03-31 1992-05-13 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US08/133,659 US5374315A (en) 1987-03-31 1993-10-07 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/882,309 Continuation US5427620A (en) 1987-03-31 1992-05-13 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US31415194A Continuation 1987-03-31 1994-09-28

Publications (1)

Publication Number Publication Date
US5374315A true US5374315A (en) 1994-12-20

Family

ID=27364148

Family Applications (4)

Application Number Title Priority Date Filing Date
US07/664,867 Expired - Lifetime US5198034A (en) 1987-03-31 1991-03-04 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US07/882,309 Expired - Lifetime US5427620A (en) 1987-03-31 1992-05-13 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US08/133,659 Expired - Lifetime US5374315A (en) 1987-03-31 1993-10-07 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US08/513,321 Expired - Fee Related US5902407A (en) 1987-03-31 1995-08-10 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US07/664,867 Expired - Lifetime US5198034A (en) 1987-03-31 1991-03-04 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US07/882,309 Expired - Lifetime US5427620A (en) 1987-03-31 1992-05-13 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment

Family Applications After (1)

Application Number Title Priority Date Filing Date
US08/513,321 Expired - Fee Related US5902407A (en) 1987-03-31 1995-08-10 Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment

Country Status (1)

Country Link
US (4) US5198034A (en)

Cited By (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5439522A (en) * 1993-09-25 1995-08-08 Leybold Aktiengesellschaft Device for locking a flat, preferably discoid substrate onto the substrate plate of a vacuum coating apparatus
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
EP0874388A2 (en) * 1997-03-20 1998-10-28 Siemens Aktiengesellschaft Arrangement and method for treatment of a disc-like substrate
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5902407A (en) * 1987-03-31 1999-05-11 Deboer; Wiebe B. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0915498A2 (en) * 1997-10-30 1999-05-12 Wacker Siltronic Gesellschaft für Halbleitermaterialien Aktiengesellschaft Apparatus and method for detecting a position error of a wafer
US5921560A (en) * 1997-02-07 1999-07-13 Wj Semiconductor Equipment Group, Inc. Direct drive rotational motor with axial vacuum
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6279506B1 (en) * 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
US6473247B1 (en) * 1998-09-04 2002-10-29 Essilor International Optical lens support and method for using same
US20030073309A1 (en) * 2001-10-16 2003-04-17 Applied Materials, Inc. Apparatus and method for edge bead removal
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US20050166849A1 (en) * 2004-01-30 2005-08-04 Weeks Thomas M. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US20100145547A1 (en) * 2008-12-08 2010-06-10 Asm America, Inc. Thermocouple
US20100284438A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
US20100282163A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US20100286842A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Smart Temperature Measuring Device
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US8734514B2 (en) 2011-06-16 2014-05-27 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US8956683B2 (en) 2011-06-16 2015-02-17 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US20150371860A1 (en) * 2013-07-17 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US7030039B2 (en) 1994-10-27 2006-04-18 Asml Holding N.V. Method of uniformly coating a substrate
US7018943B2 (en) 1994-10-27 2006-03-28 Asml Holding N.V. Method of uniformly coating a substrate
US6977098B2 (en) * 1994-10-27 2005-12-20 Asml Holding N.V. Method of uniformly coating a substrate
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
JPH0945611A (en) * 1995-07-27 1997-02-14 Dainippon Screen Mfg Co Ltd Spin coater of substrate
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
JPH10102257A (en) * 1996-09-27 1998-04-21 Nippon Process Eng Kk Coating forming device by chemical vapor deposition
JPH10135315A (en) * 1996-10-29 1998-05-22 Tokyo Electron Ltd Sample holder temp. controller and testing apparatus
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
JP4475804B2 (en) * 1997-11-03 2010-06-09 エーエスエム アメリカ インコーポレイテッド Long life high temperature process chamber
WO1999023690A1 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Method of processing wafers with low mass support
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6188044B1 (en) 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
US6169271B1 (en) 1998-07-13 2001-01-02 Mattson Technology, Inc. Model based method for wafer temperature control in a thermal processing system for semiconductor manufacturing
US6319569B1 (en) 1998-11-30 2001-11-20 Howmet Research Corporation Method of controlling vapor deposition substrate temperature
US6213478B1 (en) * 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6254687B1 (en) 1999-03-26 2001-07-03 Japan Process Engineering, Ltd. Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
US6758938B1 (en) * 1999-08-31 2004-07-06 Micron Technology, Inc. Delivery of dissolved ozone
JP3598032B2 (en) * 1999-11-30 2004-12-08 東京エレクトロン株式会社 Vertical heat treatment apparatus, heat treatment method, and heat insulation unit
US7081166B2 (en) * 1999-12-15 2006-07-25 Unaxis Balzers Aktiengesellschaft Planetary system workpiece support and method for surface treatment of workpieces
KR100752682B1 (en) 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 Barrier coating for vitreous materials
JP4442841B2 (en) * 2000-06-19 2010-03-31 コバレントマテリアル株式会社 Low pressure epitaxial growth apparatus and method for controlling the apparatus
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
JP4948701B2 (en) * 2000-12-28 2012-06-06 東京エレクトロン株式会社 Heating apparatus, heat treatment apparatus having the heating apparatus, and heat treatment control method
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6459382B1 (en) * 2001-04-26 2002-10-01 Applied Materials, Inc. Over clamp sensor
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6861321B2 (en) 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US6695886B1 (en) * 2002-08-22 2004-02-24 Axcelis Technologies, Inc. Optical path improvement, focus length change compensation, and stray light reduction for temperature measurement system of RTP tool
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US7582166B2 (en) * 2003-08-01 2009-09-01 Sgl Carbon, Llc Holder for supporting wafers during semiconductor manufacture
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP2006086384A (en) * 2004-09-16 2006-03-30 Ses Co Ltd Substrate processing apparatus
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
JP4934595B2 (en) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100799735B1 (en) * 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
JP2008021888A (en) * 2006-07-14 2008-01-31 Nec Electronics Corp Jig device
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5615102B2 (en) * 2010-08-31 2014-10-29 株式会社ニューフレアテクノロジー Semiconductor manufacturing method and semiconductor manufacturing apparatus
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
EP2850221B1 (en) * 2012-05-18 2022-07-06 Veeco Instruments Inc. Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9892956B1 (en) 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9960068B1 (en) 2016-12-02 2018-05-01 Lam Research Corporation Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573498B2 (en) 2017-01-09 2020-02-25 Applied Materials, Inc. Substrate processing apparatus including annular lamp assembly
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109594063A (en) * 2018-12-27 2019-04-09 西安奕斯伟硅片技术有限公司 A kind of extension consersion unit
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing

Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1589956A (en) * 1924-03-17 1926-06-22 Westinghouse Lamp Co Coating machine
US3023727A (en) * 1959-09-10 1962-03-06 Ibm Substrate processing apparatus
US4052519A (en) * 1975-07-02 1977-10-04 Zenith Radio Corporation Non-settling process for coating a phosphor slurry on the inner surface of a cathode ray tube faceplate
US4100879A (en) * 1977-02-08 1978-07-18 Grigory Borisovich Goldin Device for epitaxial growing of semiconductor periodic structures from gas phase
US4108109A (en) * 1974-05-13 1978-08-22 Dynatech Corporation Blood filming apparatus
US4313266A (en) * 1980-05-01 1982-02-02 The Silicon Valley Group, Inc. Method and apparatus for drying wafers
JPS58106543A (en) * 1981-12-21 1983-06-24 Konishiroku Photo Ind Co Ltd Spinner coating device
US4403567A (en) * 1980-08-21 1983-09-13 Commonwealth Scientific Corporation Workpiece holder
US4493977A (en) * 1982-09-30 1985-01-15 Ushio Denki Kabushiki Kaisha Method for heating semiconductor wafers by a light-radiant heating furnace
US4498833A (en) * 1982-05-24 1985-02-12 Varian Associates, Inc. Wafer orientation system
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
JPS60189927A (en) * 1984-03-12 1985-09-27 Matsushita Electric Ind Co Ltd Vapor phase reactor
US4550679A (en) * 1984-06-11 1985-11-05 Harvey Russack Device for producing decorative patterns on clothing
US4580522A (en) * 1984-02-27 1986-04-08 Hitachi, Ltd. Rotary substrate holder of molecular beam epitaxy apparatus
JPS61214520A (en) * 1985-03-20 1986-09-24 Hitachi Ltd Coating device
US4632058A (en) * 1984-02-27 1986-12-30 Gemini Research, Inc. Apparatus for uniform chemical vapor deposition
US4654509A (en) * 1985-10-07 1987-03-31 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
GB2181458A (en) * 1985-10-07 1987-04-23 Epsilon Ltd Partnership Apparatus and method for an axially symmetric chemical vapor deposition reactor
US4673588A (en) * 1984-01-28 1987-06-16 U.S. Philips Corporation Device for coating a substrate by means of plasma-CVD or cathode sputtering
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
US4714594A (en) * 1984-06-27 1987-12-22 Mircea Andrei S Reactor for vapor phase epitaxy
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers
US4770121A (en) * 1982-11-27 1988-09-13 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus
US4786887A (en) * 1984-01-30 1988-11-22 U.S. Philips Corporation Thin-film strain gauge system and method of manufacturing same
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4903717A (en) * 1987-11-09 1990-02-27 Sez Semiconductor-Equipment Zubehoer Fuer die Halbleiterfertigung Gesellschaft m.b.H Support for slice-shaped articles and device for etching silicon wafers with such a support
US4973217A (en) * 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4993355A (en) * 1987-03-31 1991-02-19 Epsilon Technology, Inc. Susceptor with temperature sensing device
US4996942A (en) * 1987-03-31 1991-03-05 Epsilon Technology, Inc. Rotatable substrate supporting susceptor with temperature sensors
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
JPH0611031A (en) * 1992-04-15 1994-01-21 Mitsubishi Motors Corp Shift control method of automatic transmission for vehicle
JPH0611033A (en) * 1992-06-24 1994-01-21 Toyota Motor Corp Control device for automatic transmission at changeover of shift pattern
JPH0679724A (en) * 1992-07-15 1994-03-22 Ishikawajima Harima Heavy Ind Co Ltd Volume reduction treatment device of plastic waste

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE143127C (en) *
US3407783A (en) * 1964-08-31 1968-10-29 Emil R. Capita Vapor deposition apparatus
US3578747A (en) * 1968-01-03 1971-05-18 Speed Eouipment Inc Shrink tunnel with turntable
US3627590A (en) * 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
JPS4930319B1 (en) * 1969-08-29 1974-08-12
US3643625A (en) * 1969-10-07 1972-02-22 Carl Herrmann Associates Inc Thin-film deposition apparatus
US3696779A (en) * 1969-12-29 1972-10-10 Kokusai Electric Co Ltd Vapor growth device
US3633537A (en) * 1970-07-06 1972-01-11 Gen Motors Corp Vapor deposition apparatus with planetary susceptor
US3757733A (en) * 1971-10-27 1973-09-11 Texas Instruments Inc Radial flow reactor
JPS5264271A (en) * 1975-11-22 1977-05-27 Sony Corp Semiconductor producing device
JPS5267572A (en) * 1975-12-02 1977-06-04 Fujitsu Ltd Manufacturing device for semiconductor
SE7710800L (en) * 1976-10-05 1978-04-06 Western Electric Co PROCEDURE FOR THE SUBSTITUTION OF AN EPITAXIAL LAYER ON A SUBSTRATE
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
JPS5468161A (en) * 1977-11-10 1979-06-01 Sony Corp Gas phase growth unit
US4170541A (en) * 1978-08-14 1979-10-09 Varian Associates, Inc. Rotating resonator for large substrate tables in sputtering systems
US4284033A (en) * 1979-10-31 1981-08-18 Rca Corporation Means to orbit and rotate target wafers supported on planet member
US4458746A (en) * 1982-05-25 1984-07-10 Varian Associates, Inc. Optimum surface contour for conductive heat transfer with a thin flexible workpiece
JPS58223320A (en) * 1982-06-22 1983-12-24 Ushio Inc Diffusing method for impurity
JPS59928A (en) * 1982-06-25 1984-01-06 Ushio Inc Photo heating device
JPS59112614A (en) * 1982-12-17 1984-06-29 Matsushita Electric Ind Co Ltd Vapor growth apparatus
GB2136937A (en) * 1983-03-18 1984-09-26 Philips Electronic Associated A furnace for rapidly heating semiconductor bodies
JPS59222922A (en) * 1983-06-01 1984-12-14 Nippon Telegr & Teleph Corp <Ntt> Vapor growth apparatus
JPS6021528A (en) * 1983-07-15 1985-02-02 Hitachi Ltd Plasma gas-phase reactor
JPS6060060A (en) * 1983-09-12 1985-04-06 株式会社日立製作所 Switchgear for door of railway rolling stock
JPS6093113A (en) * 1983-10-28 1985-05-24 Nissan Motor Co Ltd Boiling and cooling device for engine
US4522149A (en) * 1983-11-21 1985-06-11 General Instrument Corp. Reactor and susceptor for chemical vapor deposition process
JPH06105742B2 (en) * 1983-11-28 1994-12-21 株式会社日立製作所 Vacuum processing method and device
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS60137016A (en) * 1983-12-26 1985-07-20 Mitsubishi Electric Corp Film forming device
JPH0614520B2 (en) * 1983-12-26 1994-02-23 株式会社日立製作所 Processing equipment in low-pressure atmosphere
US4574093A (en) * 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
JPS60219724A (en) * 1984-04-16 1985-11-02 Matsushita Electric Ind Co Ltd Revolving susceptor supporting device
US4560420A (en) * 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
JPH06675B2 (en) * 1984-10-08 1994-01-05 松下電器産業株式会社 Vapor phase growth reaction tube
JPS61135113A (en) * 1984-12-06 1986-06-23 Nec Corp Vapor growth device
US4742376A (en) * 1985-01-14 1988-05-03 Phillips Edward H Step-and-repeat alignment and exposure system
US4585337A (en) * 1985-01-14 1986-04-29 Phillips Edward H Step-and-repeat alignment and exposure system
US4649859A (en) * 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
JPS61202424A (en) * 1985-03-05 1986-09-08 Hitachi Chem Co Ltd Chemical vapor deposition equipment
JPH0736385B2 (en) * 1985-05-27 1995-04-19 東芝機械株式会社 Vapor phase growth equipment
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4676649A (en) * 1985-11-27 1987-06-30 Compact Spindle Bearing Corp. Multi-axis gas bearing stage assembly
FR2591616A1 (en) * 1985-12-17 1987-06-19 Labo Electronique Physique REACTOR CHAMBER FOR EPITAXIAL GROWTH IN STEAM PHASE OF SEMICONDUCTOR MATERIALS.
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5117769A (en) * 1987-03-31 1992-06-02 Epsilon Technology, Inc. Drive shaft apparatus for a susceptor
JPH0777204B2 (en) * 1987-04-07 1995-08-16 日立電子エンジニアリング株式会社 Mechanism of CVD device
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5356486A (en) * 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring

Patent Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1589956A (en) * 1924-03-17 1926-06-22 Westinghouse Lamp Co Coating machine
US3023727A (en) * 1959-09-10 1962-03-06 Ibm Substrate processing apparatus
US4108109A (en) * 1974-05-13 1978-08-22 Dynatech Corporation Blood filming apparatus
US4052519A (en) * 1975-07-02 1977-10-04 Zenith Radio Corporation Non-settling process for coating a phosphor slurry on the inner surface of a cathode ray tube faceplate
US4100879A (en) * 1977-02-08 1978-07-18 Grigory Borisovich Goldin Device for epitaxial growing of semiconductor periodic structures from gas phase
US4313266A (en) * 1980-05-01 1982-02-02 The Silicon Valley Group, Inc. Method and apparatus for drying wafers
US4403567A (en) * 1980-08-21 1983-09-13 Commonwealth Scientific Corporation Workpiece holder
JPS58106543A (en) * 1981-12-21 1983-06-24 Konishiroku Photo Ind Co Ltd Spinner coating device
US4498833A (en) * 1982-05-24 1985-02-12 Varian Associates, Inc. Wafer orientation system
US4493977A (en) * 1982-09-30 1985-01-15 Ushio Denki Kabushiki Kaisha Method for heating semiconductor wafers by a light-radiant heating furnace
US4770121A (en) * 1982-11-27 1988-09-13 Toshiba Kikai Kabushiki Kaisha Semiconductor vapor phase growing apparatus
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
US4673588A (en) * 1984-01-28 1987-06-16 U.S. Philips Corporation Device for coating a substrate by means of plasma-CVD or cathode sputtering
US4715319A (en) * 1984-01-28 1987-12-29 U.S. Philips Corporation Device for coating a substrate by means of plasma-CVD or cathode sputtering
US4786887A (en) * 1984-01-30 1988-11-22 U.S. Philips Corporation Thin-film strain gauge system and method of manufacturing same
US4632058A (en) * 1984-02-27 1986-12-30 Gemini Research, Inc. Apparatus for uniform chemical vapor deposition
US4580522A (en) * 1984-02-27 1986-04-08 Hitachi, Ltd. Rotary substrate holder of molecular beam epitaxy apparatus
JPS60189927A (en) * 1984-03-12 1985-09-27 Matsushita Electric Ind Co Ltd Vapor phase reactor
US4550679A (en) * 1984-06-11 1985-11-05 Harvey Russack Device for producing decorative patterns on clothing
US4714594A (en) * 1984-06-27 1987-12-22 Mircea Andrei S Reactor for vapor phase epitaxy
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers
JPS61214520A (en) * 1985-03-20 1986-09-24 Hitachi Ltd Coating device
US4654509A (en) * 1985-10-07 1987-03-31 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
US4789771A (en) * 1985-10-07 1988-12-06 Epsilon Limited Partnership Method and apparatus for substrate heating in an axially symmetric epitaxial deposition apparatus
GB2181458A (en) * 1985-10-07 1987-04-23 Epsilon Ltd Partnership Apparatus and method for an axially symmetric chemical vapor deposition reactor
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US4973217A (en) * 1987-02-09 1990-11-27 Svg Lithography Systems, Inc. Wafer handling system
US4996942A (en) * 1987-03-31 1991-03-05 Epsilon Technology, Inc. Rotatable substrate supporting susceptor with temperature sensors
US4993355A (en) * 1987-03-31 1991-02-19 Epsilon Technology, Inc. Susceptor with temperature sensing device
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4854263A (en) * 1987-08-14 1989-08-08 Applied Materials, Inc. Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4903717A (en) * 1987-11-09 1990-02-27 Sez Semiconductor-Equipment Zubehoer Fuer die Halbleiterfertigung Gesellschaft m.b.H Support for slice-shaped articles and device for etching silicon wafers with such a support
US5044943A (en) * 1990-08-16 1991-09-03 Applied Materials, Inc. Spoked susceptor support for enhanced thermal uniformity of susceptor in semiconductor wafer processing apparatus
JPH0611031A (en) * 1992-04-15 1994-01-21 Mitsubishi Motors Corp Shift control method of automatic transmission for vehicle
JPH0611033A (en) * 1992-06-24 1994-01-21 Toyota Motor Corp Control device for automatic transmission at changeover of shift pattern
JPH0679724A (en) * 1992-07-15 1994-03-22 Ishikawajima Harima Heavy Ind Co Ltd Volume reduction treatment device of plastic waste

Cited By (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5902407A (en) * 1987-03-31 1999-05-11 Deboer; Wiebe B. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5882419A (en) * 1993-04-05 1999-03-16 Applied Materials, Inc. Chemical vapor deposition chamber
US5439522A (en) * 1993-09-25 1995-08-08 Leybold Aktiengesellschaft Device for locking a flat, preferably discoid substrate onto the substrate plate of a vacuum coating apparatus
US6279506B1 (en) * 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5921560A (en) * 1997-02-07 1999-07-13 Wj Semiconductor Equipment Group, Inc. Direct drive rotational motor with axial vacuum
US5998767A (en) * 1997-03-20 1999-12-07 Siemens Aktiengesellschaft Apparatus for processing a substrate wafer and method for operating same
EP0874388A3 (en) * 1997-03-20 2001-06-20 Siemens Aktiengesellschaft Arrangement and method for treatment of a disc-like substrate
EP0874388A2 (en) * 1997-03-20 1998-10-28 Siemens Aktiengesellschaft Arrangement and method for treatment of a disc-like substrate
EP0915498A3 (en) * 1997-10-30 1999-09-01 Wacker Siltronic Gesellschaft für Halbleitermaterialien Aktiengesellschaft Apparatus and method for detecting a position error of a wafer
EP0915498A2 (en) * 1997-10-30 1999-05-12 Wacker Siltronic Gesellschaft für Halbleitermaterialien Aktiengesellschaft Apparatus and method for detecting a position error of a wafer
US6217212B1 (en) 1997-10-30 2001-04-17 WACKER SILTRONIC GESELLSCHAFT FüR HALBLEITERMATERIALIEN AG Method and device for detecting an incorrect position of a semiconductor wafer
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6473247B1 (en) * 1998-09-04 2002-10-29 Essilor International Optical lens support and method for using same
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US20030073309A1 (en) * 2001-10-16 2003-04-17 Applied Materials, Inc. Apparatus and method for edge bead removal
US6786996B2 (en) * 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US20050166849A1 (en) * 2004-01-30 2005-08-04 Weeks Thomas M. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US7169234B2 (en) 2004-01-30 2007-01-30 Asm America, Inc. Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US20070056150A1 (en) * 2004-01-30 2007-03-15 Asm America, Inc Apparatus and methods for preventing rotational slippage between a vertical shaft and a support structure for a semiconductor wafer holder
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100145547A1 (en) * 2008-12-08 2010-06-10 Asm America, Inc. Thermocouple
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8616765B2 (en) 2008-12-08 2013-12-31 Asm America, Inc. Thermocouple
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
WO2010129431A2 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
US20100284438A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple
CN102439712A (en) * 2009-05-06 2012-05-02 Asm美国股份有限公司 Thermocouple and temperature measuring system
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
WO2010129431A3 (en) * 2009-05-06 2011-03-31 Asm America, Inc. Thermocouple and temperature measuring system
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US20100286842A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Smart Temperature Measuring Device
US20100282163A1 (en) * 2009-05-06 2010-11-11 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
TWI480527B (en) * 2009-05-06 2015-04-11 Asm Inc Thermocouple
US9267850B2 (en) 2009-05-06 2016-02-23 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9277998B2 (en) 2011-06-16 2016-03-08 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US9398953B2 (en) 2011-06-16 2016-07-26 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US8956683B2 (en) 2011-06-16 2015-02-17 Zimmer, Inc. Chemical vapor infiltration apparatus and process
US8734514B2 (en) 2011-06-16 2014-05-27 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10727074B2 (en) * 2013-07-17 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US11721555B2 (en) 2013-07-17 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US20150371860A1 (en) * 2013-07-17 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thinning wafer thereof
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10364493B2 (en) 2016-08-25 2019-07-30 Asm Ip Holding B.V. Exhaust apparatus and substrate processing apparatus having an exhaust line with a first ring having at least one hole on a lateral side thereof placed in the exhaust line
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US5198034A (en) 1993-03-30
US5427620A (en) 1995-06-27
US5902407A (en) 1999-05-11

Similar Documents

Publication Publication Date Title
US5374315A (en) Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
EP0592017B1 (en) Use of rotatable substrate supporting mechanism with temperature sensing device in chemical vapor deposition equipment
US5117769A (en) Drive shaft apparatus for a susceptor
US4996942A (en) Rotatable substrate supporting susceptor with temperature sensors
US5125360A (en) Vacuum processing apparatus
US4993355A (en) Susceptor with temperature sensing device
US8747560B2 (en) System and method for pedestal adjustment
US6106148A (en) Apparatus including integral actuator with control for automated calibration of temperature sensors in rapid thermal processing equipment
JP4709335B2 (en) Magnetically levitated rotating device for RTP chamber
US5540821A (en) Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US4503807A (en) Chemical vapor deposition apparatus
US5556476A (en) Controlling edge deposition on semiconductor substrates
KR100336167B1 (en) Heat treatment apparatus
JP2008544491A (en) Rotating substrate support and method of using the same
EP0748881B1 (en) Thin-film vapor deposition apparatus
KR100241290B1 (en) Semiconductor processing apparatus
KR100780301B1 (en) Susceptor of equipment for gas phase thin film growth and equipment for gas phase thin film growth using the susceptor
US20110226759A1 (en) Apparatus and method for heating semiconductor wafers via microwaves
EP1027473A1 (en) Mini-batch process chamber
JP2762022B2 (en) Rotary mechanism used in CVD apparatus and method for controlling temperature of workpiece using this mechanism
EP0371065B1 (en) Surface deposition or surface treatment reactor
US6106628A (en) Heater unit for chemical vapor deposition systems
US5921560A (en) Direct drive rotational motor with axial vacuum
JPH0310710B2 (en)
JP2546995B2 (en) Method and device for surface treatment of substrate in vacuum chamber

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: IMPERIAL BANK, CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:EPSILON TECHNOLOGY, INC., D/B/A ASM EPITAXY;REEL/FRAME:008553/0195

Effective date: 19970606

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: ASM AMERICA, INC., ARIZONA

Free format text: CHANGE OF NAME;ASSIGNOR:ADVANCED SEMICONDUCTOR MATERIALS AMERICA, INC.;REEL/FRAME:010881/0362

Effective date: 19971212

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12