US5521120A - Method for the formation of tin barrier layer with preferential (111) crystallographic orientation - Google Patents

Method for the formation of tin barrier layer with preferential (111) crystallographic orientation Download PDF

Info

Publication number
US5521120A
US5521120A US08/377,566 US37756695A US5521120A US 5521120 A US5521120 A US 5521120A US 37756695 A US37756695 A US 37756695A US 5521120 A US5521120 A US 5521120A
Authority
US
United States
Prior art keywords
layer
titanium
annealing
titanium nitride
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/377,566
Inventor
Jaim Nulman
Kenny K.-T. Ngan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US08/377,566 priority Critical patent/US5521120A/en
Application granted granted Critical
Publication of US5521120A publication Critical patent/US5521120A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/927Electromigration resistant metallization
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/973Substrate orientation

Definitions

  • This invention relates to a method for forming a titanium nitride (TiN) barrier layer having a (111) crystallographic orientation on an integrated circuit structure.
  • aluminum is used for forming the electrical connections or wiring between active and/or passive devices comprising the integrated circuit structure.
  • this involves the use of aluminum which is electrically connected to underlying silicon in the structure. While the aluminum and silicon are electrically connected together, it has become the practice to use intermediate electrically conductive layers interposed between the silicon and aluminum to respectively provide better electrical connection to the silicon, and to provide a physical (metallurgical) barrier between the silicon and aluminum to prevent spiking of the aluminum into the silicon, i.e., migration of aluminum atoms into the underlying silicon, which can interfere with the performance and reliability of the resulting integrated circuit structure.
  • one method which has been used to accomplish this has been to deposit a layer of titanium over a silicon surface, e.g., to form a contact with the silicon, and then to anneal the titanium-coated structure in the presence of nitrogen whereby a titanium silicide layer forms over the exposed silicon to form a good electrical contact with the silicon and titanium nitride forms over the titanium silicide as the surface of the titanium layer reacts with the nitrogen atmosphere.
  • titanium silicide barrier layer first and then to sputter additional titanium nitride over the titanium silicide or titanium silicide/titanium nitride layer. In this way a sufficient thickness of titanium nitride may be formed to provide the desired barrier layer.
  • Formation of titanium nitride by the nitration of titanium will result in formation of a titanium nitride layer having a (111) crystallographic orientation.
  • formation of such a titanium nitride from titanium deposited over silicon does not result in formation of a sufficiently thick titanium nitride barrier layer.
  • the crystallographic orientation of sputtered titanium nitride is usually either (200) or polycrystalline.
  • titanium nitride barrier layer over a silicon surface with a titanium nitride surface having a (111) crystallographic orientation, whereby spiking of aluminum through such a titanium nitride layer would be inhibited or eliminated, yet the formation of aluminum of (111) crystallographic orientation would be promoted by the nucleation sites provided by the (111) crystallographic orientation of the underlying titanium nitride surface.
  • the invention therefore, comprises a process for forming, over a silicon surface, a titanium nitride barrier layer having a surface of (111) crystallographic orientation which comprises: depositing a first titanium layer over a silicon surface; sputtering a titanium nitride layer over the titanium layer; depositing a second titanium layer over the sputtered titanium nitride layer; and annealing the structure in the presence of a nitrogen-bearing gas, and in the absence of an oxygen-bearing gas, to form the desired titanium nitride having a surface of (111) crystallographic orientation and a sufficient thickness to provide protection of the underlying silicon against spiking of the aluminum.
  • the first titanium layer reacts with the underlying silicon to form the desired titanium silicide electrical contact, while the second titanium layer reacts with the nitrogen in the nitrogen-bearing atmosphere to form titanium nitride of (111) crystallographic orientation.
  • the sputtered intermediate titanium nitride layer functions both to form the desired barrier layer as well as to separate the two titanium layers during the annealing step so that the respective titanium silicide and (111) titanium nitride structures may be formed independently by respective reactions of the respective titanium layers with the underlying silicon and the nitrogen-bearing atmosphere.
  • An aluminum layer subsequently formed over the (111) oriented titanium nitride surface will then assume the same (111) crystallographic orientation, resulting in an aluminum layer having enhanced resistance to electromigration.
  • FIG. 1 is a vertical fragmentary cross-sectional view of the first step of the process showing the deposition of a first layer of titanium over a silicon surface.
  • FIG. 2 is a vertical fragmentary cross-sectional view of the second step of the process showing a layer of titanium nitride sputter deposited over the first layer of titanium.
  • FIG. 3 is a vertical fragmentary cross-sectional view of the third step of the process showing the deposition of a second layer of titanium over the sputter deposited titanium nitride layer.
  • FIG. 4 is a vertical fragmentary cross-sectional view of the fourth step of the process showing the formation of a titanium silicide layer over the silicon surface by reaction of the silicon with the first layer of titanium during an annealing step, and the simultaneous formation of a titanium nitride layer of (111) crystallographic orientation over the sputter deposited titanium nitride layer by reaction of the second layer of titanium with a nitrogen-bearing gas in the absence of any oxygen-bearing gases during the annealing step.
  • FIG. 5 shows the structure of FIG. 4 with an aluminum layer of (111) crystallographic orientation shown formed over the (111) oriented titanium nitride surface.
  • FIG. 6 is a flowsheet illustrating the process of the invention.
  • the invention comprises a method for forming a titanium nitride barrier layer over a silicon surface which is capable of preventing spiking from a subsequently deposited aluminum layer over the titanium nitride barrier layer, and which will have a surface of (111) crystallographic orientation whereby the titanium nitride layer may also function as a nucleation layer for the subsequent formation of an aluminum layer thereon having a (111) crystallographic orientation.
  • Illustrated silicon integrated circuit structure 10 may comprise, for example, a silicon wafer having an active device formed therein wherein silicon surface 20 may comprise the upper surface of a source or drain region of an MOS device formed in the silicon wafer or the upper surface of a collector or base region of a bipolar transistor formed in the silicon wafer.
  • silicon structure 10 (and silicon surface 20 thereon) may, for example, comprise a polysilicon contact formed over other layers of the integrated circuit structure to provide electrical contact to an active device; or silicon structure 10 may comprise some other type of polysilicon interconnecting structure.
  • an oxide layer 30 is shown formed over silicon surface 20 with an opening 34 formed therein to permit formation of an electrical contact or via to an aluminum layer or structure which will subsequently be formed over oxide layer 30. It will be understood, however, that the presence or absence of such an oxide layer has nothing to do with the practice of this invention.
  • an initial layer 40 of titanium is formed over the entire structure by any conventional deposition technique such as CVD or PVD (sputter deposition) to a thickness which may range from about 50 to about 1000 Angstroms, preferably from about 100 to about 500 Angstroms, and typically about 200 Angstroms.
  • CVD chemical vapor deposition
  • PVD sputter deposition
  • a layer 50 of titanium nitride is sputtered over titanium layer 40 to form the structure shown in FIG. 2.
  • Sputtered titanium nitride layer 50 must be formed thick enough to function as the barrier layer between silicon surface 20 and a subsequently applied aluminum layer to prevent spiking of the aluminum into silicon structure 10.
  • Sputtered titanium nitride layer 50 is, therefore, formed with a thickness ranging from about 500 to about 1500 Angstroms, preferably from about 700 to about 1000 Angstroms, and typically to a thickness of about 800 Angstroms.
  • Titanium nitride layer 50 may be formed in the same chamber used for deposition of titanium layer 40 or in a sputter chamber in the same or a different apparatus. It must be noted, however, that since titanium layer 40 has not, as yet been annealed, resulting in reaction between titanium layer 40 and silicon surface 20 to form the desired titanium silicide contact thereon, it is important that titanium layer 40 not come into contact with an oxygen-bearing atmosphere. Thus, if it is necessary to move silicon structure 10 from one chamber or apparatus to another, after deposition of titanium layer 40 thereon, it is important that any such movement of structure 10 be carried out under oxygen-free conditions.
  • a second titanium layer 60 is deposited over titanium nitride layer 50 as shown in FIG. 3.
  • Second titanium layer 60 is deposited to a thickness ranging from about 100 to about 500 Angstroms, preferably from about 300 to about 400 Angstroms.
  • Second titanium layer 60 may be deposited over titanium nitride layer 50 in the same manner as previously discussed for the formation of first titanium layer 40.
  • titanium nitride layer 50 is treated in the same manner as titanium layer 40 with respect to exposure to oxygen-bearing gases. That is, titanium nitride layer 50 is preferably not exposed to oxygen-bearing gases after its deposition over first titanium layer 40 and prior to the deposition of second titanium layer 60 over titanium nitride layer 50.
  • second titanium layer 60 After deposition of second titanium layer 60, the structure is annealed in a nitrogen-bearing atmosphere to react first titanium 40 with the underlying silicon to form a titanium silicide contact 44 between silicon surface 20 and titanium nitride layer 50; and to react second titanium layer 60 with nitrogen to form a titanium nitride surface 70 having a (111) crystallographic orientation, as shown in the structure of FIG. 4.
  • the structure then may be annealed at an initial annealing temperature preferably ranging from about 300° C. to about 900° C., preferably from about 500° C. to about 800° C., and typically about 700° C.
  • the annealing is carried out under rapid anneal conditions where the wafer is ramped up to the annealing temperature at a rate of from about 5° C. per second to about 150° C. per second, preferably from about 30° C./second to about 80° C./second, and the anneal is carried out for a period of time ranging from about 5 to about 180 seconds, preferably from about 20 to about 60 seconds.
  • the structure is annealed in a nitrogen-bearing atmosphere, e.g. a gaseous mixture containing nitrogen and an inert gas such as argon or helium, and in the absence of oxygen or any oxygen-bearing gases which would react with titanium layer 60 to form titanium oxide which would otherwise interfere with the desired reaction between titanium layer 60 and the nitrogen in the annealing atmosphere to form the desired titanium nitride layer with (111) crystallographic orientation.
  • a nitrogen-bearing atmosphere e.g. a gaseous mixture containing nitrogen and an inert gas such as argon or helium
  • Such a nitrogen-bearing atmosphere may be achieved in the annealing chamber by flowing one or more nitrogen-bearing gases into an annealing chamber at a rate of from about 500 to about 10,000 sccm (depending on the vacuum pump capacity) while maintaining the pressure in the annealing chamber within a range of from about 100 milliTorr to about 800 Torr.
  • the anneal may also be carried out in two steps wherein the annealing temperature in the first step does not exceed about 695° C., following which the structure is subjected to a second annealing at a temperature of from about 800° C. to about 900° C. for from about 5 to about 180 seconds, preferably from about 20 to about 60 seconds, to convert the less stable C49 phase titanium silicide formed in the first annealing step to a more stable C54 phase, as is well know to those skilled in the art.
  • a second annealing at a temperature of from about 800° C. to about 900° C. for from about 5 to about 180 seconds, preferably from about 20 to about 60 seconds, to convert the less stable C49 phase titanium silicide formed in the first annealing step to a more stable C54 phase, as is well know to those skilled in the art.
  • Such two stage annealing is described in copending application Ser. No. 07/510,307, filed Apr. 16, 1990, and assigned to the assignee
  • titanium layer 60 with the nitrogen in the annealing atmosphere to form the (111) crystallographic oriented titanium nitride results in the formation of titanium nitride having the desired crystallographic orientation at surface 70 and adjacent thereto, but not necessarily extending through the entire bulk of previously sputtered underlying titanium nitride layer 50.
  • the structure depicted in FIG. 4 is shown with a single titanium nitride layer identified by the numeral 50, while the surface of layer 50 is identified by numeral 70, it being understood that the (111) crystallographic orientation of the titanium nitride at surface 70 will extend down into titanium nitride layer 50 a distance which may be about equal to the original thickness of titanium layer 60, with such titanium nitride of (111) crystallographic orientation gradually merging into the underlying titanium nitride without a sharp line of demarcation therebetween.
  • an aluminum layer 80 having the desired (111) crystallographic orientation may be conventionally formed over surface 70 by a CVD or PVD process, such as, for example, by sputtering from about 0.1 to about 1.5 microns of aluminum over the entire structure, as shown in FIG. 5.
  • the resulting aluminum layer may then be conventionally patterned to form the desired final aluminum wiring harness or structure.
  • the invention provides a process for the formation of titanium nitride on an integrated circuit structure wherein a silicon surface and a layer of aluminum may be electrically connected together while being separated by titanium nitride which functions as a barrier layer of sufficient thickness to inhibit spiking of aluminum through the titanium nitride to the underlying silicon, and has a (111) crystallographic surface on the titanium nitride which will promote subsequent formation of aluminum thereon having such (111) crystallographic orientation.

Abstract

A process is described for forming, over a silicon surface, a titanium nitride barrier layer having a surface of (111) crystallographic orientation. The process comprises: depositing a first titanium layer over a silicon surface; sputtering a titanium nitride layer over the titanium layer; depositing a second titanium layer over the sputtered titanium nitride layer; and then annealing the structure in the presence of a nitrogen-bearing gas, and in the absence of an oxygen-bearing gas, to form the desired titanium nitride having a surface of (111) crystallographic orientation and a sufficient thickness to provide protection of the underlying silicon against spiking of the aluminum. When an aluminum layer is subsequently formed over the (111) oriented titanium nitride surface, the aluminum will then assume the same (111) crystallographic orientation, resulting in an aluminum layer having enhanced resistance to electromigration.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This application is a continuation of application Ser. No. 08/253,515, filed Jun. 3, 1994 U.S. Pat. No. 5,434,044; as a division of application Ser. No. 08/065,309, filed May 21, 1993, and issued as U.S. Pat. No. 5,350,996 on Nov. 1, 1994; as a continuation of application Ser. No. 07/735,397, filed Jul. 24, 1991, and issued as U.S. Pat. No. 5,242,860 on Sep. 7, 1993.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to a method for forming a titanium nitride (TiN) barrier layer having a (111) crystallographic orientation on an integrated circuit structure.
2. Description of the Related Art
In the formation of integrated circuit structures, aluminum is used for forming the electrical connections or wiring between active and/or passive devices comprising the integrated circuit structure. Conventionally this involves the use of aluminum which is electrically connected to underlying silicon in the structure. While the aluminum and silicon are electrically connected together, it has become the practice to use intermediate electrically conductive layers interposed between the silicon and aluminum to respectively provide better electrical connection to the silicon, and to provide a physical (metallurgical) barrier between the silicon and aluminum to prevent spiking of the aluminum into the silicon, i.e., migration of aluminum atoms into the underlying silicon, which can interfere with the performance and reliability of the resulting integrated circuit structure.
Conventionally, one method which has been used to accomplish this has been to deposit a layer of titanium over a silicon surface, e.g., to form a contact with the silicon, and then to anneal the titanium-coated structure in the presence of nitrogen whereby a titanium silicide layer forms over the exposed silicon to form a good electrical contact with the silicon and titanium nitride forms over the titanium silicide as the surface of the titanium layer reacts with the nitrogen atmosphere.
While this method does accomplish the formation of a good electrical contact to the silicon, by formation of the titanium silicide, it often does not result in a satisfactory formation of a barrier layer of titanium nitride over the titanium silicide. This is because the simultaneous formation of both the titanium silicide and the titanium nitride from the same titanium layer results in competing reactions wherein more of the titanium reacts with the silicon, resulting in the formation of a layer of titanium nitride of insufficient thickness to provide the desired barrier protection against aluminum spiking.
One prior art solution to this problem has been to form the titanium silicide barrier layer first and then to sputter additional titanium nitride over the titanium silicide or titanium silicide/titanium nitride layer. In this way a sufficient thickness of titanium nitride may be formed to provide the desired barrier layer.
While the above method results in satisfactory formation of a titanium silicide contact layer and a titanium nitride barrier layer over the silicide, and beneath the subsequently deposited aluminum, an additional problem has been encountered involving electromigration of aluminum atoms in the aluminum layer, during subsequent operation of the integrated circuit structure, if the aluminum layer is not formed with a (111) crystallographic orientation. Such electromigration of the aluminum atoms can result in open circuits in the integrated circuit structure and, therefore, such electromigration must be inhibited or eliminated.
Formation of titanium nitride by the nitration of titanium will result in formation of a titanium nitride layer having a (111) crystallographic orientation. However, as discussed above, formation of such a titanium nitride from titanium deposited over silicon does not result in formation of a sufficiently thick titanium nitride barrier layer.
Conversely, while sputter deposition of titanium nitride will form the desired thickness of titanium nitride barrier layer, the crystallographic orientation of sputtered titanium nitride is usually either (200) or polycrystalline.
It would, therefore, be desirable to form a titanium nitride barrier layer over a silicon surface with a titanium nitride surface having a (111) crystallographic orientation, whereby spiking of aluminum through such a titanium nitride layer would be inhibited or eliminated, yet the formation of aluminum of (111) crystallographic orientation would be promoted by the nucleation sites provided by the (111) crystallographic orientation of the underlying titanium nitride surface.
SUMMARY OF THE INVENTION
The invention, therefore, comprises a process for forming, over a silicon surface, a titanium nitride barrier layer having a surface of (111) crystallographic orientation which comprises: depositing a first titanium layer over a silicon surface; sputtering a titanium nitride layer over the titanium layer; depositing a second titanium layer over the sputtered titanium nitride layer; and annealing the structure in the presence of a nitrogen-bearing gas, and in the absence of an oxygen-bearing gas, to form the desired titanium nitride having a surface of (111) crystallographic orientation and a sufficient thickness to provide protection of the underlying silicon against spiking of the aluminum.
During the anneal, the first titanium layer reacts with the underlying silicon to form the desired titanium silicide electrical contact, while the second titanium layer reacts with the nitrogen in the nitrogen-bearing atmosphere to form titanium nitride of (111) crystallographic orientation.
The sputtered intermediate titanium nitride layer functions both to form the desired barrier layer as well as to separate the two titanium layers during the annealing step so that the respective titanium silicide and (111) titanium nitride structures may be formed independently by respective reactions of the respective titanium layers with the underlying silicon and the nitrogen-bearing atmosphere. An aluminum layer subsequently formed over the (111) oriented titanium nitride surface will then assume the same (111) crystallographic orientation, resulting in an aluminum layer having enhanced resistance to electromigration.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a vertical fragmentary cross-sectional view of the first step of the process showing the deposition of a first layer of titanium over a silicon surface.
FIG. 2 is a vertical fragmentary cross-sectional view of the second step of the process showing a layer of titanium nitride sputter deposited over the first layer of titanium.
FIG. 3 is a vertical fragmentary cross-sectional view of the third step of the process showing the deposition of a second layer of titanium over the sputter deposited titanium nitride layer.
FIG. 4 is a vertical fragmentary cross-sectional view of the fourth step of the process showing the formation of a titanium silicide layer over the silicon surface by reaction of the silicon with the first layer of titanium during an annealing step, and the simultaneous formation of a titanium nitride layer of (111) crystallographic orientation over the sputter deposited titanium nitride layer by reaction of the second layer of titanium with a nitrogen-bearing gas in the absence of any oxygen-bearing gases during the annealing step.
FIG. 5 shows the structure of FIG. 4 with an aluminum layer of (111) crystallographic orientation shown formed over the (111) oriented titanium nitride surface.
FIG. 6 is a flowsheet illustrating the process of the invention.
DETAILED DESCRIPTION OF THE INVENTION
The invention comprises a method for forming a titanium nitride barrier layer over a silicon surface which is capable of preventing spiking from a subsequently deposited aluminum layer over the titanium nitride barrier layer, and which will have a surface of (111) crystallographic orientation whereby the titanium nitride layer may also function as a nucleation layer for the subsequent formation of an aluminum layer thereon having a (111) crystallographic orientation.
Referring now to FIG. 1, an integrated circuit structure comprising silicon material is shown at 10 having a silicon surface 20 thereon. Illustrated silicon integrated circuit structure 10 may comprise, for example, a silicon wafer having an active device formed therein wherein silicon surface 20 may comprise the upper surface of a source or drain region of an MOS device formed in the silicon wafer or the upper surface of a collector or base region of a bipolar transistor formed in the silicon wafer. Alternatively, silicon structure 10 (and silicon surface 20 thereon) may, for example, comprise a polysilicon contact formed over other layers of the integrated circuit structure to provide electrical contact to an active device; or silicon structure 10 may comprise some other type of polysilicon interconnecting structure.
In the illustrated embodiment, an oxide layer 30 is shown formed over silicon surface 20 with an opening 34 formed therein to permit formation of an electrical contact or via to an aluminum layer or structure which will subsequently be formed over oxide layer 30. It will be understood, however, that the presence or absence of such an oxide layer has nothing to do with the practice of this invention.
As shown in FIG. 1, an initial layer 40 of titanium is formed over the entire structure by any conventional deposition technique such as CVD or PVD (sputter deposition) to a thickness which may range from about 50 to about 1000 Angstroms, preferably from about 100 to about 500 Angstroms, and typically about 200 Angstroms.
After formation of titanium layer 40, a layer 50 of titanium nitride is sputtered over titanium layer 40 to form the structure shown in FIG. 2. Sputtered titanium nitride layer 50 must be formed thick enough to function as the barrier layer between silicon surface 20 and a subsequently applied aluminum layer to prevent spiking of the aluminum into silicon structure 10. Sputtered titanium nitride layer 50 is, therefore, formed with a thickness ranging from about 500 to about 1500 Angstroms, preferably from about 700 to about 1000 Angstroms, and typically to a thickness of about 800 Angstroms.
Titanium nitride layer 50 may be formed in the same chamber used for deposition of titanium layer 40 or in a sputter chamber in the same or a different apparatus. It must be noted, however, that since titanium layer 40 has not, as yet been annealed, resulting in reaction between titanium layer 40 and silicon surface 20 to form the desired titanium silicide contact thereon, it is important that titanium layer 40 not come into contact with an oxygen-bearing atmosphere. Thus, if it is necessary to move silicon structure 10 from one chamber or apparatus to another, after deposition of titanium layer 40 thereon, it is important that any such movement of structure 10 be carried out under oxygen-free conditions.
After formation of titanium nitride barrier layer 50, a second titanium layer 60 is deposited over titanium nitride layer 50 as shown in FIG. 3. Second titanium layer 60 is deposited to a thickness ranging from about 100 to about 500 Angstroms, preferably from about 300 to about 400 Angstroms. Second titanium layer 60 may be deposited over titanium nitride layer 50 in the same manner as previously discussed for the formation of first titanium layer 40.
Preferably, titanium nitride layer 50 is treated in the same manner as titanium layer 40 with respect to exposure to oxygen-bearing gases. That is, titanium nitride layer 50 is preferably not exposed to oxygen-bearing gases after its deposition over first titanium layer 40 and prior to the deposition of second titanium layer 60 over titanium nitride layer 50.
After deposition of second titanium layer 60, the structure is annealed in a nitrogen-bearing atmosphere to react first titanium 40 with the underlying silicon to form a titanium silicide contact 44 between silicon surface 20 and titanium nitride layer 50; and to react second titanium layer 60 with nitrogen to form a titanium nitride surface 70 having a (111) crystallographic orientation, as shown in the structure of FIG. 4.
It should be noted that, as previously discussed with respect to first titanium layer 40, appropriate measures must be taken to protect titanium layer 60 from exposure to any oxygen-bearing gases prior to the annealing step to avoid formation of titanium oxides.
The structure then may be annealed at an initial annealing temperature preferably ranging from about 300° C. to about 900° C., preferably from about 500° C. to about 800° C., and typically about 700° C. Preferably, the annealing is carried out under rapid anneal conditions where the wafer is ramped up to the annealing temperature at a rate of from about 5° C. per second to about 150° C. per second, preferably from about 30° C./second to about 80° C./second, and the anneal is carried out for a period of time ranging from about 5 to about 180 seconds, preferably from about 20 to about 60 seconds. In accordance with the invention the structure is annealed in a nitrogen-bearing atmosphere, e.g. a gaseous mixture containing nitrogen and an inert gas such as argon or helium, and in the absence of oxygen or any oxygen-bearing gases which would react with titanium layer 60 to form titanium oxide which would otherwise interfere with the desired reaction between titanium layer 60 and the nitrogen in the annealing atmosphere to form the desired titanium nitride layer with (111) crystallographic orientation. Such a nitrogen-bearing atmosphere may be achieved in the annealing chamber by flowing one or more nitrogen-bearing gases into an annealing chamber at a rate of from about 500 to about 10,000 sccm (depending on the vacuum pump capacity) while maintaining the pressure in the annealing chamber within a range of from about 100 milliTorr to about 800 Torr.
The anneal may also be carried out in two steps wherein the annealing temperature in the first step does not exceed about 695° C., following which the structure is subjected to a second annealing at a temperature of from about 800° C. to about 900° C. for from about 5 to about 180 seconds, preferably from about 20 to about 60 seconds, to convert the less stable C49 phase titanium silicide formed in the first annealing step to a more stable C54 phase, as is well know to those skilled in the art. Such two stage annealing is described in copending application Ser. No. 07/510,307, filed Apr. 16, 1990, and assigned to the assignee of this invention.
It should be noted that the reaction of titanium layer 60 with the nitrogen in the annealing atmosphere to form the (111) crystallographic oriented titanium nitride results in the formation of titanium nitride having the desired crystallographic orientation at surface 70 and adjacent thereto, but not necessarily extending through the entire bulk of previously sputtered underlying titanium nitride layer 50.
However, since only surface 70 of the titanium nitride and a region extending a few Angstroms beneath surface 70, will be capable of acting as nucleation sites for subsequently deposited aluminum to cause the formation of (111) crystallographically oriented aluminum, it is not necessary that all of the underlying titanium nitride, which is principally present to act as a barrier layer, have such crystallographic orientation.
In view of this, the structure depicted in FIG. 4 is shown with a single titanium nitride layer identified by the numeral 50, while the surface of layer 50 is identified by numeral 70, it being understood that the (111) crystallographic orientation of the titanium nitride at surface 70 will extend down into titanium nitride layer 50 a distance which may be about equal to the original thickness of titanium layer 60, with such titanium nitride of (111) crystallographic orientation gradually merging into the underlying titanium nitride without a sharp line of demarcation therebetween.
After formation of the (111) crystallographically oriented titanium nitride surface 70, an aluminum layer 80, having the desired (111) crystallographic orientation may be conventionally formed over surface 70 by a CVD or PVD process, such as, for example, by sputtering from about 0.1 to about 1.5 microns of aluminum over the entire structure, as shown in FIG. 5. The resulting aluminum layer may then be conventionally patterned to form the desired final aluminum wiring harness or structure.
Thus, the invention provides a process for the formation of titanium nitride on an integrated circuit structure wherein a silicon surface and a layer of aluminum may be electrically connected together while being separated by titanium nitride which functions as a barrier layer of sufficient thickness to inhibit spiking of aluminum through the titanium nitride to the underlying silicon, and has a (111) crystallographic surface on the titanium nitride which will promote subsequent formation of aluminum thereon having such (111) crystallographic orientation.

Claims (12)

Having thus described the invention what is claimed is:
1. A process for forming, over a silicon surface, a titanium nitride barrier layer having a surface of (111) crystallographic orientation which comprises:
a) depositing a first titanium layer over a silicon surface;
b) depositing a titanium nitride layer over said first titanium layer;
c) depositing a second titanium layer over said titanium nitride layer which is thinner than said titanium nitride layer;
d) annealing the structure in the presence of a nitrogen-bearing gas, and in the absence of an oxygen-bearing gas to form titanium nitride having a surface of (111) crystallographic orientation from said second titanium layer; and
e) wherein the combined thicknesses of the titanium nitride layer deposited in step (b) and the titanium layer deposited in step (c) are sufficient for the resulting titanium nitride barrier layer, after completion of the annealing step, to protect the underlying silicon against spiking by aluminum subsequently deposited over said titanium nitride barrier layer.
2. The process of claim 1 which further includes the formation of titanium silicide by reaction of said first titanium layer with said underlying silicon surface to form a titanium silicide electrical contact between said silicon surface and said titanium nitride.
3. The process of claim 2 including the further step of forming an aluminum layer over said (111) oriented titanium nitride surface, whereby said aluminum layer will assume the (111) crystallographic orientation of said titanium nitride surface thereunder, resulting in an aluminum layer having enhanced resistance to electromigration.
4. The process of claim 1 wherein said step of annealing said structure further comprises annealing said structure at a temperature ranging from about 300° C. to about 900° C.
5. The process of claim 1 wherein said step of annealing said structure further comprises annealing said structure in an annealing chamber maintained at a pressure within a range of from about 100 milli-Torr to about 800 Torr.
6. The process of claim 1 wherein said annealing step is carried out in an annealing chamber while flowing one or more nitrogen-bearing gases into said chamber at a rate of from about 500 to about 10,000 sccm.
7. The process of claim 6 wherein said step of annealing said structure further comprises annealing said structure under rapid anneal conditions where the structure is ramped up to an annealing temperature ranging from about 300° C. to about 900° C. at a rate of from about 5° C. per second to about 150° C. per second, and then maintaining said structure at said temperature for a period ranging from about 5 to about 180 seconds.
8. The process of claim 6 wherein said step of annealing said structure further comprises annealing said structure under rapid anneal conditions where the structure is ramped up to an annealing temperature ranging from about 500° C. to about 800° C. at a rate of from about 30° C. per second to about 80° C. per second, and then maintaining said structure at said temperature for a period ranging from about 20 to about 60 seconds.
9. The process of claim 6 wherein said annealing step comprises:
a) first annealing said structure under rapid anneal conditions where the structure is ramped up to a first annealing temperature ranging from about 300° C. to about 695° C. at a rate of from about 5° C. per second to about 150° C. per second, and maintaining said structure at said first annealing temperature for a period ranging from about 5 to about 180 seconds; and
b) then raising said annealing temperature up to from about 800° C. to about 900° C. after said initial anneal for an additional time period of from about 5 to about 180 seconds to convert the less stable titanium silicide formed in the first annealing step to a more stable phase.
10. The process of claim 1 wherein said annealing step is carried out after said deposition of said second layer of titanium without exposing said second layer of titanium to an oxygen-bearing gas between said deposition and annealing steps.
11. The process of claim 1 wherein said step of depositing said titanium nitride is carried out after said deposition of said first layer of titanium without exposing said first layer of titanium to an oxygen-bearing gas before said layer of titanium nitride is deposited thereon.
12. The process of claim 1 wherein said step of depositing said second layer of titanium over said layer of titanium nitride is carried out without exposing said layer of titanium nitride to an oxygen-bearing gas before said second layer of titanium is deposited thereon.
US08/377,566 1991-07-24 1995-01-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation Expired - Lifetime US5521120A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/377,566 US5521120A (en) 1991-07-24 1995-01-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US07/735,397 US5242860A (en) 1991-07-24 1991-07-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US08/065,309 US5360996A (en) 1991-07-24 1993-05-21 Titanium nitride/titanium silicide multiple layer barrier with preferential (111) crystallographic orientation on titanium nitride surface
US08/253,515 US5434044A (en) 1991-07-24 1994-06-03 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US08/377,566 US5521120A (en) 1991-07-24 1995-01-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/253,515 Continuation US5434044A (en) 1991-07-24 1994-06-03 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation

Publications (1)

Publication Number Publication Date
US5521120A true US5521120A (en) 1996-05-28

Family

ID=24955612

Family Applications (4)

Application Number Title Priority Date Filing Date
US07/735,397 Expired - Fee Related US5242860A (en) 1991-07-24 1991-07-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US08/065,309 Expired - Lifetime US5360996A (en) 1991-07-24 1993-05-21 Titanium nitride/titanium silicide multiple layer barrier with preferential (111) crystallographic orientation on titanium nitride surface
US08/253,515 Expired - Fee Related US5434044A (en) 1991-07-24 1994-06-03 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US08/377,566 Expired - Lifetime US5521120A (en) 1991-07-24 1995-01-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US07/735,397 Expired - Fee Related US5242860A (en) 1991-07-24 1991-07-24 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US08/065,309 Expired - Lifetime US5360996A (en) 1991-07-24 1993-05-21 Titanium nitride/titanium silicide multiple layer barrier with preferential (111) crystallographic orientation on titanium nitride surface
US08/253,515 Expired - Fee Related US5434044A (en) 1991-07-24 1994-06-03 Method for the formation of tin barrier layer with preferential (111) crystallographic orientation

Country Status (6)

Country Link
US (4) US5242860A (en)
EP (1) EP0525637B1 (en)
JP (1) JPH0777206B2 (en)
KR (1) KR100255704B1 (en)
DE (1) DE69209182T2 (en)
ES (1) ES2096680T3 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668403A (en) * 1994-07-29 1997-09-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with reduced leakage current
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US6156645A (en) * 1996-10-25 2000-12-05 Cypress Semiconductor Corporation Method of forming a metal layer on a substrate, including formation of wetting layer at a high temperature
US6191032B1 (en) * 1997-02-04 2001-02-20 Advanced Micro Devices, Inc. Thin titanium film as self-regulating filter for silicon migration into aluminum metal lines
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6627547B2 (en) 1996-08-01 2003-09-30 Cypress Semiconductor Corporation Hot metallization process
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
DE10240116A1 (en) * 2002-08-30 2004-03-11 Advanced Micro Devices, Inc., Sunnyvale Production of a layer with a high melting metal nitride used in the production of local connecting barrier layers in metal connecting structures of integrated circuits
US20040072945A1 (en) * 2002-10-09 2004-04-15 Sternagel Fleischer Godemeyer & Partner Latex and its preparation
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US20040219369A1 (en) * 2003-05-02 2004-11-04 Diwakar Garg Diffusion barrier layers and methods comprising same for depositing metal films by CVD or ALD processes
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
US20050110291A1 (en) * 2003-07-11 2005-05-26 Nexx Systems Packaging, Llc Ultra-thin wafer handling system
US20050208767A1 (en) * 1997-11-26 2005-09-22 Applied Materials, Inc. Method of depositing a tantalum nitride / tantalum diffusion barrier layer system
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US20060076680A1 (en) * 2004-09-30 2006-04-13 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US7037830B1 (en) 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US20090130466A1 (en) * 2007-11-16 2009-05-21 Air Products And Chemicals, Inc. Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor
US9530736B2 (en) * 2014-02-14 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4998157A (en) * 1988-08-06 1991-03-05 Seiko Epson Corporation Ohmic contact to silicon substrate
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5858868A (en) * 1992-05-08 1999-01-12 Yamaha Corporation Method of manufacturing a laminated wiring structure preventing impurity diffusion therein from N+ and P+ regions in CMOS device with ohmic contact
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
KR960002061B1 (en) * 1992-10-05 1996-02-10 삼성전자주식회사 Metalization method of semiconductor device
JP3587537B2 (en) 1992-12-09 2004-11-10 株式会社半導体エネルギー研究所 Semiconductor device
KR970001883B1 (en) * 1992-12-30 1997-02-18 삼성전자 주식회사 Semiconductor device and method for manufacturing the same
JPH06268083A (en) * 1993-03-11 1994-09-22 Sony Corp Wiring of semiconductor device
KR100320364B1 (en) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 Metal wiring and its formation method
KR960015564B1 (en) * 1993-04-16 1996-11-18 현대전자산업 주식회사 Metal wiring method of semiconductor device
KR960011865B1 (en) * 1993-06-10 1996-09-03 삼성전자 주식회사 Manufacturing method of metal layer of semiconductor device
JP3395299B2 (en) * 1993-11-08 2003-04-07 ソニー株式会社 Semiconductor device wiring structure and wiring forming method
JP2797933B2 (en) * 1993-11-30 1998-09-17 日本電気株式会社 Method for manufacturing semiconductor device
KR0162673B1 (en) * 1994-01-11 1998-12-01 문정환 Manufacture of conducting layer and semiconductor device
US5550084A (en) * 1994-01-19 1996-08-27 Advanced Micro Devices, Inc. Integrated circuit fabrication using a metal silicide having a sputterdeposited metal nitride layer
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
DE19515564B4 (en) * 1994-04-28 2008-07-03 Denso Corp., Kariya Electrode for a semiconductor device and method of making the same
US6770924B1 (en) * 1994-05-13 2004-08-03 Micron Technology, Inc. Amorphous TiN films for an integrated capacitor dielectric/bottom plate using high dielectric constant materials
JP3337825B2 (en) * 1994-06-29 2002-10-28 三菱電機株式会社 Semiconductor device having internal wiring and method of manufacturing the same
JP3280803B2 (en) * 1994-08-18 2002-05-13 沖電気工業株式会社 Semiconductor device and manufacturing method thereof
JP3586899B2 (en) * 1994-09-22 2004-11-10 ソニー株式会社 Semiconductor device and manufacturing method thereof
US5739046A (en) * 1994-09-30 1998-04-14 United Microelectronics Corporation Method of making a reliable barrier layer
JPH08107087A (en) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JP2689931B2 (en) * 1994-12-29 1997-12-10 日本電気株式会社 Sputtering method
US5600182A (en) * 1995-01-24 1997-02-04 Lsi Logic Corporation Barrier metal technology for tungsten plug interconnection
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5738917A (en) * 1995-02-24 1998-04-14 Advanced Micro Devices, Inc. Process for in-situ deposition of a Ti/TiN/Ti aluminum underlayer
KR0148325B1 (en) * 1995-03-04 1998-12-01 김주용 Formation method of metal layer in semiconductor device
US5494860A (en) * 1995-03-14 1996-02-27 International Business Machines Corporation Two step annealing process for decreasing contact resistance
JP2751859B2 (en) * 1995-03-15 1998-05-18 日本電気株式会社 Method for manufacturing semiconductor device
EP0735586B1 (en) * 1995-03-28 2002-12-11 Texas Instruments Incorporated Semi-conductor structures
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JPH09115829A (en) * 1995-10-17 1997-05-02 Nissan Motor Co Ltd Semiconductor device with aluminium wiring part and method of manufacturing
US5591672A (en) * 1995-10-27 1997-01-07 Vanguard International Semiconductor Corporation Annealing of titanium - titanium nitride in contact hole
US5776831A (en) * 1995-12-27 1998-07-07 Lsi Logic Corporation Method of forming a high electromigration resistant metallization system
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US6017144A (en) * 1996-03-05 2000-01-25 Applied Materials, Inc. Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer
US6054382A (en) * 1996-03-28 2000-04-25 Texas Instruments Incorporated Method of improving texture of metal films in semiconductor integrated circuits
EP0799903A3 (en) * 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6049133A (en) * 1996-06-27 2000-04-11 Advanced Micro Devices, Inc. Semiconductor fabrication employing concurrent diffusion barrier and salicide formation
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US5924010A (en) * 1996-10-30 1999-07-13 United Microelectronics Corp. Method for simultaneously fabricating salicide and self-aligned barrier
US5679585A (en) * 1996-11-15 1997-10-21 Advanced Micro Devices, Inc. Method for forming metal silicide on a semiconductor surface with minimal effect on pre-existing implants
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
JP3751392B2 (en) * 1996-12-27 2006-03-01 長野計器株式会社 Electrode structure of semiconductor element and manufacturing method thereof
US6174806B1 (en) 1997-01-28 2001-01-16 Micron Technology, Inc. High pressure anneals of integrated circuit structures
US5911113A (en) 1997-03-18 1999-06-08 Applied Materials, Inc. Silicon-doped titanium wetting layer for aluminum plug
TW460597B (en) 1997-03-27 2001-10-21 Applied Materials Inc A barrier layer structure for use in semiconductors and a method of producing an aluminum-comprising layer having a 111 crystal orientation
US6080665A (en) * 1997-04-11 2000-06-27 Applied Materials, Inc. Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US5943601A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Process for fabricating a metallization structure
TW353206B (en) 1997-05-17 1999-02-21 United Microelectronics Corp Process for producing self-aligned salicide having high temperature stability
US5960303A (en) * 1997-06-23 1999-09-28 Micron Technology, Inc. Process of forming titanium silicide interconnects
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US6087234A (en) * 1997-12-19 2000-07-11 Texas Instruments - Acer Incorporated Method of forming a self-aligned silicide MOSFET with an extended ultra-shallow S/D junction
US6376369B1 (en) 1998-02-12 2002-04-23 Micron Technology, Inc. Robust pressure aluminum fill process
US6100186A (en) 1998-04-14 2000-08-08 Micron Technology, Inc. Method of selectively forming a contact in a contact hole
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
JP2000133712A (en) * 1998-08-18 2000-05-12 Seiko Epson Corp Manufacture of semiconductor device
US6638856B1 (en) 1998-09-11 2003-10-28 Cypress Semiconductor Corporation Method of depositing metal onto a substrate
US6207568B1 (en) 1998-11-27 2001-03-27 Taiwan Semiconductor Manufacturing Company Ionized metal plasma (IMP) method for forming (111) oriented aluminum containing conductor layer
US6165855A (en) * 1998-12-04 2000-12-26 Advanced Micro Devices, Inc. Antireflective coating used in the fabrication of microcircuit structures in 0.18 micron and smaller technologies
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
JP3353727B2 (en) * 1998-12-21 2002-12-03 日本電気株式会社 Method for forming wiring structure of semiconductor device
EP1022352A3 (en) * 1998-12-28 2002-01-02 Infineon Technologies North America Corp. Method for forming metal interconnects with increased electromigration lifetime
GB2349392B (en) * 1999-04-20 2003-10-22 Trikon Holdings Ltd A method of depositing a layer
JP2001060590A (en) 1999-08-20 2001-03-06 Denso Corp Electric wiring of semiconductor device and manufacture thereof
US6342133B2 (en) * 2000-03-14 2002-01-29 Novellus Systems, Inc. PVD deposition of titanium and titanium nitride layers in the same chamber without use of a collimator or a shutter
KR100545538B1 (en) * 2001-01-04 2006-01-25 인피네온 테크놀로지스 아게 Method for manufacturing contact with doped region of semiconductor device
US20040203230A1 (en) * 2002-01-31 2004-10-14 Tetsuo Usami Semiconductor device having multilayered conductive layers
US6777328B2 (en) * 2002-01-31 2004-08-17 Oki Electric Industry Co., Ltd. Method of forming multilayered conductive layers for semiconductor device
US7097921B2 (en) * 2003-05-29 2006-08-29 Macronix International Co., Ltd. Sandwich arc structure for preventing metal to contact from shifting
KR100597649B1 (en) 2004-11-26 2006-07-05 삼성전자주식회사 method for manufacturing semiconductor device with barrier metal and structure of thereof
JP4797717B2 (en) * 2006-03-14 2011-10-19 セイコーエプソン株式会社 Ferroelectric memory device and method for manufacturing ferroelectric memory device
JP4600322B2 (en) * 2006-03-14 2010-12-15 セイコーエプソン株式会社 Method for manufacturing ferroelectric memory device
US9231206B2 (en) 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
CN113223951B (en) * 2020-01-21 2022-12-02 夏泰鑫半导体(青岛)有限公司 Semiconductor processing technology and semiconductor component
KR20220011092A (en) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. Method and system for forming structures including transition metal layers

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4379832A (en) * 1981-08-31 1983-04-12 International Business Machines Corporation Method for making low barrier Schottky devices of the electron beam evaporation of reactive metals
US4545115A (en) * 1980-02-19 1985-10-08 International Business Machines Corporation Method and apparatus for making ohmic and/or Schottky barrier contacts to semiconductor substrates
EP0157052A1 (en) * 1984-03-16 1985-10-09 Genus, Inc. Low resistivity tungsten silicon composite film
US4585517A (en) * 1985-01-31 1986-04-29 Motorola, Inc. Reactive sputter cleaning of semiconductor wafer
US4657628A (en) * 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4676866A (en) * 1985-05-01 1987-06-30 Texas Instruments Incorporated Process to increase tin thickness
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
US4895765A (en) * 1985-09-30 1990-01-23 Union Carbide Corporation Titanium nitride and zirconium nitride coating compositions, coated articles and methods of manufacture
US4897709A (en) * 1988-04-15 1990-01-30 Hitachi, Ltd. Titanium nitride film in contact hole with large aspect ratio
US5049975A (en) * 1989-03-14 1991-09-17 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device
US5162262A (en) * 1989-03-14 1992-11-10 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device and manufactured method thereof
US5175608A (en) * 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
US5187561A (en) * 1989-07-01 1993-02-16 Kabushiki Kaisha Toshiba Metal single crystal line having a particular crystal orientation
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4383003A (en) * 1980-09-22 1983-05-10 General Electric Company Transfer lamination of copper thin sheets and films, method and product
EP0127689B1 (en) * 1983-05-19 1987-08-26 Ibm Deutschland Gmbh Process for manufacturing printed circuits with metallic conductor patterns embedded in the isolating substrate
GB2141582B (en) * 1983-06-16 1986-10-29 Plessey Co Plc A method of producing a layered structure

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4545115A (en) * 1980-02-19 1985-10-08 International Business Machines Corporation Method and apparatus for making ohmic and/or Schottky barrier contacts to semiconductor substrates
US4379832A (en) * 1981-08-31 1983-04-12 International Business Machines Corporation Method for making low barrier Schottky devices of the electron beam evaporation of reactive metals
EP0157052A1 (en) * 1984-03-16 1985-10-09 Genus, Inc. Low resistivity tungsten silicon composite film
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4585517A (en) * 1985-01-31 1986-04-29 Motorola, Inc. Reactive sputter cleaning of semiconductor wafer
US4657628A (en) * 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4676866A (en) * 1985-05-01 1987-06-30 Texas Instruments Incorporated Process to increase tin thickness
US4895765A (en) * 1985-09-30 1990-01-23 Union Carbide Corporation Titanium nitride and zirconium nitride coating compositions, coated articles and methods of manufacture
US4687542A (en) * 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5175608A (en) * 1987-06-30 1992-12-29 Hitachi, Ltd. Method of and apparatus for sputtering, and integrated circuit device
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
US4897709A (en) * 1988-04-15 1990-01-30 Hitachi, Ltd. Titanium nitride film in contact hole with large aspect ratio
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
US5049975A (en) * 1989-03-14 1991-09-17 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device
US5162262A (en) * 1989-03-14 1992-11-10 Mitsubishi Denki Kabushiki Kaisha Multi-layered interconnection structure for a semiconductor device and manufactured method thereof
US5187561A (en) * 1989-07-01 1993-02-16 Kabushiki Kaisha Toshiba Metal single crystal line having a particular crystal orientation
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer

Non-Patent Citations (18)

* Cited by examiner, † Cited by third party
Title
Adams, E. D., et al., "Formation of TiSi2 and TiN during Nitrogen Annealing of Magnetron Sputtered Ti Films", Journal of Vacuum Science Technology, vol. A 3(6), Nov./Dec. 1985, pp. 2264-2267.
Adams, E. D., et al., Formation of TiSi 2 and TiN during Nitrogen Annealing of Magnetron Sputtered Ti Films , Journal of Vacuum Science Technology, vol. A 3(6), Nov./Dec. 1985, pp. 2264 2267. *
Alperin, Michael E., et al., "Development of the Self-Aligned Titanium Silicide Process for VLSI Applications", IEEE Transactions on Electron Devices, vol. ED-32, No. 2, Feb., 1985, pp. 141-149.
Alperin, Michael E., et al., Development of the Self Aligned Titanium Silicide Process for VLSI Applications , IEEE Transactions on Electron Devices, vol. ED 32, No. 2, Feb., 1985, pp. 141 149. *
Bomchil, G., et al., "Influence of Oxygen on the Formation of Refractory Metal Silicides", Thin Solid Films, vol. 140, 1986, pp. 59-70.
Bomchil, G., et al., Influence of Oxygen on the Formation of Refractory Metal Silicides , Thin Solid Films, vol. 140, 1986, pp. 59 70. *
Morgan, A. E., et al., "Formation of Titanium Nitride/Silicide Bilayers by Rapid Thermal Anneal in Nitrogen", Rapid Thermal Processing, Pittsburgh, PA: Publ. Mater. Res. Soc., 1986, pp. 279-287.
Morgan, A. E., et al., Formation of Titanium Nitride/Silicide Bilayers by Rapid Thermal Anneal in Nitrogen , Rapid Thermal Processing, Pittsburgh, PA: Publ. Mater. Res. Soc., 1986, pp. 279 287. *
Okamoto, Tatsuo et al., "Titanium Silicidation by Halogen Lamp Annealing", J. Appl. Phys., vol. 57, No. 12, Jun. 15, 1985, pp. 5251-5255.
Okamoto, Tatsuo et al., Titanium Silicidation by Halogen Lamp Annealing , J. Appl. Phys., vol. 57, No. 12, Jun. 15, 1985, pp. 5251 5255. *
Shatas, Steven C., "HEATPULSE Rapid Thermal Processing for Annealing Refractory Metal Silicides", Workshop on Refractory Metal Silicides for VLSI, San Juan Bautista, CA, Sep. 20-22, 1983.
Shatas, Steven C., HEATPULSE Rapid Thermal Processing for Annealing Refractory Metal Silicides , Workshop on Refractory Metal Silicides for VLSI, San Juan Bautista, CA, Sep. 20 22, 1983. *
Tang, Thomas E., et al., "Titanium Nitride Local Interconnect Technology for VLSI", IEEE Transactions on Electron Devices, vol. ED-34, No. 3, Mar. 1987, pp. 682-688.
Tang, Thomas E., et al., Titanium Nitride Local Interconnect Technology for VLSI , IEEE Transactions on Electron Devices, vol. ED 34, No. 3, Mar. 1987, pp. 682 688. *
Ting, C. Y., "TiN Formed by Evaporation as a Diffusion Barrier Between Al and Si", J. Vac. Sci. Technol., vol. 21, No. 1, May/Jun., 1982, pp. 14-18.
Ting, C. Y., TiN Formed by Evaporation as a Diffusion Barrier Between Al and Si , J. Vac. Sci. Technol., vol. 21, No. 1, May/Jun., 1982, pp. 14 18. *
Tsukamoto, K., et al., "Self-Aligned Titanium Silicidation of Submicron MOS Devices by Rapid Lamp Annealing", IEDM 84, 1984, pp. 130-133.
Tsukamoto, K., et al., Self Aligned Titanium Silicidation of Submicron MOS Devices by Rapid Lamp Annealing , IEDM 84, 1984, pp. 130 133. *

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668403A (en) * 1994-07-29 1997-09-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with reduced leakage current
US6627547B2 (en) 1996-08-01 2003-09-30 Cypress Semiconductor Corporation Hot metallization process
US6156645A (en) * 1996-10-25 2000-12-05 Cypress Semiconductor Corporation Method of forming a metal layer on a substrate, including formation of wetting layer at a high temperature
US6191032B1 (en) * 1997-02-04 2001-02-20 Advanced Micro Devices, Inc. Thin titanium film as self-regulating filter for silicon migration into aluminum metal lines
US5882399A (en) * 1997-08-23 1999-03-16 Applied Materials, Inc. Method of forming a barrier layer which enables a consistently highly oriented crystalline structure in a metallic interconnect
US20070241458A1 (en) * 1997-11-26 2007-10-18 Applied Materials, Inc. Metal / metal nitride barrier layer for semiconductor device applications
US20050208767A1 (en) * 1997-11-26 2005-09-22 Applied Materials, Inc. Method of depositing a tantalum nitride / tantalum diffusion barrier layer system
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US20090053888A1 (en) * 1997-11-26 2009-02-26 Applied Materials, Inc. Method of depositing a diffusion barrier layer which provides an improved interconnect
US7687909B2 (en) 1997-11-26 2010-03-30 Applied Materials, Inc. Metal / metal nitride barrier layer for semiconductor device applications
US6887353B1 (en) * 1997-12-19 2005-05-03 Applied Materials, Inc. Tailored barrier layer which provides improved copper interconnect electromigration resistance
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US7037830B1 (en) 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
DE10240116A1 (en) * 2002-08-30 2004-03-11 Advanced Micro Devices, Inc., Sunnyvale Production of a layer with a high melting metal nitride used in the production of local connecting barrier layers in metal connecting structures of integrated circuits
US20050101120A1 (en) * 2002-08-30 2005-05-12 Fred Hause Method of forming local interconnect barrier layers
US20040072945A1 (en) * 2002-10-09 2004-04-15 Sternagel Fleischer Godemeyer & Partner Latex and its preparation
US7311946B2 (en) 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US7985449B2 (en) 2003-05-02 2011-07-26 Air Products And Chemicals, Inc. Methods for depositing metal films onto diffusion barrier layers by CVD or ALD processes
US7524533B2 (en) 2003-05-02 2009-04-28 Air Products And Chemicals, Inc. Diffusion barrier layers and processes for depositing metal films thereupon by CVD or ALD processes
US20070190779A1 (en) * 2003-05-02 2007-08-16 Air Products And Chemicals, Inc. Diffusion Barrier Layers and Methods Comprising for Depositing Metal Films by CVD or ALD Processes
US20040219369A1 (en) * 2003-05-02 2004-11-04 Diwakar Garg Diffusion barrier layers and methods comprising same for depositing metal films by CVD or ALD processes
US20040234704A1 (en) * 2003-05-02 2004-11-25 Diwakar Garg Diffusion barrier layers and methods comprising for depositing metal films by CVD or ALD processes
US20080075855A1 (en) * 2003-05-02 2008-03-27 Air Products And Chemicals, Inc. Methods For Depositing Metal Films On Diffusion Barrier Layers By CVD Processes
EP1916707A2 (en) 2003-05-02 2008-04-30 Air Products and Chemicals, Inc. Methods for depositing metal films by CVD or ALD processes onto diffusion barrier layers
EP1953809A2 (en) 2003-05-02 2008-08-06 Air Products and Chemicals, Inc. Method for depositing metal films by CVD on diffusion barrier layers
US20050110291A1 (en) * 2003-07-11 2005-05-26 Nexx Systems Packaging, Llc Ultra-thin wafer handling system
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US20060076680A1 (en) * 2004-09-30 2006-04-13 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
US20090130466A1 (en) * 2007-11-16 2009-05-21 Air Products And Chemicals, Inc. Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor
US9530736B2 (en) * 2014-02-14 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US20190252248A1 (en) * 2014-02-14 2019-08-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US10985058B2 (en) * 2014-02-14 2021-04-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof

Also Published As

Publication number Publication date
DE69209182T2 (en) 1996-11-21
JPH0777206B2 (en) 1995-08-16
US5242860A (en) 1993-09-07
KR930003243A (en) 1993-02-24
EP0525637B1 (en) 1996-03-20
KR100255704B1 (en) 2000-05-01
DE69209182D1 (en) 1996-04-25
US5434044A (en) 1995-07-18
ES2096680T3 (en) 1997-03-16
JPH05190493A (en) 1993-07-30
US5360996A (en) 1994-11-01
EP0525637A1 (en) 1993-02-03

Similar Documents

Publication Publication Date Title
US5521120A (en) Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US4502209A (en) Forming low-resistance contact to silicon
US5420072A (en) Method for forming a conductive interconnect in an integrated circuit
US4994410A (en) Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JP2537413B2 (en) Semiconductor device and manufacturing method thereof
US5567647A (en) Method for fabricating a gate electrode structure of compound semiconductor device
US6054382A (en) Method of improving texture of metal films in semiconductor integrated circuits
JP2685679B2 (en) Method for manufacturing semiconductor device
US5202287A (en) Method for a two step selective deposition of refractory metals utilizing SiH4 reduction and H2 reduction
JP3252397B2 (en) Wiring formation method
JPS61133646A (en) Manufacture of semiconductor device
JPH0922907A (en) Forming method for buried conductive layer
US6083830A (en) Process for manufacturing a semiconductor device
JP3328358B2 (en) Method for manufacturing semiconductor device
JP2542617B2 (en) Method for manufacturing semiconductor device
US6316132B1 (en) Structure and method for preventing barrier failure
US5693561A (en) Method of integrated circuit fabrication including a step of depositing tungsten
US5350711A (en) Method of fabricating high temperature refractory metal nitride contact and interconnect structure
EP0225224A2 (en) After oxide metal alloy process
KR100220936B1 (en) Process for forming metal interconnector of semicondctor device
JP2904165B2 (en) Method for manufacturing semiconductor device
JPH05160068A (en) Manufacture of semiconductor device
JP3082230B2 (en) Wiring formation method
JPH07130849A (en) Semiconductor device and its manufacture
JPH01309356A (en) Wiring structure of semiconductor device and its formation

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12