US5700737A - PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination - Google Patents

PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination Download PDF

Info

Publication number
US5700737A
US5700737A US08/606,955 US60695596A US5700737A US 5700737 A US5700737 A US 5700737A US 60695596 A US60695596 A US 60695596A US 5700737 A US5700737 A US 5700737A
Authority
US
United States
Prior art keywords
layer
electrode pattern
silicon nitride
forming
etch stop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/606,955
Inventor
Chen-Hua Yu
Syun-Ming Jang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US08/606,955 priority Critical patent/US5700737A/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JANG, SYUN-MING, YU, CHEN-HUA
Application granted granted Critical
Publication of US5700737A publication Critical patent/US5700737A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Definitions

  • This invention relates to etching a dense electrode pattern having a high aspect ratio in conductor metal, to covering the electrode pattern with an inter-metal dielectric, and to forming via holes in the inter-metal dielectric.
  • the invention relates more particularly to the use of silicon nitride deposited using plasma enhanced chemical vapor deposition as an etch stop and as a mask to remove pattern sensitivity from the formation of the inter-metal dielectric.
  • Inter-metal dielectric formation is discussed in "SILICON PROCESSING FOR THE VLSI ERA--Vol. 2," by S. Wolf, Lattice Press, Sunset Beach, Calif., 1990, pages 198-199. Wolf discusses plasma enhanced chemical vapor deposition and plasma enhanced TEOS to form silicon dioxide and silicon nitride films for an inter-metal dielectric.
  • This invention teaches the use of a silicon nitride film deposited using plasma enhanced chemical vapor deposition.
  • the silicon nitride film forms an etch stop used to achieve dense electrode patterns having a high aspect ratio in a conductor metal layer.
  • the silicon nitride film is also used to remove the pattern sensitivity in the formation of an inter-metal dielectric layer covering dense electrode patterns having a high aspect ratio.
  • the silicon nitride film is also used as an etch stop when etching vias in the inter-metal dielectric.
  • FIG. 1A shows a cross section view of an integrated circuit element substrate 10 having a layer of base dielectric 12 and a layer of metal 14 for the electrode pattern. There is a layer of anti reflection material 16 formed on the layer of metal 14 and a photoresist mask 18 formed on the layer of anti-reflection material 16.
  • etching high aspect ratio electrodes there is a problem etching the electrodes without degrading the width at the top of the electrode in order to completely etch the depth of the electrode.
  • Anti reflection coatings are often used in electrode patterns requiring high resolution and deterioration of the anti reflection coating during etching is also a problem during etching of high aspect ratio electrode patterns.
  • inter-metal dielectric layer 22 As shown in FIG. 1B, after the formation of a dense high aspect ratio electrode pattern 15, with an antireflection coating 17 on the electrodes, it is frequently necessary to form an inter-metal dielectric layer 22 over the electrode pattern 15 in preparation for another electrode pattern layer, not shown, to be formed. Frequently ozone--tetraethyl orthosilicate, O 3 -TEOS, is used to form the inter-metal dielectric 22.
  • O 3 -TEOS ozone--tetraethyl orthosilicate
  • the quality of inter-metal dielectric layer can be affected by the electrode pattern for dense electrode patterns having a high aspect ratio.
  • An oxide under layer 20 using plasma enhanced chemical vapor deposition can be used to reduce the pattern sensitivity of the O 3 -TEOS inter-metal dielectric layer, however this requires extra processing and the pattern sensitivity is not completely eliminated.
  • any irregularities in the thickness of the inter-metal dielectric layer 22 can lead to via holes which either do not open completely or to etching through the anti-reflection coating 17 on that part of the metal electrode 15 directly under the via hole or to the etching away of part of the metal electrode 15 directly under the via hole.
  • FIG. 1B shows a cross section view of an integrated circuit element substrate after using a conventional method to form a dense electrode pattern.
  • FIG. 1C shows a cross section view of an integrated circuit element substrate after forming via holes in the inter-metal dielectric layer using conventional methods.
  • FIG. 2 shows a cross section view of an integrated circuit element substrate with a base dielectric layer, a electrode metal layer, an anti reflection coating, and a silicon nitride layer deposited thereon.
  • FIG. 3 shows a cross section view of an integrated circuit element substrate after formation of the photoresist mask and the silicon nitride etch stop mask of this invention for forming a dense electrode pattern.
  • FIG. 4 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern using the silicon nitride etch stop of this invention.
  • FIG. 5 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern, the silicon nitride etch stop, and the inter-metal dielectric layer.
  • FIG. 6 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern, the silicon nitride etch stop, the inter-metal dielectric layer, and the photoresist mask used to form via holes in the inter-metal dielectric.
  • FIG. 7 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern, the silicon nitride etch stop, the inter-metal dielectric layer, and the via holes in the inter-metal dielectric layer.
  • FIG. 8 shows a cross section view of an integrated circuit element substrate after the via holes in the inter-metal dielectric layer have been filled with via metal.
  • FIG. 2 shows a cross section view of an integrated circuit element substrate 10 with devices, not shown, formed therein and a base dielectric layer 12, such as silicon dioxide, deposited thereon.
  • a layer of conductor metal 14, such as aluminum/copper or the like, is deposited on the base dielectric layer 12.
  • the conductor metal layer 14 has contacts, not shown, through the base dielectric layer 12 to the devices in the integrated circuit element substrate 10 at selected points.
  • An anti reflection coating 16 is formed on the conductor metal layer 14.
  • the anti reflection coating 16 is formed of a material such as titanium nitride, TiN, having a thickness of between about 100 and 1400 Angstroms.
  • a silicon nitride, SiN, layer 30 having a thickness of between about 200 and 2000 Angstroms is deposited on the anti reflection coating 16 using plasma enhanced chemical vapor deposition. The silicon nitride layer 30 will be used to form the etch stop.
  • a photoresist mask 18 of the electrode pattern is then formed on the silicon nitride layer using photolithographic techniques.
  • the electrode pattern is then formed in the silicon nitride layer using the photoresist mask 18 and anisotropic dry etching using CF 4 +CHF 3 as the etchant to form a silicon nitride etch stop mask 31.
  • the electrode pattern is then formed in the antireflection coating 16 and in the conductor metal layer 14 using the photoresist mask 18 and the silicon nitride etch stop mask 31 and anisotropic dry etching using BCl 3 +Cl 2 as the etchant, since this etchant will not etch the silicon nitride.
  • the photoresist mask is then stripped leaving the electrode pattern in the conductor metal 15, the electrode pattern in the anti reflection coating 17, and the silicon nitride etch stop mask 31.
  • the silicon nitride etch stop mask 31 protects the electrode pattern in the anti reflection coating 17 and the electrode pattern in the conductor metal 15 during the etching away of the remainder of the anti reflection coating and the remainder of conductor metal layer.
  • the resulting electrode pattern has a good quality cross section even for high aspect ratio electrode patterns.
  • an inter-metal dielectric layer 22 is deposited on the integrated circuit element substrate 10 covering the base dielectric layer 12, the conductor metal electrode pattern 15, the anti reflection coating electrode pattern 17, and the silicon nitride etch stop mask 31.
  • the inter-metal dielectric layer 22 is a material such as silicon dioxide deposited using ozone--tetra-ethyl-ortho-silicate, O 3 -TEOS, having a thickness of between about 5000 and 10,000 Angstroms.
  • the silicon nitride etch stop mask 31 eliminates any dependency of the quality of the inter-metal dielectric layer 22 on the conductor metal electrode pattern 15 and no oxide under layer is required.
  • FIGS. 5-8 there is shown an embodiment of a method of forming via holes in the inter-level dielectric for achieving electrical conduction between two layers of electrodes.
  • a base dielectric layer 12 such as silicon dioxide
  • that part of the inter-level dielectric layer directly over the first level electrode pattern contact regions is etched away using the photoresist mask 19 having contact holes 33 and anisotropic dry etching using C 4 F 8 +CO as the etchants.
  • the top surface 32 of the inter-metal dielectric 19 may not be planar so that the amount of inter-metal dielectric which must be removed may not be the same for each via hole.
  • the etchants used to etch the silicon dioxide inter-metal dielectric 19 will not significantly etch the silicon nitride etch stop mask 31 so that etching time is not critical and all of the inter-metal dielectric can be removed from the contact hole 36 without damage to the anti reflection coating 17 or the conductor metal 15 directly below the contact hole 36.
  • the silicon nitride etch stop mask is removed using dry etching with CF 4 +CHF 3 +SF 6 as the etchants. Combinations such as CF 4 +CHF 3 , CF 4 +SF 6 , or CHF 3 +SF 6 may also be used as the etchants.
  • the via hole is then filled with a via metal 37, such as aluminum/copper or the like.
  • the integrated circuit element is then ready for the second level electrode pattern, not shown, to be formed on the inter-metal dielectric.

Abstract

This invention provides a method for forming dense electrode patterns having a high aspect ratio in a conductor metal layer. The method uses silicon nitride deposited using plasma enhanced chemical vapor deposition, PECVD, as an etch stop mask to protect the conductor metal and anti reflection coating when etching the electrode patterns. The PECVD silicon nitride is also used a mask to eliminate pattern dependence when forming inter-metal dielectric layers. The PECVD silicon nitride is also used as an etch stop mask when forming vias in the inter-metal dielectric for electrical conduction between electrode pattern layers.

Description

BACKGROUND OF THE INVENTION
(1) Field of the Invention
This invention relates to etching a dense electrode pattern having a high aspect ratio in conductor metal, to covering the electrode pattern with an inter-metal dielectric, and to forming via holes in the inter-metal dielectric. The invention relates more particularly to the use of silicon nitride deposited using plasma enhanced chemical vapor deposition as an etch stop and as a mask to remove pattern sensitivity from the formation of the inter-metal dielectric.
(2) Description of the Related Art
Inter-metal dielectric formation is discussed in "SILICON PROCESSING FOR THE VLSI ERA--Vol. 2," by S. Wolf, Lattice Press, Sunset Beach, Calif., 1990, pages 198-199. Wolf discusses plasma enhanced chemical vapor deposition and plasma enhanced TEOS to form silicon dioxide and silicon nitride films for an inter-metal dielectric.
Methods of filling vias with metal are discussed in "SILICON PROCESSING FOR THE VLSI ERA--Vol. 2," by S. Wolf, Lattice Press, Sunset Beach, Calif., 1990, pages 241-244. Wolf describes methods for filling vias with metal but does not describe the methods taught by the invention of this Patent Application.
This invention teaches the use of a silicon nitride film deposited using plasma enhanced chemical vapor deposition. The silicon nitride film forms an etch stop used to achieve dense electrode patterns having a high aspect ratio in a conductor metal layer. The silicon nitride film is also used to remove the pattern sensitivity in the formation of an inter-metal dielectric layer covering dense electrode patterns having a high aspect ratio. The silicon nitride film is also used as an etch stop when etching vias in the inter-metal dielectric.
SUMMARY OF THE INVENTION
In the manufacture of integrated circuit elements the problem of etching a dense pattern of narrow electrode lines having a high aspect ratio and in insulating those lines with an inter-metal dielectric after they have been etched increases as the circuit density, and thus the pattern density, increases. FIG. 1A shows a cross section view of an integrated circuit element substrate 10 having a layer of base dielectric 12 and a layer of metal 14 for the electrode pattern. There is a layer of anti reflection material 16 formed on the layer of metal 14 and a photoresist mask 18 formed on the layer of anti-reflection material 16. In etching high aspect ratio electrodes there is a problem etching the electrodes without degrading the width at the top of the electrode in order to completely etch the depth of the electrode. Simply increasing the thickness of the photoresist results in degradation of resolution due to the inability to resolve narrow electrode patterns. Anti reflection coatings are often used in electrode patterns requiring high resolution and deterioration of the anti reflection coating during etching is also a problem during etching of high aspect ratio electrode patterns.
As shown in FIG. 1B, after the formation of a dense high aspect ratio electrode pattern 15, with an antireflection coating 17 on the electrodes, it is frequently necessary to form an inter-metal dielectric layer 22 over the electrode pattern 15 in preparation for another electrode pattern layer, not shown, to be formed. Frequently ozone--tetraethyl orthosilicate, O3 -TEOS, is used to form the inter-metal dielectric 22. However, in forming the inter-metal dielectric layer using O3 -TEOS the quality of inter-metal dielectric layer can be affected by the electrode pattern for dense electrode patterns having a high aspect ratio. An oxide under layer 20 using plasma enhanced chemical vapor deposition can be used to reduce the pattern sensitivity of the O3 -TEOS inter-metal dielectric layer, however this requires extra processing and the pattern sensitivity is not completely eliminated.
Additional problems can be encountered in the formation of via holes in the inter-metal dielectric for forming electrical contacts between two levels of electrode patterns. As shown in FIG. 1C, any irregularities in the thickness of the inter-metal dielectric layer 22 can lead to via holes which either do not open completely or to etching through the anti-reflection coating 17 on that part of the metal electrode 15 directly under the via hole or to the etching away of part of the metal electrode 15 directly under the via hole.
It is a principle objective of this invention to provide a method of etching dense electrode patterns having a high aspect ratio which will not degrade the electrode width at the top of the electrode, will not degrade antireflection coatings on the electrodes, and will allow the formation of inter-metal dielectric layers without the need of an oxide under layer.
It is another principle objective of this invention to provide a method of forming via holes in the inter-metal dielectric formed to provide electrical conduction between two layers of electrodes which will be insensitive to variations in the inter-metal dielectric thickness.
These objectives are achieved by means of a method using a silicon nitride etch stop layer, deposited using plasma enhanced chemical vapor deposition, formed on the anti reflection coating prior to forming the photoresist layer for forming the dense electrode pattern prior to etching the dense electrode pattern.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1A shows a cross section view of an integrated circuit element substrate after formation of the photoresist mask for a conventional method of forming dense electrode pattern.
FIG. 1B shows a cross section view of an integrated circuit element substrate after using a conventional method to form a dense electrode pattern.
FIG. 1C shows a cross section view of an integrated circuit element substrate after forming via holes in the inter-metal dielectric layer using conventional methods.
FIG. 2 shows a cross section view of an integrated circuit element substrate with a base dielectric layer, a electrode metal layer, an anti reflection coating, and a silicon nitride layer deposited thereon.
FIG. 3 shows a cross section view of an integrated circuit element substrate after formation of the photoresist mask and the silicon nitride etch stop mask of this invention for forming a dense electrode pattern.
FIG. 4 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern using the silicon nitride etch stop of this invention.
FIG. 5 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern, the silicon nitride etch stop, and the inter-metal dielectric layer.
FIG. 6 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern, the silicon nitride etch stop, the inter-metal dielectric layer, and the photoresist mask used to form via holes in the inter-metal dielectric.
FIG. 7 shows a cross section view of an integrated circuit element substrate after formation of the dense electrode pattern, the silicon nitride etch stop, the inter-metal dielectric layer, and the via holes in the inter-metal dielectric layer.
FIG. 8 shows a cross section view of an integrated circuit element substrate after the via holes in the inter-metal dielectric layer have been filled with via metal.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Refer now to FIGS. 2-4, there is shown the method for forming a dense electrode pattern with a large aspect ratio using the silicon nitride etch stop of this invention. FIG. 2 shows a cross section view of an integrated circuit element substrate 10 with devices, not shown, formed therein and a base dielectric layer 12, such as silicon dioxide, deposited thereon. A layer of conductor metal 14, such as aluminum/copper or the like, is deposited on the base dielectric layer 12. The conductor metal layer 14 has contacts, not shown, through the base dielectric layer 12 to the devices in the integrated circuit element substrate 10 at selected points. An anti reflection coating 16 is formed on the conductor metal layer 14. The anti reflection coating 16 is formed of a material such as titanium nitride, TiN, having a thickness of between about 100 and 1400 Angstroms. A silicon nitride, SiN, layer 30 having a thickness of between about 200 and 2000 Angstroms is deposited on the anti reflection coating 16 using plasma enhanced chemical vapor deposition. The silicon nitride layer 30 will be used to form the etch stop.
As shown in FIG. 3, a photoresist mask 18 of the electrode pattern is then formed on the silicon nitride layer using photolithographic techniques. The electrode pattern is then formed in the silicon nitride layer using the photoresist mask 18 and anisotropic dry etching using CF4 +CHF3 as the etchant to form a silicon nitride etch stop mask 31. The electrode pattern is then formed in the antireflection coating 16 and in the conductor metal layer 14 using the photoresist mask 18 and the silicon nitride etch stop mask 31 and anisotropic dry etching using BCl3 +Cl2 as the etchant, since this etchant will not etch the silicon nitride.
As shown in FIG. 4, the photoresist mask is then stripped leaving the electrode pattern in the conductor metal 15, the electrode pattern in the anti reflection coating 17, and the silicon nitride etch stop mask 31. The silicon nitride etch stop mask 31 protects the electrode pattern in the anti reflection coating 17 and the electrode pattern in the conductor metal 15 during the etching away of the remainder of the anti reflection coating and the remainder of conductor metal layer. The resulting electrode pattern has a good quality cross section even for high aspect ratio electrode patterns.
Next, as shown in FIG. 5, an inter-metal dielectric layer 22 is deposited on the integrated circuit element substrate 10 covering the base dielectric layer 12, the conductor metal electrode pattern 15, the anti reflection coating electrode pattern 17, and the silicon nitride etch stop mask 31. The inter-metal dielectric layer 22 is a material such as silicon dioxide deposited using ozone--tetra-ethyl-ortho-silicate, O3 -TEOS, having a thickness of between about 5000 and 10,000 Angstroms. The silicon nitride etch stop mask 31 eliminates any dependency of the quality of the inter-metal dielectric layer 22 on the conductor metal electrode pattern 15 and no oxide under layer is required.
Refer now to FIGS. 5-8, there is shown an embodiment of a method of forming via holes in the inter-level dielectric for achieving electrical conduction between two layers of electrodes. As shown in FIG. 5, The first part of this embodiment is exactly like the previous embodiment. A base dielectric layer 12, such as silicon dioxide, is formed on an integrated circuit element substrate 10. A first level electrode pattern of conductor metal 15, such as aluminum/copper or the like, having contact regions, is formed on the base dielectric layer 12. An anti reflection coating 17, such as titanium nitride, TiN, having a thickness of between about 50 and 1500 Angstroms, is formed on the conductor metal first level electrode pattern 15 and a silicon nitride etch stop mask 31, having a thickness of between about 200 and 2000 Angstroms, is formed on the anti reflection coating 17. An inter-metal dielectric layer 22, such as silicon dioxide, deposited using O3 -TEOS and having a thickness of between about 5000 and 10,000 Angstroms, is deposited on the integrated circuit element substrate 10 covering the base dielectric layer 12, the conductor metal first level electrode pattern 15, the anti reflection coating 17, and the silicon nitride etch stop mask 31. Up to this point this embodiment is like the previously described embodiment.
As shown in FIG. 6, a photoresist mask 19, having contact holes 33 directly over the contact regions of the conductor metal first level electrode pattern, is formed on the inter-metal dielectric 22. Next, as shown in FIG. 7, that part of the inter-level dielectric layer directly over the first level electrode pattern contact regions is etched away using the photoresist mask 19 having contact holes 33 and anisotropic dry etching using C4 F8 +CO as the etchants. As shown in FIG. 6, the top surface 32 of the inter-metal dielectric 19 may not be planar so that the amount of inter-metal dielectric which must be removed may not be the same for each via hole. The etchants used to etch the silicon dioxide inter-metal dielectric 19 will not significantly etch the silicon nitride etch stop mask 31 so that etching time is not critical and all of the inter-metal dielectric can be removed from the contact hole 36 without damage to the anti reflection coating 17 or the conductor metal 15 directly below the contact hole 36.
Next, as shown in FIG. 8, the silicon nitride etch stop mask is removed using dry etching with CF4 +CHF3 +SF6 as the etchants. Combinations such as CF4 +CHF3, CF4 +SF6, or CHF3 +SF6 may also be used as the etchants. The via hole is then filled with a via metal 37, such as aluminum/copper or the like. The integrated circuit element is then ready for the second level electrode pattern, not shown, to be formed on the inter-metal dielectric.
While the invention has been particularly shown and described with reference to the preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention.

Claims (19)

What is claimed is:
1. A method of forming electrode patterns, comprising the steps of:
providing an integrated circuit element having devices formed therein;
providing a base dielectric layer formed on said integrated circuit element;
forming a conductor metal layer on said base dielectric layer;
forming an antireflection material layer on said conductor metal layer, wherein said antireflection material is titanium nitride;
forming a silicon nitride etch stop layer on said antireflection material layer;
forming a photoresist layer on said silicon nitride etch stop layer;
forming an electrode pattern in said photoresist using photolithographic techniques;
forming said electrode pattern in said silicon nitride etch stop layer by means of anisotropic dry etching using said electrode pattern formed in said photoresist as a mask and a first etchant;
forming said electrode pattern in said antireflection material by means of anisotropic dry etching using said electrode pattern formed in said photoresist and said electrode pattern formed in said silicon nitride etch stop layer as a mask and BCl3 +Cl2 as an etchant;
forming said electrode pattern in said conductor metal by means of anisotropic dry etching using said electrode pattern formed in said photoresist and said electrode pattern formed in said silicon nitride etch stop layer as a mask and a third etchant;
removing said electrode pattern formed in said photoresist; and
forming an inter-metal dielectric layer over said integrated circuit element covering said electrode pattern formed in said silicon nitride, said electrode pattern formed in said antireflection material, said electrode pattern formed in said conductor metal, and said base dielectric layer.
2. The method of claim 1 wherein said silicon nitride etch stop layer is formed using plasma enhanced chemical vapor deposition.
3. The method of claim 1 wherein said silicon nitride layer has a thickness of between about 200 and 2000 Angstroms.
4. The method of claim 1 wherein said antireflection material layer has a thickness of between about 50 and 1500 Angstroms.
5. The method of claim 1 wherein said inter-metal dielectric layer is silicon dioxide formed using ozone--tetra-ethyl-ortho-silicate.
6. The method of claim 1 wherein said conductor metal is aluminum copper.
7. The method of claim 1 wherein said first etchant is CF4 +CHF3.
8. The method of claim 1 wherein said third etchant is BCl3 +Cl2.
9. A method of forming vias in dielectric material, comprising the steps of:
providing an integrated circuit element having devices formed therein;
providing a base dielectric layer formed on said integrated circuit element;
forming a conductor metal layer having contact regions on said base dielectric layer;
forming an antireflection material layer on said conductor metal layer;
forming a silicon nitride etch stop layer on said antireflection material layer formed on said conductor metal layer wherein said antireflection material layer is between said silicon nitride etch stop layer and said conductor metal layer;
forming a first photoresist layer on said silicon nitride etch stop layer;
forming an electrode pattern in said first photoresist layer wherein said electrode pattern includes said contact regions;
forming said electrode pattern in said silicon nitride etch stop layer by means of etching using said electrode pattern formed in said first photoresist layer as a mask;
forming said electrode pattern in said antireflection material layer by means of etching using said electrode pattern formed in said etch stop layer and said electrode pattern formed in said first photoresist layer as a mask;
forming said electrode pattern in said conductor metal layer by means of etching using said electrode pattern formed in said etch stop layer and said electrode pattern formed in said first photoresist layer as a mask;
removing said electrode pattern formed in said first photoresist layer;
forming an inter-metal dielectric layer over said integrated circuit element covering said electrode pattern formed in said conductor metal layer, said antireflection material layer, and said silicon nitride etch stop layer;
forming a layer of second photoresist having holes wherein said holes in said layer of second photoresist are directly over said contact regions of said electrode pattern formed in said conductor metal layer;
forming via holes in said inter-metal dielectric layer directly over said contact regions of said electrode pattern formed in said conductor metal layer by means of dry etching using said layer of second photoresist having holes as a mask and a first etchant wherein said first etchant will not remove said silicon nitride etch stop layer, thereby exposing that part of said silicon nitride etch stop layer directly over said contact regions of said electrode pattern formed in said conductor metal layer;
removing that part of said silicon nitride etch stop layer directly over said contact regions of said electrode pattern formed in said conductor metal layer after said via holes in said inter-metal dielectric layer have been formed by means of dry etching using said layer of second photoresist having holes and said via holes in said inter-metal dielectric layer as a mask and a second etchant;
removing said layer of second photoresist having holes; and
filling said via holes in said inter-metal dielectric layer with a via metal.
10. The method of claim 9 wherein said silicon nitride etch stop layer is formed using plasma enhanced chemical vapor deposition.
11. The method of claim 9 wherein said silicon nitride etch stop layer has a thickness of between about 200 and 2000 Angstroms.
12. The method of claim 9 wherein said antireflection material layer is titanium nitride.
13. The method of claim 9 wherein said antireflection material layer has a thickness of between about 50 and 1500 Angstroms.
14. The method of claim 9 wherein said interlevel dielectric layer is silicon dioxide formed using ozone--tetraethyl orthosilicate.
15. The method of claim 9 wherein said conductor metal layer is aluminum copper.
16. The method of claim 9 wherein said first etchant is C4 F8 +CO.
17. The method of claim 9 wherein said second etchant comprises CF4 and CHF3.
18. The method of claim 9 wherein said second etchant comprises CF4 and SF6.
19. The method of claim 9 wherein said second etchant comprises CHF3 and SF6.
US08/606,955 1996-02-26 1996-02-26 PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination Expired - Lifetime US5700737A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/606,955 US5700737A (en) 1996-02-26 1996-02-26 PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/606,955 US5700737A (en) 1996-02-26 1996-02-26 PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination

Publications (1)

Publication Number Publication Date
US5700737A true US5700737A (en) 1997-12-23

Family

ID=24430218

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/606,955 Expired - Lifetime US5700737A (en) 1996-02-26 1996-02-26 PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination

Country Status (1)

Country Link
US (1) US5700737A (en)

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
US5920793A (en) * 1995-10-31 1999-07-06 Nec Corporation Method for manufacturing a through hole
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
DE19828969A1 (en) * 1998-06-29 1999-12-30 Siemens Ag Manufacturing integrated semiconductor components
US6015751A (en) * 1998-04-06 2000-01-18 Taiwan Semiconductor Manufacturing Company Self-aligned connection to underlayer metal lines through unlanded via holes
US6019906A (en) * 1998-05-29 2000-02-01 Taiwan Semiconductor Manufacturing Company Hard masking method for forming patterned oxygen containing plasma etchable layer
US6066567A (en) * 1997-12-18 2000-05-23 Advanced Micro Devices, Inc. Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US6074905A (en) * 1998-12-28 2000-06-13 Taiwan Semiconductor Manufacturing Company Formation of a thin oxide protection layer at poly sidewall and area surface
US6086777A (en) * 1998-07-02 2000-07-11 Advanced Micro Devices, Inc. Tantalum barrier metal removal by using CF4 /o2 plasma dry etch
US6107687A (en) * 1997-03-18 2000-08-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having interconnection and adhesion layers
US6107208A (en) * 1998-06-04 2000-08-22 Advanced Micro Devices, Inc. Nitride etch using N2 /Ar/CHF3 chemistry
US6162722A (en) * 1999-05-17 2000-12-19 United Microelectronics Corp. Unlanded via process
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6177355B1 (en) * 1999-08-31 2001-01-23 Advanced Micro Devices, Inc. Pad etch process capable of thick titanium nitride arc removal
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6187687B1 (en) * 1998-11-05 2001-02-13 Advanced Micro Devices, Inc. Minimization of line width variation in photolithography
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
US6399508B1 (en) 1999-01-12 2002-06-04 Applied Materials, Inc. Method for metal etch using a dielectric hard mask
DE10140468A1 (en) * 2001-08-17 2003-03-13 Infineon Technologies Ag Method for producing contact holes on a metallization structure
US6544882B1 (en) 2000-01-13 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to improve reliability of multilayer structures of FSG (F-doped SiO2) dielectric layers and aluminum-copper-TiN layers in integrated circuits
US6689690B2 (en) * 2001-07-04 2004-02-10 Fujitsu Limited Semiconductor device manufacturing method of forming an etching stopper film on a diffusion prevention film at a higher temperature
US20040092141A1 (en) * 2001-09-07 2004-05-13 Salmon Peter C. Electronic system modules and method of fabrication
US6833315B1 (en) * 1999-12-31 2004-12-21 United Microelectronics Corp. Removing silicon oxynitride of polysilicon gates in fabricating integrated circuits
US20050003671A1 (en) * 1997-04-02 2005-01-06 United Microelectronics Corporation High density plasma chemical vapor deposition process
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20050059248A1 (en) * 2003-09-12 2005-03-17 Promos Technologies Inc. Two-step GC etch for GC profile and process window improvement
US20050140026A1 (en) * 2001-09-07 2005-06-30 Salmon Peter C. Fabrication methods for electronic system modules
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
WO2005124854A1 (en) * 2004-06-18 2005-12-29 Infineon Technologies Ag Method for producing a layer arrangement
US20060054962A1 (en) * 2002-12-19 2006-03-16 Matrix Semiconductor, Inc. Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US20060189077A1 (en) * 2002-12-19 2006-08-24 Sandisk 3D Llc Method for making high-density nonvolatile memory
US20080026510A1 (en) * 2002-12-19 2008-01-31 Herner S B Nonvolatile memory cell comprising a reduced height vertical diode
US20110110149A1 (en) * 2005-01-19 2011-05-12 Scheuerlein Roy E Structure and method for biasing phase change memory array for reliable writing
US9680035B1 (en) 2016-05-27 2017-06-13 Solar Junction Corporation Surface mount solar cell with integrated coverglass
US10090420B2 (en) 2016-01-22 2018-10-02 Solar Junction Corporation Via etch method for back contact multijunction solar cells
CN115881549A (en) * 2023-01-19 2023-03-31 合肥晶合集成电路股份有限公司 Manufacturing method of semiconductor structure and semiconductor structure

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62293619A (en) * 1986-06-12 1987-12-21 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPH01241125A (en) * 1988-03-23 1989-09-26 Sony Corp Manufacture of semiconductor device
JPH02291131A (en) * 1989-04-28 1990-11-30 Sony Corp Dry etching of barrier metal/aluminum laminated film
JPH0374844A (en) * 1989-08-16 1991-03-29 Matsushita Electron Corp Plasma etching
JPH03133129A (en) * 1989-10-19 1991-06-06 Seiko Epson Corp Manufacture of semiconductor device
JPH0594974A (en) * 1991-10-02 1993-04-16 Sony Corp Dry etching method
US5219788A (en) * 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
JPH06104227A (en) * 1992-09-18 1994-04-15 Toshiba Corp Dry etching method
JPH0794492A (en) * 1993-06-24 1995-04-07 Nec Corp Manufacture of semiconductor device
US5451543A (en) * 1994-04-25 1995-09-19 Motorola, Inc. Straight sidewall profile contact opening to underlying interconnect and method for making the same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62293619A (en) * 1986-06-12 1987-12-21 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPH01241125A (en) * 1988-03-23 1989-09-26 Sony Corp Manufacture of semiconductor device
JPH02291131A (en) * 1989-04-28 1990-11-30 Sony Corp Dry etching of barrier metal/aluminum laminated film
JPH0374844A (en) * 1989-08-16 1991-03-29 Matsushita Electron Corp Plasma etching
JPH03133129A (en) * 1989-10-19 1991-06-06 Seiko Epson Corp Manufacture of semiconductor device
US5219788A (en) * 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
JPH0594974A (en) * 1991-10-02 1993-04-16 Sony Corp Dry etching method
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
JPH06104227A (en) * 1992-09-18 1994-04-15 Toshiba Corp Dry etching method
JPH0794492A (en) * 1993-06-24 1995-04-07 Nec Corp Manufacture of semiconductor device
US5451543A (en) * 1994-04-25 1995-09-19 Motorola, Inc. Straight sidewall profile contact opening to underlying interconnect and method for making the same

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
S. Wolf et al. "Silicon Processing for the VLSI Era vol. I" Lattice Press (Calif.) (1986) p. 171.
S. Wolf et al. Silicon Processing for the VLSI Era vol. I Lattice Press (Calif.) (1986) p. 171. *
S. Wolf, "Silicon Processing for the VLSI Era-vol. 2" Lattice Press, Sunset Beach, CA, 1990, pp. 198-199.
S. Wolf, Silicon Processing for the VLSI Era vol. 2 Lattice Press, Sunset Beach, CA, 1990, pp. 198 199. *

Cited By (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5920793A (en) * 1995-10-31 1999-07-06 Nec Corporation Method for manufacturing a through hole
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US5945350A (en) * 1996-09-13 1999-08-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects and interconnects formed using same
US6268292B1 (en) 1996-09-13 2001-07-31 Micron Technology, Inc. Methods for use in formation of titanium nitride interconnects
US6160296A (en) * 1996-09-13 2000-12-12 Micron Technology, Inc. Titanium nitride interconnects
US6107687A (en) * 1997-03-18 2000-08-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having interconnection and adhesion layers
US20100173490A1 (en) * 1997-04-02 2010-07-08 Chih-Chien Liu High density plasma chemical vapor deposition process
US7514014B1 (en) 1997-04-02 2009-04-07 United Microelectronics Corporation High density plasma chemical vapor deposition process
US7718079B2 (en) 1997-04-02 2010-05-18 United Microelectronics Corporation High density plasma chemical vapor deposition process
US7271101B2 (en) 1997-04-02 2007-09-18 United Microelectronics Corporation High density plasma chemical vapor deposition process
US20050003671A1 (en) * 1997-04-02 2005-01-06 United Microelectronics Corporation High density plasma chemical vapor deposition process
US8062536B2 (en) 1997-04-02 2011-11-22 United Microelectronics Corp. High density plasma chemical vapor deposition process
US20060099824A1 (en) * 1997-04-02 2006-05-11 Chih-Chien Liu High density plasma chemical vapor deposition process
US7078346B2 (en) * 1997-04-02 2006-07-18 United Microelectronics Corporation High density plasma chemical vapor deposition process
US6277720B1 (en) * 1997-06-30 2001-08-21 Texas Instruments Incorporated Silicon nitride dopant diffusion barrier in integrated circuits
US5866485A (en) * 1997-09-29 1999-02-02 Siemens Aktiengesellschaft Techniques for etching a silicon dioxide-containing layer
US6066567A (en) * 1997-12-18 2000-05-23 Advanced Micro Devices, Inc. Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US6015751A (en) * 1998-04-06 2000-01-18 Taiwan Semiconductor Manufacturing Company Self-aligned connection to underlayer metal lines through unlanded via holes
US6174804B1 (en) * 1998-05-26 2001-01-16 United Microelectronics Corp. Dual damascene manufacturing process
US6019906A (en) * 1998-05-29 2000-02-01 Taiwan Semiconductor Manufacturing Company Hard masking method for forming patterned oxygen containing plasma etchable layer
USRE39273E1 (en) * 1998-05-29 2006-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Hard masking method for forming patterned oxygen containing plasma etchable layer
US6107208A (en) * 1998-06-04 2000-08-22 Advanced Micro Devices, Inc. Nitride etch using N2 /Ar/CHF3 chemistry
US6468896B2 (en) 1998-06-29 2002-10-22 Infineon Technologies Ag Method of fabricating semiconductor components
DE19828969A1 (en) * 1998-06-29 1999-12-30 Siemens Ag Manufacturing integrated semiconductor components
WO2000001010A2 (en) * 1998-06-29 2000-01-06 Infineon Technologies Ag Method for producing semiconductor components
WO2000001010A3 (en) * 1998-06-29 2002-10-03 Infineon Technologies Ag Method for producing semiconductor components
US6086777A (en) * 1998-07-02 2000-07-11 Advanced Micro Devices, Inc. Tantalum barrier metal removal by using CF4 /o2 plasma dry etch
US6187687B1 (en) * 1998-11-05 2001-02-13 Advanced Micro Devices, Inc. Minimization of line width variation in photolithography
US6074905A (en) * 1998-12-28 2000-06-13 Taiwan Semiconductor Manufacturing Company Formation of a thin oxide protection layer at poly sidewall and area surface
US6399508B1 (en) 1999-01-12 2002-06-04 Applied Materials, Inc. Method for metal etch using a dielectric hard mask
US6162722A (en) * 1999-05-17 2000-12-19 United Microelectronics Corp. Unlanded via process
US6177355B1 (en) * 1999-08-31 2001-01-23 Advanced Micro Devices, Inc. Pad etch process capable of thick titanium nitride arc removal
US6833315B1 (en) * 1999-12-31 2004-12-21 United Microelectronics Corp. Removing silicon oxynitride of polysilicon gates in fabricating integrated circuits
US6544882B1 (en) 2000-01-13 2003-04-08 Taiwan Semiconductor Manufacturing Company Method to improve reliability of multilayer structures of FSG (F-doped SiO2) dielectric layers and aluminum-copper-TiN layers in integrated circuits
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6689690B2 (en) * 2001-07-04 2004-02-10 Fujitsu Limited Semiconductor device manufacturing method of forming an etching stopper film on a diffusion prevention film at a higher temperature
US6750140B2 (en) 2001-08-17 2004-06-15 Infineon Technologies Ag Process for producing contact holes on a metallization structure
DE10140468B4 (en) * 2001-08-17 2006-01-05 Infineon Technologies Ag Method for producing contact holes on a metallization structure
DE10140468A1 (en) * 2001-08-17 2003-03-13 Infineon Technologies Ag Method for producing contact holes on a metallization structure
US20100297814A1 (en) * 2001-09-07 2010-11-25 Hynix Semiconductor Inc. Electronic system modules and method of fabrication
US7297572B2 (en) 2001-09-07 2007-11-20 Hynix Semiconductor, Inc. Fabrication method for electronic system modules
US9059070B2 (en) 2001-09-07 2015-06-16 SK Hynix Inc. Electronic system modules and method of fabrication
US7615478B2 (en) 2001-09-07 2009-11-10 Hynix Semiconductor Inc. Fabrication method for electronic system modules
US8252635B2 (en) 2001-09-07 2012-08-28 Hynix Semiconductor Inc. Electronic system modules and method of fabrication
US20040092141A1 (en) * 2001-09-07 2004-05-13 Salmon Peter C. Electronic system modules and method of fabrication
US20070245554A1 (en) * 2001-09-07 2007-10-25 Hynix Semiconductor, Inc. Fabrication Method For Electronic System Modules
US8633584B2 (en) 2001-09-07 2014-01-21 SK Hynix Inc. Electronic assembly with electronic compontent and interconnection assembly connected via conductive bump and mating well
US7723156B2 (en) 2001-09-07 2010-05-25 Hynix Semiconductor Inc. Electronic system modules and method of fabrication
US20080026557A1 (en) * 2001-09-07 2008-01-31 Hynix Semiconductor, Inc. Electronic system modules and method of fabrication
US20080079140A1 (en) * 2001-09-07 2008-04-03 Hynix Semiconductor, Inc. Electronic system modules and method of fabricaton
US20050140026A1 (en) * 2001-09-07 2005-06-30 Salmon Peter C. Fabrication methods for electronic system modules
US8581407B2 (en) 2001-09-07 2013-11-12 SK Hynix Inc. Electronic system modules and method of fabrication
US20100007012A1 (en) * 2001-09-07 2010-01-14 Hynix Semiconductor Inc. Electronic system modules
US20090243076A1 (en) * 2001-09-07 2009-10-01 Hynix Semiconductor, Inc. Electronic system modules and method of fabrication
US20060189077A1 (en) * 2002-12-19 2006-08-24 Sandisk 3D Llc Method for making high-density nonvolatile memory
US8004033B2 (en) 2002-12-19 2011-08-23 Sandisk 3D Llc High-density nonvolatile memory
US7560339B2 (en) 2002-12-19 2009-07-14 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US7557405B2 (en) 2002-12-19 2009-07-07 Sandisk 3D Llc High-density nonvolatile memory
US20080026510A1 (en) * 2002-12-19 2008-01-31 Herner S B Nonvolatile memory cell comprising a reduced height vertical diode
US7238607B2 (en) * 2002-12-19 2007-07-03 Sandisk 3D Llc Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US20100181657A1 (en) * 2002-12-19 2010-07-22 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US9246089B2 (en) 2002-12-19 2016-01-26 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20090261343A1 (en) * 2002-12-19 2009-10-22 Sandisk 3D Llc High-density nonvolatile memory and methods of making the same
US20050052915A1 (en) * 2002-12-19 2005-03-10 Matrix Semiconductor, Inc. Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20110176352A1 (en) * 2002-12-19 2011-07-21 Herner S Brad Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US8018025B2 (en) 2002-12-19 2011-09-13 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US20060054962A1 (en) * 2002-12-19 2006-03-16 Matrix Semiconductor, Inc. Method to minimize formation of recess at surface planarized by chemical mechanical planarization
US8951861B2 (en) 2002-12-19 2015-02-10 Sandisk 3D Llc Methods of making a high-density nonvolatile memory
US8243509B2 (en) 2002-12-19 2012-08-14 Sandisk 3D Llc Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US8730720B2 (en) 2002-12-19 2014-05-20 Sandisk 3D Llc Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US8252644B2 (en) 2002-12-19 2012-08-28 Sandisk 3D Llc Method for forming a nonvolatile memory cell comprising a reduced height vertical diode
US8383478B2 (en) 2002-12-19 2013-02-26 Sandisk 3D Llc High-density nonvolatile memory and methods of making the same
US8637366B2 (en) 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US8482973B2 (en) 2002-12-19 2013-07-09 Sandisk 3D Llc Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7049245B2 (en) * 2003-09-12 2006-05-23 Promos Technologies, Inc. Two-step GC etch for GC profile and process window improvement
US20050059248A1 (en) * 2003-09-12 2005-03-17 Promos Technologies Inc. Two-step GC etch for GC profile and process window improvement
WO2005124854A1 (en) * 2004-06-18 2005-12-29 Infineon Technologies Ag Method for producing a layer arrangement
US7795135B2 (en) 2004-06-18 2010-09-14 Infineon Technologies Ag Method for producing a layer arrangement
US8385141B2 (en) 2005-01-19 2013-02-26 Sandisk 3D Llc Structure and method for biasing phase change memory array for reliable writing
US8102698B2 (en) 2005-01-19 2012-01-24 Sandisk 3D Llc Structure and method for biasing phase change memory array for reliable writing
US20110110149A1 (en) * 2005-01-19 2011-05-12 Scheuerlein Roy E Structure and method for biasing phase change memory array for reliable writing
US10090420B2 (en) 2016-01-22 2018-10-02 Solar Junction Corporation Via etch method for back contact multijunction solar cells
US9680035B1 (en) 2016-05-27 2017-06-13 Solar Junction Corporation Surface mount solar cell with integrated coverglass
CN115881549A (en) * 2023-01-19 2023-03-31 合肥晶合集成电路股份有限公司 Manufacturing method of semiconductor structure and semiconductor structure
CN115881549B (en) * 2023-01-19 2023-05-09 合肥晶合集成电路股份有限公司 Method for manufacturing semiconductor structure and semiconductor structure

Similar Documents

Publication Publication Date Title
US5700737A (en) PECVD silicon nitride for etch stop mask and ozone TEOS pattern sensitivity elimination
US6042999A (en) Robust dual damascene process
US5686354A (en) Dual damascene with a protective mask for via etching
US6972259B2 (en) Method for forming openings in low dielectric constant material layer
US6162583A (en) Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US6143647A (en) Silicon-rich block copolymers to achieve unbalanced vias
US5269880A (en) Tapering sidewalls of via holes
US6309955B1 (en) Method for using a CVD organic barc as a hard mask during via etch
KR100787847B1 (en) Method for etching dual damascene structures in organosilicate glass
US20050191851A1 (en) Barrier metal cap structure on copper lines and vias
US5461010A (en) Two step etch back spin-on-glass process for semiconductor planarization
US20020155693A1 (en) Method to form self-aligned anti-via interconnects
US6174800B1 (en) Via formation in a poly(arylene ether) inter metal dielectric layer
US6187666B1 (en) CVD plasma process to fill contact hole in damascene process
US5234864A (en) Method for interconnecting layers in a semiconductor device using two etching gases
US7071112B2 (en) BARC shaping for improved fabrication of dual damascene integrated circuit features
TWI236094B (en) Method for forming multi-layer metal line of semiconductor device
EP0188735A1 (en) Tailoring of via-hole sidewall slope in an insulating layer
US5439847A (en) Integrated circuit fabrication with a raised feature as mask
US6248252B1 (en) Method of fabricating sub-micron metal lines
US5994223A (en) Method of manufacturing analog semiconductor device
US6660645B1 (en) Process for etching an organic dielectric using a silyated photoresist mask
KR100571696B1 (en) Method For Manufacturing Semiconductor Devices
US6399284B1 (en) Sub-lithographic contacts and vias through pattern, CVD and etch back processing
KR100935188B1 (en) Method for manufacturing metal line in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., T

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YU, CHEN-HUA;JANG, SYUN-MING;REEL/FRAME:007915/0045

Effective date: 19960206

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12