US6020640A - Thick plated interconnect and associated auxillary interconnect - Google Patents

Thick plated interconnect and associated auxillary interconnect Download PDF

Info

Publication number
US6020640A
US6020640A US08/992,282 US99228297A US6020640A US 6020640 A US6020640 A US 6020640A US 99228297 A US99228297 A US 99228297A US 6020640 A US6020640 A US 6020640A
Authority
US
United States
Prior art keywords
layer
copper
section
interconnect
barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/992,282
Inventor
Taylor R. Efland
Quang X. Mai
Charles E. Williams
Stephen A. Keller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US08/992,282 priority Critical patent/US6020640A/en
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WILLIAMS, CHARLES E., EFLAND, TAYLOR R., KELLER, STEPHEN A., MAI, QUANG X.
Application granted granted Critical
Publication of US6020640A publication Critical patent/US6020640A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • H01L2224/05017Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • H01L2224/05018Shape in side view being a conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05563Only on parts of the surface of the internal layer
    • H01L2224/05564Only on the bonding interface of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Definitions

  • This invention relates generally to the field of integrated circuits, and more particularly to an improved thick plated interconnect and to an associated auxiliary interconnect for an integrated circuit.
  • Thick plated metal interconnects can be used to provide low resistance pathways in integrated circuits.
  • the interconnects may be employed for high current bussing and similar applications of power integrated circuits and other types of integrated circuits.
  • the interconnects may be in the form of copper because of its low resistance.
  • Bonding directly to copper interconnects would enhance the performance of integrated circuits by eliminating the high parasitic series resistance associated with bond pads and standard multi-level VLSI metal systems.
  • Typical bonding systems such as aluminum wedge and gold ball bonding, however, suffer reliability problems when bonded to copper due to voiding of aluminum in copper. Copper on copper bonds also suffer reliability problems.
  • nickel plating has been used as a cap metalization system for thick plated copper interconnects.
  • a nickel cap has been demonstrated to work reliably for large aluminum wedge bonding, its manufacturability is not standard and its environmental cleanup is costly, and it is not reliable for gold bonds.
  • the present invention provides a thick plated interconnect having an aluminum bonding cap that substantially eliminates or reduces the disadvantages and problems associated with prior thick plated interconnects.
  • a thick plated interconnect may comprise a copper lead and a bonding cap coupled to the copper lead.
  • the bonding cap may include a bondable member formed from a bondable layer comprising aluminum.
  • a barrier member may be formed from a barrier layer. The barrier member may be disposed between the bondable member and the copper lead.
  • the thick plated interconnect may include a section of a copper seed layer.
  • the copper lead may be plated to the section of the copper seed layer.
  • the bondable layer may comprise an aluminum alloy including silicon and copper.
  • the aluminum alloy may include about one (1) percent silicon and about one-half (0.5) percent copper.
  • an auxiliary interconnect may comprise a second section of the copper seed layer.
  • An auxiliary bonding cap may be coupled to the second section of the copper seed layer.
  • the auxiliary bonding cap may include an auxiliary member formed from the bondable layer.
  • An auxiliary barrier member may be formed from the barrier layer. The auxiliary barrier member may be disposed between the auxiliary bondable member and the second section of the copper seed layer.
  • Important technical advantages of the present invention include providing a thick plated interconnect that substantially reduces or eliminates bonding resistance.
  • a bonding cap capable of receiving conventional gold wire and aluminum wedge bonds may be formed on a copper lead. Accordingly, bonds may be formed directly on the thick plated interconnect and high parasitic series resistance associated with bond pads is eliminated.
  • Another technical advantage of the present invention includes providing an auxiliary interconnect formed from some of the same layers of the thick plated interconnect including the bond cap, seed and barrier layers and without additional processing steps.
  • the auxiliary interconnect may be formed at any location common to fabrication steps of the thick plated interconnect and used where the very low resistance of copper plating is not required.
  • the auxiliary interconnect provides more interconnect flexibility by providing a free level of interconnect. Additionally, the auxiliary interconnect provides a relatively small and low resistance interconnect that allows denser circuit designs resulting in die area savings.
  • Still another technical advantage of the present invention includes providing an improved mold for plating the copper lead of the thick plated interconnect.
  • the mold may include a cavity formed in a photoresist layer exposing a section of a copper seed layer electrically coupled to an underlying metal layer through a via in a dielectric layer.
  • a barrier layer may be disposed between a copper seed layer and the underlying metal layer.
  • FIGS. 1A-E are a series of schematic cross-sectional diagrams illustrating a method of fabricating an improved thick plated copper interconnect and associated auxiliary metal interconnect in accordance with one embodiment of the present invention.
  • FIGS. 1A-E illustrate a method of fabricating a copper plated interconnect having an aluminum bonding cap and an associated auxiliary interconnect in accordance with one embodiment of the present invention.
  • the aluminum bonding cap allows direct bonding to the interconnect for low resistance applications.
  • the auxiliary interconnect is formed with the interconnect and without additional processing steps.
  • FIG. 1A illustrates an initial semiconductor structure 10.
  • the initial semiconductor structure 10 may comprise a semiconductor layer 12 including an integrated circuit having one or more interlevel contacts 14.
  • the semiconductor layer 12 may be a substrate such as a wafer. It will be understood that the semiconductor layer 12 may also be a layer of semiconductor material formed on a substrate. For example, the semiconductor layer 12 may be an epitaxial layer grown on a wafer. It will be understood that the layer 12 may also be another system of interconnects such as another layer of metal or multiple layers of metal with appropriate isolation layers as the process dictates. Here is shown as an exemplary single metal system for simplicity.
  • An interlevel oxide 16, barrier layer 18, metal layer 20, and dielectric layer 22 may be formed on the semiconductor layer 12.
  • the interlevel oxide 16 isolates the integrated circuit of the semiconductor layer 12 generally from the barrier layer 18.
  • the interlevel oxide 16 may be patterned and etched to allow the barrier layer 18 to contact the integrated circuit of the semiconductor layer 12 at the interlevel contact 14.
  • the metal layer 20 is a top surface conventional metal layer associated with the process such as for a double level metal layer or for a triple level metal layer. Accordingly, it will be understood that a present invention is not limited to use with a single level system, but may include multi-level interconnect systems.
  • the barrier layer 18 provides both an electrical contact and a mechanical barrier between the interlevel contact 14 of the semiconductor layer 12 and the metal layer 20. Accordingly, the barrier layer 18 allows current to flow between layers while preventing voiding a similar types of mechanical problems.
  • the barrier layer 18 may comprise any conductor that does not adversely react with the interlevel contact of the semiconductor layer 12 or the metal layer 20.
  • the dielectric layer 22 may be a passivation overcoat isolating the initial semiconductor structure 10 generally from subsequent integrated circuit processing.
  • Dielectric layer 22 may be composed of several layers of dielectric having different chemical makeup.
  • Dielectric layer 22 may be oxide and nitride or oxide and oxinitride.
  • the passivation overcoat 22, however, may be patterned and etched to form vias 24 to expose the initial semiconductor structure 10 to subsequent integrated circuit processing at specific locations.
  • a via 24 may be formed in the passivation overcoat 22 over the interlevel contact 14 of the semiconductor layer 12. Accordingly, the interlevel contact 14 may be electrically connected to subsequently formed integrated circuit layers through the via 24 by the barrier layer 18 and the metal layer 20.
  • a second via 24 may also be formed in the passivation overcoat 22 for an auxiliary metal system 26.
  • the auxiliary metal system may form an auxiliary interconnect.
  • the semiconductor layer 12 may comprise silicon and the metal layer 20 may comprise aluminum composition.
  • the barrier layer 18 may comprise tungsten, titanium tungsten or platinum silicide, which will not react with either the silicon or the aluminum.
  • the metal layer 20 may be a system of interconnects including multiple level metal and corresponding barrier metals and polysilicon interconnects. The various metal systems may be isolated by appropriate dielectric layers.
  • the barrier metals may be tungsten, platinum, titanium, and other combinations described above.
  • the connection between metal systems is made by vias whereas connection to the actual silicon circuit is termed "contacts."
  • vias is used for connections between interconnects and "contact” is used for connection to silicon or polysilicon.
  • the interlevel oxide 16 may comprise silicon dioxide and the passivation overcoat 22 may comprise a nitride overcoat. It will be understood that the semiconductor layer 12, interlevel oxide 16, barrier layer 18, metal layer 20, and passivation overcoat 22 may comprise other materials within the scope of the present invention. For example, the interlevel oxide 16 and passivation overcoat 22 may be formed from other dielectric materials. Additionally, the barrier layer 18 may be any conductor that provides a mechanical barrier between layers. It will be further understood that although the embodiment of FIG. 1A includes only a single layer of metal, the initial semiconductor structure 10 may comprise multiple metal layers within the scope of the present invention. Thus, as previously described, additional systems of interconnects such as another layer of metal or multiple layers of metal with appropriate isolation layers may be included as the process dictates.
  • a barrier layer 30 may be formed on the surface of the initial semiconductor structure 10. As shown by FIG. 1B, the barrier layer 30 may contact the metal layer 20 through the vias 24 and elsewhere contact the passivation layer 22. The barrier layer 30 may protect the underlying metal layer 20 from subsequent metal processes. The barrier layer 30 may also act as an etch stop to protect areas from having the metal layer 20 etched away where the vias 24 exists during subsequent metal etching steps. The barrier layer 30 also protects a layer deposited seed layer and thick plated layer from contamination from the dielectric and vice versa.
  • the barrier layer 30 may be titanium tungsten (TiW).
  • the titanium tungsten may be sputter deposited onto the initial semiconductor structure 10.
  • the barrier layer 30 may comprise other conductors capable of protecting the underlying metal layer 20 within the scope of the present invention.
  • the barrier layer 30 may comprise a varied metallurgical alloy or the like.
  • a copper seed layer 32 may be deposited onto the barrier layer 30.
  • the copper seed layer 32 comprises copper.
  • the copper seed layer 32 may comprise other materials capable of acting as a seed for copper plating. As described in more detail below, copper will plate to the copper seed layer 32 to form thick copper interconnect.
  • a section 34 of the copper seed layer 32 may be in electrical contact with the interlevel contact 14 of the semiconductor layer 12 through the barrier layers 30 and 18 and the metal layer 20. Elsewhere, the copper seed layer 32 may be generally isolated from the semiconductor layer 12 by the passivation layer 22. A second section 36 of the copper seed layer 32 may be in electrical contact with the metal layer 20 through the barrier layer 30 at the auxiliary metal system 26.
  • the copper seed layer 32 may be sputter deposited on the barrier layer 30.
  • the copper seed layer 32 may be deposited to a thickness of about 2,000 angstroms. It will be understood that the copper seed layer 32 may be otherwise deposited within the scope of the present invention.
  • a photoresist layer 40 may be deposited on the copper seed layer 32.
  • the thickness of the photoresist layer 40 is driven by the thickness of the copper plating desired for the copper interconnect.
  • the photoresist layer 40 may be 12,000 angstroms thick, which will allow up to 11,000 angstroms of copper to be plated. If a greater thickness of copper plating is desired, multiple layers of photoresist may be used.
  • the photoresist layer 40 may be patterned and etched to form a cavity 42 exposing the section 34 of the copper seed layer 32 electrically coupled to the underlying metal layer 20 through the via 24 in the passivation overcoat 22.
  • the photoresist layer 40 is patterned such that the cavity 42 overlaps the section 34 of the copper seed layer 32 by a distance great enough to compensate for misalignment of the pattern and still result in the patterned cavity efficiently overlapping 34a the section 34 of the copper seed layer 32.
  • the cavity 42 in the photoresist layer 40 may in conjunction with the cavity and passivation layers 16 and 22 lined by copper seed layer 32 and the barrier metal 30 forming the section 34 form a combination layer mold 44 over the interlevel contact 14.
  • the combination layer mold 44 may comprise other materials within the scope of the present invention.
  • the photoresist and the passivation material may include combinations of other dielectric materials such as oxide glasses, like silicon dioxide, and/or polymides and/or polysilicons and/or selective epitaxials and/or other materials.
  • a copper lead 50 may be plated to the copper seed layer 32 exposed by the cavity 42 in the combination layer mold 44.
  • the copper lead 50 may be plated by a conventional electroplating process.
  • the semiconductor device may be disposed in a copper electroplating bath. In the electroplating bath, copper will plate to the copper seed layer 32 exposed in the combination layer mold 44.
  • the copper lead 50 may be plated to a thickness of 11,000 angstroms. It will be understood that the thickness of the copper plating may be varied within the scope of the present invention.
  • the photoresist layer 40 may be removed to leave the thick plated copper lead 50.
  • the photoresist layer 40 may be removed by conventional etching techniques. It will be understood that the photoresist layer 40 may be otherwise removed within the scope of the present invention.
  • the copper lead 50 may be electrically connected with the interlevel contact 14 of the semiconductor layer 12 through the section 34 of the copper seed layer 32, metal layer 20, and barrier layers 18 and 30.
  • the copper lead 50 will not be in electrical contact with the interlevel contact 14 where the combination layer mold 38 is formed over the passivation overcoat 22. In this case, the copper lead 50 will be electrically isolated from the metal layer 20 and other components of the semiconductor device.
  • a barrier layer 60 may be formed on the surface of the semiconductor structure 10 by sputtering methods. As shown by FIG. 1D, the barrier layer 60 may contact the top surface of the copper lead 50 and elsewhere the copper seed layer 32. The barrier layer 60 may protect the underlying copper of the lead 50 and seed layer 32 from subsequent metal processes. The barrier layer 60 may also protect later added materials from interaction with the copper which may cause voiding and other deformities.
  • the barrier layer 60 may be sputtered titanium tungsten (TiW). Accordingly, the same metal may be used for both barrier layers 60 and 30. Use of titanium tungsten for both barrier layers 30 and 60 may make the present invention more manufacturable with standard VLSI and ULSI sputter processing techniques. Specific examples of such process technologies include PRISM, EPIC, LBC, and power plus Arrays.
  • the titanium tungsten of the barrier layer 60 may be sputter deposited onto the semiconductor structure. It will be understood that the barrier layer 60 may comprise other conductors capable of preventing copper from reacting with later deposited materials. For example, the barrier layer 60 may comprise a varied metallurgical alloy or the like.
  • the barrier layers 30 and 60 sandwich the copper lead 50 and copper seed layer 32 such that the copper is not exposed to other metal layers or systems or dielectrics or systems.
  • the barrier layers 30 and 60 may form ridged surfaces against abutting copper surfaces.
  • a bondable layer 62 may be formed on the barrier layer 60.
  • the bondable layer 62 may be sputter deposited onto the barrier layer 60.
  • the bondable layer 62 may have a standard thickness of about 0.6 microns. It will be understood that the thickness of the bondable layer 62 may vary depending on the application for the interconnect.
  • the bondable layer 62 may comprise an aluminum alloy.
  • the bondable layer 62 may comprise aluminum with 1% silicon and a half percent copper (Al 1% Si 0.5% Cu). This metal may be deposited with conventional sputtering process technology.
  • a photoresist layer 70 may be formed on the bondable layer 62.
  • the photoresist layer 70 may be deposited in accordance with the conventional photoresist technology.
  • the photoresist layer 70 may have a thickness of between 0.6 and 1.4 microns. It will be understood that the thickness of the photoresist may vary within the scope of the present invention.
  • the photoresist layer 70 may be patterned and etched to leave photoresist only above bonding and auxiliary interconnect regions 72.
  • the semiconductor structure may be etched to remove sections of the bondable layer 62, barrier layer 60, copper seed layer 32 and barrier layer 30 not covered by the patterned photoresist layer 70.
  • the unprotected layers may be removed by an etched sequence applied in a specific order such that unprotected sections of the bondable layer 62 are etched away first, followed by underlying sections of the barrier layer 60 second, copper seed layer 32 third, and barrier layer 30 fourth.
  • the etched sequence may be a top down etch removing the top or uppermost layer first and so on down through the following layers as required.
  • Each etch of the sequence may be a standard chemical etch as prescribed in compatible processing.
  • a photoresist reflow may optionally be used to protect the remaining sections of the bondable layer 62 from being etched under edges of the pattern photoresist layer 70. Such undercut etching may occur at the edges of the patterned bondable layer 62 because edges of the remaining section of the bondable layer 62 will be exposed after etching the bondable layer 62 and the barrier layer 60. Reflow of photoresist may cover the exposed edges and help reduce undercutting of the bondable layer 62 during the subsequent etch of the copper seed layer 32. After etching, a nitride or other material passivation may be deposited and patterned to expose regions to be bonded to.
  • the patterned photoresist layer 70 may be removed to leave a thick plated copper interconnect 80 and associated auxiliary metal interconnect 82.
  • the thick plated copper interconnect 80 may include a bonding cap 84 directly on top of the copper lead 50.
  • the bonding cap 84 may comprise a bondable member formed from the bondable layer 62 and a barrier member 60 formed from the barrier layer 30 at the bonding region 72 above the copper lead 50. Accordingly, conventional gold wire and aluminum wedge bonds may be formed directly on the thick plated interconnect 60.
  • the barrier member 88 prevents voiding of a bond with the copper lead 50. Accordingly, the bonds will not deteriorate and become mechanically or electrically unreliable. Accordingly, high parasitic series resistance associated bond pads is eliminated.
  • the auxiliary interconnect 82 is formed from the bondable layer 62, barrier layer 60, seed layer 32, and the barrier layer 30.
  • the auxiliary interconnect 82 has a property of small geometry line control and the copper seed layer provides excellent electromigration control.
  • the auxiliary interconnect 82 is associated with the thick plated copper interconnect 80 in that the auxiliary interconnect 82 is formed form some of the layers of the thick plated copper interconnect 80 and without additional processing steps.
  • the auxiliary interconnect 82 may be used where copper plating is not required.
  • the auxiliary interconnect 82 provides interconnect flexibility by providing a free level of interconnect. Additionally, the auxiliary interconnect 82 provides a relatively small and low resistance interconnect that allows denser circuit designs resulting in die area savings.

Abstract

A thick plated interconnect (80) comprising a copper lead (50) and a bonding cap (84) coupled to the copper lead (50). The bonding cap (84) may include a bondable member (86) formed from a bondable layer (62) comprising aluminum. A barrier member (88) may be formed from a barrier layer (60). The barrier member (88) may be disposed between the bondable member (86) and the copper lead (50).

Description

This application claims priority under 35 USC § 119(e)(1) of provisional application Ser. No. 60/033,862 filed Dec. 19, 1996.
RELATED APPLICATIONS
This application is related to U.S. Application Ser. No. 08/991,997, entitled "METHOD OF FORMING IMPROVED THICK PLATED INTERCONNECT AND ASSOCIATED AUXILIARY INTERCONNECT" (Attorney's Docket No. TI-21253).
TECHNICAL FIELD OF THE INVENTION
This invention relates generally to the field of integrated circuits, and more particularly to an improved thick plated interconnect and to an associated auxiliary interconnect for an integrated circuit.
BACKGROUND OF THE INVENTION
Thick plated metal interconnects can be used to provide low resistance pathways in integrated circuits. The interconnects may be employed for high current bussing and similar applications of power integrated circuits and other types of integrated circuits. The interconnects may be in the form of copper because of its low resistance.
Bonding directly to copper interconnects would enhance the performance of integrated circuits by eliminating the high parasitic series resistance associated with bond pads and standard multi-level VLSI metal systems. Typical bonding systems such as aluminum wedge and gold ball bonding, however, suffer reliability problems when bonded to copper due to voiding of aluminum in copper. Copper on copper bonds also suffer reliability problems.
To overcome such reliability problems, nickel plating has been used as a cap metalization system for thick plated copper interconnects. Although a nickel cap has been demonstrated to work reliably for large aluminum wedge bonding, its manufacturability is not standard and its environmental cleanup is costly, and it is not reliable for gold bonds.
SUMMARY OF THE INVENTION
Accordingly, a need has arisen in the art for an improved thick plated metal interconnect. The present invention provides a thick plated interconnect having an aluminum bonding cap that substantially eliminates or reduces the disadvantages and problems associated with prior thick plated interconnects.
In accordance with the present invention, a thick plated interconnect may comprise a copper lead and a bonding cap coupled to the copper lead. The bonding cap may include a bondable member formed from a bondable layer comprising aluminum. A barrier member may be formed from a barrier layer. The barrier member may be disposed between the bondable member and the copper lead.
More specifically, in one embodiment, the thick plated interconnect may include a section of a copper seed layer. In this embodiment, the copper lead may be plated to the section of the copper seed layer. The bondable layer may comprise an aluminum alloy including silicon and copper. In a specific embodiment, the aluminum alloy may include about one (1) percent silicon and about one-half (0.5) percent copper.
In accordance with another aspect of the present invention, an auxiliary interconnect may comprise a second section of the copper seed layer. An auxiliary bonding cap may be coupled to the second section of the copper seed layer. The auxiliary bonding cap may include an auxiliary member formed from the bondable layer. An auxiliary barrier member may be formed from the barrier layer. The auxiliary barrier member may be disposed between the auxiliary bondable member and the second section of the copper seed layer.
Important technical advantages of the present invention include providing a thick plated interconnect that substantially reduces or eliminates bonding resistance. In particular, a bonding cap capable of receiving conventional gold wire and aluminum wedge bonds may be formed on a copper lead. Accordingly, bonds may be formed directly on the thick plated interconnect and high parasitic series resistance associated with bond pads is eliminated.
Another technical advantage of the present invention includes providing an auxiliary interconnect formed from some of the same layers of the thick plated interconnect including the bond cap, seed and barrier layers and without additional processing steps. In particular, the auxiliary interconnect may be formed at any location common to fabrication steps of the thick plated interconnect and used where the very low resistance of copper plating is not required. The auxiliary interconnect provides more interconnect flexibility by providing a free level of interconnect. Additionally, the auxiliary interconnect provides a relatively small and low resistance interconnect that allows denser circuit designs resulting in die area savings.
Still another technical advantage of the present invention includes providing an improved mold for plating the copper lead of the thick plated interconnect. In particular, the mold may include a cavity formed in a photoresist layer exposing a section of a copper seed layer electrically coupled to an underlying metal layer through a via in a dielectric layer. A barrier layer may be disposed between a copper seed layer and the underlying metal layer.
Other technical advantages will be readily apparent to one skilled in the art from the following figures, descriptions, and claims.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the present invention and its advantages thereof, reference is now made to the following description taken in conjunction with the accompanying drawings, wherein like reference numerals represent like parts, in which:
FIGS. 1A-E are a series of schematic cross-sectional diagrams illustrating a method of fabricating an improved thick plated copper interconnect and associated auxiliary metal interconnect in accordance with one embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
The preferred embodiments of the present invention and its advantages are best understood by referring now in more detail to FIGS. 1A-E of the drawings, in which like numerals refer to like parts throughout the several views. FIGS. 1A-E illustrate a method of fabricating a copper plated interconnect having an aluminum bonding cap and an associated auxiliary interconnect in accordance with one embodiment of the present invention. As described in more detail below, the aluminum bonding cap allows direct bonding to the interconnect for low resistance applications. The auxiliary interconnect is formed with the interconnect and without additional processing steps.
FIG. 1A illustrates an initial semiconductor structure 10. The initial semiconductor structure 10 may comprise a semiconductor layer 12 including an integrated circuit having one or more interlevel contacts 14. The semiconductor layer 12 may be a substrate such as a wafer. It will be understood that the semiconductor layer 12 may also be a layer of semiconductor material formed on a substrate. For example, the semiconductor layer 12 may be an epitaxial layer grown on a wafer. It will be understood that the layer 12 may also be another system of interconnects such as another layer of metal or multiple layers of metal with appropriate isolation layers as the process dictates. Here is shown as an exemplary single metal system for simplicity.
An interlevel oxide 16, barrier layer 18, metal layer 20, and dielectric layer 22 may be formed on the semiconductor layer 12. The interlevel oxide 16 isolates the integrated circuit of the semiconductor layer 12 generally from the barrier layer 18. The interlevel oxide 16 may be patterned and etched to allow the barrier layer 18 to contact the integrated circuit of the semiconductor layer 12 at the interlevel contact 14. The metal layer 20 is a top surface conventional metal layer associated with the process such as for a double level metal layer or for a triple level metal layer. Accordingly, it will be understood that a present invention is not limited to use with a single level system, but may include multi-level interconnect systems.
The barrier layer 18 provides both an electrical contact and a mechanical barrier between the interlevel contact 14 of the semiconductor layer 12 and the metal layer 20. Accordingly, the barrier layer 18 allows current to flow between layers while preventing voiding a similar types of mechanical problems. The barrier layer 18 may comprise any conductor that does not adversely react with the interlevel contact of the semiconductor layer 12 or the metal layer 20.
The dielectric layer 22 may be a passivation overcoat isolating the initial semiconductor structure 10 generally from subsequent integrated circuit processing. Dielectric layer 22 may be composed of several layers of dielectric having different chemical makeup. Dielectric layer 22 may be oxide and nitride or oxide and oxinitride. The passivation overcoat 22, however, may be patterned and etched to form vias 24 to expose the initial semiconductor structure 10 to subsequent integrated circuit processing at specific locations. For the embodiment of FIG. 1A, a via 24 may be formed in the passivation overcoat 22 over the interlevel contact 14 of the semiconductor layer 12. Accordingly, the interlevel contact 14 may be electrically connected to subsequently formed integrated circuit layers through the via 24 by the barrier layer 18 and the metal layer 20. A second via 24 may also be formed in the passivation overcoat 22 for an auxiliary metal system 26. As explained in more detail below, the auxiliary metal system may form an auxiliary interconnect. The use of the terms "contacts" and "vias" for interconnection of metal and semiconductor systems will be obvious to those skilled in the art.
In one embodiment, the semiconductor layer 12 may comprise silicon and the metal layer 20 may comprise aluminum composition. In this embodiment, the barrier layer 18 may comprise tungsten, titanium tungsten or platinum silicide, which will not react with either the silicon or the aluminum. As previously described, the metal layer 20 may be a system of interconnects including multiple level metal and corresponding barrier metals and polysilicon interconnects. The various metal systems may be isolated by appropriate dielectric layers. The barrier metals may be tungsten, platinum, titanium, and other combinations described above. The connection between metal systems is made by vias whereas connection to the actual silicon circuit is termed "contacts." Generally, the term "vias" is used for connections between interconnects and "contact" is used for connection to silicon or polysilicon. The interlevel oxide 16 may comprise silicon dioxide and the passivation overcoat 22 may comprise a nitride overcoat. It will be understood that the semiconductor layer 12, interlevel oxide 16, barrier layer 18, metal layer 20, and passivation overcoat 22 may comprise other materials within the scope of the present invention. For example, the interlevel oxide 16 and passivation overcoat 22 may be formed from other dielectric materials. Additionally, the barrier layer 18 may be any conductor that provides a mechanical barrier between layers. It will be further understood that although the embodiment of FIG. 1A includes only a single layer of metal, the initial semiconductor structure 10 may comprise multiple metal layers within the scope of the present invention. Thus, as previously described, additional systems of interconnects such as another layer of metal or multiple layers of metal with appropriate isolation layers may be included as the process dictates.
Referring to FIG. 1B, a barrier layer 30 may be formed on the surface of the initial semiconductor structure 10. As shown by FIG. 1B, the barrier layer 30 may contact the metal layer 20 through the vias 24 and elsewhere contact the passivation layer 22. The barrier layer 30 may protect the underlying metal layer 20 from subsequent metal processes. The barrier layer 30 may also act as an etch stop to protect areas from having the metal layer 20 etched away where the vias 24 exists during subsequent metal etching steps. The barrier layer 30 also protects a layer deposited seed layer and thick plated layer from contamination from the dielectric and vice versa.
In one embodiment, the barrier layer 30 may be titanium tungsten (TiW). In this embodiment, the titanium tungsten may be sputter deposited onto the initial semiconductor structure 10. It will be understood that the barrier layer 30 may comprise other conductors capable of protecting the underlying metal layer 20 within the scope of the present invention. For example, the barrier layer 30 may comprise a varied metallurgical alloy or the like.
A copper seed layer 32 may be deposited onto the barrier layer 30. Preferably, the copper seed layer 32 comprises copper. It will be understood that the copper seed layer 32 may comprise other materials capable of acting as a seed for copper plating. As described in more detail below, copper will plate to the copper seed layer 32 to form thick copper interconnect.
For the embodiment of FIG. 1B, a section 34 of the copper seed layer 32 may be in electrical contact with the interlevel contact 14 of the semiconductor layer 12 through the barrier layers 30 and 18 and the metal layer 20. Elsewhere, the copper seed layer 32 may be generally isolated from the semiconductor layer 12 by the passivation layer 22. A second section 36 of the copper seed layer 32 may be in electrical contact with the metal layer 20 through the barrier layer 30 at the auxiliary metal system 26.
In a particular embodiment, the copper seed layer 32 may be sputter deposited on the barrier layer 30. In this embodiment, the copper seed layer 32 may be deposited to a thickness of about 2,000 angstroms. It will be understood that the copper seed layer 32 may be otherwise deposited within the scope of the present invention.
A photoresist layer 40 may be deposited on the copper seed layer 32. The thickness of the photoresist layer 40 is driven by the thickness of the copper plating desired for the copper interconnect. In one embodiment, the photoresist layer 40 may be 12,000 angstroms thick, which will allow up to 11,000 angstroms of copper to be plated. If a greater thickness of copper plating is desired, multiple layers of photoresist may be used.
The photoresist layer 40 may be patterned and etched to form a cavity 42 exposing the section 34 of the copper seed layer 32 electrically coupled to the underlying metal layer 20 through the via 24 in the passivation overcoat 22. Preferably, the photoresist layer 40 is patterned such that the cavity 42 overlaps the section 34 of the copper seed layer 32 by a distance great enough to compensate for misalignment of the pattern and still result in the patterned cavity efficiently overlapping 34a the section 34 of the copper seed layer 32.
As shown by FIG. 1B, the cavity 42 in the photoresist layer 40 may in conjunction with the cavity and passivation layers 16 and 22 lined by copper seed layer 32 and the barrier metal 30 forming the section 34 form a combination layer mold 44 over the interlevel contact 14. It will be understood that the combination layer mold 44 may comprise other materials within the scope of the present invention. For example, the photoresist and the passivation material may include combinations of other dielectric materials such as oxide glasses, like silicon dioxide, and/or polymides and/or polysilicons and/or selective epitaxials and/or other materials.
Referring to FIG. 1C, a copper lead 50 may be plated to the copper seed layer 32 exposed by the cavity 42 in the combination layer mold 44. In one embodiment, the copper lead 50 may be plated by a conventional electroplating process. In this embodiment, the semiconductor device may be disposed in a copper electroplating bath. In the electroplating bath, copper will plate to the copper seed layer 32 exposed in the combination layer mold 44. In one embodiment, as previously described, the copper lead 50 may be plated to a thickness of 11,000 angstroms. It will be understood that the thickness of the copper plating may be varied within the scope of the present invention.
Referring to FIG. 1D, the photoresist layer 40 may be removed to leave the thick plated copper lead 50. The photoresist layer 40 may be removed by conventional etching techniques. It will be understood that the photoresist layer 40 may be otherwise removed within the scope of the present invention.
As shown by FIG. 1D, the copper lead 50 may be electrically connected with the interlevel contact 14 of the semiconductor layer 12 through the section 34 of the copper seed layer 32, metal layer 20, and barrier layers 18 and 30. The copper lead 50 will not be in electrical contact with the interlevel contact 14 where the combination layer mold 38 is formed over the passivation overcoat 22. In this case, the copper lead 50 will be electrically isolated from the metal layer 20 and other components of the semiconductor device.
A barrier layer 60 may be formed on the surface of the semiconductor structure 10 by sputtering methods. As shown by FIG. 1D, the barrier layer 60 may contact the top surface of the copper lead 50 and elsewhere the copper seed layer 32. The barrier layer 60 may protect the underlying copper of the lead 50 and seed layer 32 from subsequent metal processes. The barrier layer 60 may also protect later added materials from interaction with the copper which may cause voiding and other deformities.
In one embodiment, the barrier layer 60 may be sputtered titanium tungsten (TiW). Accordingly, the same metal may be used for both barrier layers 60 and 30. Use of titanium tungsten for both barrier layers 30 and 60 may make the present invention more manufacturable with standard VLSI and ULSI sputter processing techniques. Specific examples of such process technologies include PRISM, EPIC, LBC, and power plus Arrays.
The titanium tungsten of the barrier layer 60 may be sputter deposited onto the semiconductor structure. It will be understood that the barrier layer 60 may comprise other conductors capable of preventing copper from reacting with later deposited materials. For example, the barrier layer 60 may comprise a varied metallurgical alloy or the like.
The barrier layers 30 and 60 sandwich the copper lead 50 and copper seed layer 32 such that the copper is not exposed to other metal layers or systems or dielectrics or systems. The barrier layers 30 and 60 may form ridged surfaces against abutting copper surfaces.
A bondable layer 62 may be formed on the barrier layer 60. In one embodiment, the bondable layer 62 may be sputter deposited onto the barrier layer 60. The bondable layer 62 may have a standard thickness of about 0.6 microns. It will be understood that the thickness of the bondable layer 62 may vary depending on the application for the interconnect.
The bondable layer 62 may comprise an aluminum alloy. In one embodiment, the bondable layer 62 may comprise aluminum with 1% silicon and a half percent copper (Al 1% Si 0.5% Cu). This metal may be deposited with conventional sputtering process technology.
A photoresist layer 70 may be formed on the bondable layer 62. The photoresist layer 70 may be deposited in accordance with the conventional photoresist technology. In one embodiment, the photoresist layer 70 may have a thickness of between 0.6 and 1.4 microns. It will be understood that the thickness of the photoresist may vary within the scope of the present invention. The photoresist layer 70 may be patterned and etched to leave photoresist only above bonding and auxiliary interconnect regions 72.
Referring to FIG. 1E, the semiconductor structure may be etched to remove sections of the bondable layer 62, barrier layer 60, copper seed layer 32 and barrier layer 30 not covered by the patterned photoresist layer 70. In one embodiment, the unprotected layers may be removed by an etched sequence applied in a specific order such that unprotected sections of the bondable layer 62 are etched away first, followed by underlying sections of the barrier layer 60 second, copper seed layer 32 third, and barrier layer 30 fourth. Accordingly, the etched sequence may be a top down etch removing the top or uppermost layer first and so on down through the following layers as required. Each etch of the sequence may be a standard chemical etch as prescribed in compatible processing.
Between etching barrier layer 60 and copper seed layer 32, a photoresist reflow may optionally be used to protect the remaining sections of the bondable layer 62 from being etched under edges of the pattern photoresist layer 70. Such undercut etching may occur at the edges of the patterned bondable layer 62 because edges of the remaining section of the bondable layer 62 will be exposed after etching the bondable layer 62 and the barrier layer 60. Reflow of photoresist may cover the exposed edges and help reduce undercutting of the bondable layer 62 during the subsequent etch of the copper seed layer 32. After etching, a nitride or other material passivation may be deposited and patterned to expose regions to be bonded to.
The patterned photoresist layer 70 may be removed to leave a thick plated copper interconnect 80 and associated auxiliary metal interconnect 82. The thick plated copper interconnect 80 may include a bonding cap 84 directly on top of the copper lead 50. As shown by FIG. 1E, the bonding cap 84 may comprise a bondable member formed from the bondable layer 62 and a barrier member 60 formed from the barrier layer 30 at the bonding region 72 above the copper lead 50. Accordingly, conventional gold wire and aluminum wedge bonds may be formed directly on the thick plated interconnect 60. The barrier member 88 prevents voiding of a bond with the copper lead 50. Accordingly, the bonds will not deteriorate and become mechanically or electrically unreliable. Accordingly, high parasitic series resistance associated bond pads is eliminated.
The auxiliary interconnect 82 is formed from the bondable layer 62, barrier layer 60, seed layer 32, and the barrier layer 30. The auxiliary interconnect 82 has a property of small geometry line control and the copper seed layer provides excellent electromigration control.
The auxiliary interconnect 82 is associated with the thick plated copper interconnect 80 in that the auxiliary interconnect 82 is formed form some of the layers of the thick plated copper interconnect 80 and without additional processing steps. The auxiliary interconnect 82 may be used where copper plating is not required. Advantageously, the auxiliary interconnect 82 provides interconnect flexibility by providing a free level of interconnect. Additionally, the auxiliary interconnect 82 provides a relatively small and low resistance interconnect that allows denser circuit designs resulting in die area savings.
Although the present invention has been described with several embodiments, various changes in modifications may be suggested to one skilled in the art. It is intended that the present invention accomplish such changes and modifications as fall within the scope of the appended claims.

Claims (10)

What is claimed is:
1. A semiconductor device, comprising:
a thick plated interconnect, comprising:
a section of a copper seed layer;
a copper lead over the section of the copper seed layer;
a bonding cap coupled to the copper lead, comprising:
a barrier member formed from a section of a barrier layer over the copper lead;
a bondable member formed from a section of a bondable layer comprising aluminum over the barrier member;
an auxiliary interconnect, comprising:
a second section of the copper seed layer;
an auxiliary barrier member formed from a second section of the barrier layer over the second section of the copper seed layer; and
an auxiliary bondable member formed from a second section of the layer over the auxiliary barrier member.
2. The thick plated interconnect of claim 1, the bondable layer further comprising sputtered aluminum and the barrier layer further comprising sputtered titanium tungsten (TiW).
3. The thick plated interconnect of claim 1, the bondable layer further comprising an aluminum alloy including silicon and copper.
4. The thick plated interconnect of claim 1, the bondable layer further comprising an aluminum alloy including between 1 percent silicon and between 0.5 and 2 percent copper.
5. The thick plated interconnect of claim 1, the bondable layer further comprising an aluminum alloy including about one (1) percent silicon and about one half (0.5) percent copper.
6. The thick plated interconnect of claim 1, the barrier layer comprising titanium tungsten (TiW).
7. The thick plated interconnect of claim 1, further comprising:
the section of the copper seed layer electrically coupled to a section of an underlying metal layer through a via in a dielectric layer; and
a section of a second barrier layer disposed between the section of the copper seed layer and the section of the underlying metal layer.
8. The thick plated interconnect of claim 7, further comprising:
the second section of the copper seed layer electrically coupled to a second section of the underlying metal layer through a second via in the dielectric layer; and
a second section of the second barrier layer disposed between the second section of the copper seed layer and the second section of the underlying metal layer.
9. The thick plated interconnect of claim 7, the second barrier layer comprising titanium tungsten (TiW).
10. The thick plated interconnect of claim 1, the copper lead further comprising a thickness greater than 10,000 angstroms.
US08/992,282 1996-12-19 1997-12-17 Thick plated interconnect and associated auxillary interconnect Expired - Lifetime US6020640A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/992,282 US6020640A (en) 1996-12-19 1997-12-17 Thick plated interconnect and associated auxillary interconnect

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3386296P 1996-12-19 1996-12-19
US08/992,282 US6020640A (en) 1996-12-19 1997-12-17 Thick plated interconnect and associated auxillary interconnect

Publications (1)

Publication Number Publication Date
US6020640A true US6020640A (en) 2000-02-01

Family

ID=21872887

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/992,282 Expired - Lifetime US6020640A (en) 1996-12-19 1997-12-17 Thick plated interconnect and associated auxillary interconnect

Country Status (5)

Country Link
US (1) US6020640A (en)
EP (1) EP0849797B1 (en)
JP (1) JPH10199884A (en)
AT (1) ATE363732T1 (en)
DE (1) DE69737762T2 (en)

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6180505B1 (en) * 1999-01-07 2001-01-30 International Business Machines Corporation Process for forming a copper-containing film
US6208032B1 (en) * 1998-06-23 2001-03-27 Kabushiki Kaisha Toshiba Semiconductor device and fabrication method thereof
US6261941B1 (en) * 1998-02-12 2001-07-17 Georgia Tech Research Corp. Method for manufacturing a multilayer wiring substrate
US6395633B1 (en) * 2001-05-16 2002-05-28 World Wiser Electrics Inc. Method of forming micro-via
US6462426B1 (en) * 2000-12-14 2002-10-08 National Semiconductor Corporation Barrier pad for wafer level chip scale packages
US20040041211A1 (en) * 1998-12-21 2004-03-04 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US6776893B1 (en) 2000-11-20 2004-08-17 Enthone Inc. Electroplating chemistry for the CU filling of submicron features of VLSI/ULSI interconnect
US20040166659A1 (en) * 1998-12-21 2004-08-26 Megic Corporation Top layers of metal for high performance IC's
US20040183209A1 (en) * 2003-03-17 2004-09-23 Megic Corporation High performance IC chip having discrete decoupling capacitors attached to its IC surface
US20050006759A1 (en) * 2003-07-10 2005-01-13 Min-Lung Huang [wafer structure and bumping process thereof]
US20050104177A1 (en) * 2000-10-18 2005-05-19 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US20050179068A1 (en) * 2004-01-23 2005-08-18 Michael Rueb Integrated semiconductor circuit having a logic and power metallization without intermetal dielectric
US20050200023A1 (en) * 1998-12-21 2005-09-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US20050205941A1 (en) * 2004-03-17 2005-09-22 Nissan Motor Co., Ltd. Heat resistant ohmic electrode and method of manufacturing the same
US20060014371A1 (en) * 2004-05-28 2006-01-19 Johann Rieger Method for forming an integrated semiconductor circuit arrangement
US20060017160A1 (en) * 2004-07-23 2006-01-26 Advanced Semiconductor Engineering Inc. Structure and formation method of conductive bumps
US20060022311A1 (en) * 2003-05-08 2006-02-02 Mou-Shiung Lin Chip structure with redistribution Circuit, chip package and manufacturing process thereof
US20060063378A1 (en) * 2004-09-23 2006-03-23 Megie Corporation Top layers of metal for integrated circuits
US20060108697A1 (en) * 2004-11-22 2006-05-25 Wang James J H Multi-chips semiconductor device assemblies and methods for fabricating the same
US20060163078A1 (en) * 2005-01-25 2006-07-27 Hutchinson Technology Incorporated Single pass, dual thickness electroplating system for head suspension components
US7122902B2 (en) * 2001-04-27 2006-10-17 Nec Electronics Corporation Semiconductor device
US20070087544A1 (en) * 2005-10-19 2007-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming improved bump structure
US20070102812A1 (en) * 2005-11-08 2007-05-10 Lsi Logic Corporation Reduction of macro level stresses in copper/Low-K wafers by altering aluminum pad/passivation stack to reduce or eliminate IMC cracking in post wire bonded dies
US20070200244A1 (en) * 2003-10-15 2007-08-30 Mou-Shiung Lin Post passivation interconnection schemes on top of the IC chips
US7271489B2 (en) 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US20090146305A1 (en) * 2004-10-12 2009-06-11 Megica Corporation Post passivation interconnection schemes on top of the ic chips
US20100065964A1 (en) * 2008-09-15 2010-03-18 Abdalla Aly Naem Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
US20100181675A1 (en) * 2009-01-16 2010-07-22 Infineon Technologies Ag Semiconductor package with wedge bonded chip
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
US20110233766A1 (en) * 2010-03-25 2011-09-29 Stats Chippac, Ltd. Semiconductor Device and Method of Forming a Dual UBM Structure for Lead Free Bump Connections
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US20120074508A1 (en) * 2006-03-22 2012-03-29 Mitsubishi Electric Corporation Power semiconductor device
US20130075911A1 (en) * 2010-04-09 2013-03-28 Renesas Electronics Corporation Semiconductor Device Having Electrode/Film Opening Edge Spacing Smaller Than Bonding Pad/Electrode Edge Spacing
US20150349242A1 (en) * 2014-05-27 2015-12-03 United Microelectronics Corp. Conductive pad structure and method of fabricating the same
US9478512B2 (en) * 2015-02-11 2016-10-25 Dawning Leading Technology Inc. Semiconductor packaging structure having stacked seed layers
US20200035610A1 (en) * 2018-07-30 2020-01-30 Infineon Technologies Austria Ag Power Metallization Structure for Semiconductor Devices
US10700019B2 (en) 2017-08-25 2020-06-30 Infineon Technologies Ag Semiconductor device with compressive interlayer
US11031321B2 (en) 2019-03-15 2021-06-08 Infineon Technologies Ag Semiconductor device having a die pad with a dam-like configuration
US11127693B2 (en) 2017-08-25 2021-09-21 Infineon Technologies Ag Barrier for power metallization in semiconductor devices

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268291B1 (en) 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US6133136A (en) * 1999-05-19 2000-10-17 International Business Machines Corporation Robust interconnect structure
US6790757B1 (en) * 1999-12-20 2004-09-14 Agere Systems Inc. Wire bonding method for copper interconnects in semiconductor devices
DE102006044691B4 (en) * 2006-09-22 2012-06-21 Infineon Technologies Ag Method for producing a terminal conductive structure of a component
US20090079080A1 (en) * 2007-09-24 2009-03-26 Infineon Technologies Ag Semiconductor Device with Multi-Layer Metallization
US9666546B1 (en) 2016-04-28 2017-05-30 Infineon Technologies Ag Multi-layer metal pads

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5468984A (en) * 1994-11-02 1995-11-21 Texas Instruments Incorporated ESD protection structure using LDMOS diodes with thick copper interconnect
US5656858A (en) * 1994-10-19 1997-08-12 Nippondenso Co., Ltd. Semiconductor device with bump structure
US5665991A (en) * 1992-03-13 1997-09-09 Texas Instruments Incorporated Device having current ballasting and busing over active area using a multi-level conductor process
US5705857A (en) * 1995-05-12 1998-01-06 International Business Machines Corporation Capped copper electrical interconnects
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5793112A (en) * 1996-04-11 1998-08-11 Mitsubishi Denki Kabushiki Kaisha Multilevel embedded wiring system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4000842A (en) * 1975-06-02 1977-01-04 National Semiconductor Corporation Copper-to-gold thermal compression gang bonding of interconnect leads to semiconductive devices
US4953003A (en) * 1987-05-21 1990-08-28 Siemens Aktiengesellschaft Power semiconductor device
US5226232A (en) * 1990-05-18 1993-07-13 Hewlett-Packard Company Method for forming a conductive pattern on an integrated circuit
US5130275A (en) * 1990-07-02 1992-07-14 Digital Equipment Corp. Post fabrication processing of semiconductor chips
US5209817A (en) * 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5508229A (en) * 1994-05-24 1996-04-16 National Semiconductor Corporation Method for forming solder bumps in semiconductor devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665991A (en) * 1992-03-13 1997-09-09 Texas Instruments Incorporated Device having current ballasting and busing over active area using a multi-level conductor process
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5656858A (en) * 1994-10-19 1997-08-12 Nippondenso Co., Ltd. Semiconductor device with bump structure
US5468984A (en) * 1994-11-02 1995-11-21 Texas Instruments Incorporated ESD protection structure using LDMOS diodes with thick copper interconnect
US5705857A (en) * 1995-05-12 1998-01-06 International Business Machines Corporation Capped copper electrical interconnects
US5793112A (en) * 1996-04-11 1998-08-11 Mitsubishi Denki Kabushiki Kaisha Multilevel embedded wiring system

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
08/711,138 FWC of 08/333,174, Multiple Transistor Integrated Circuit with Thick Copper Interconnect, originally filed Nov. 02, 1994, pending. *
08/864,386, Plated Copper and Plated Copper with Plated Nickel Cap Tnterconnect Metallization on a Silicon Integrated Circuit, filed May 28, 1997, pending. *
08/903,970 FWC of 07/850,601, Method for Current Ballasting and Busing over Active Device Area Using a Multi Level Conductor Process, originally filed Mar. 13, 1992, pending. *
08/903,970 FWC of 07/850,601, Method for Current Ballasting and Busing over Active Device Area Using a Multi-Level Conductor Process, originally filed Mar. 13, 1992, pending.

Cited By (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261941B1 (en) * 1998-02-12 2001-07-17 Georgia Tech Research Corp. Method for manufacturing a multilayer wiring substrate
US6208032B1 (en) * 1998-06-23 2001-03-27 Kabushiki Kaisha Toshiba Semiconductor device and fabrication method thereof
US7358610B2 (en) 1998-12-21 2008-04-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US8531038B2 (en) 1998-12-21 2013-09-10 Megica Corporation Top layers of metal for high performance IC's
US8471384B2 (en) 1998-12-21 2013-06-25 Megica Corporation Top layers of metal for high performance IC's
US20040041211A1 (en) * 1998-12-21 2004-03-04 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US8415800B2 (en) 1998-12-21 2013-04-09 Megica Corporation Top layers of metal for high performance IC's
US20040166659A1 (en) * 1998-12-21 2004-08-26 Megic Corporation Top layers of metal for high performance IC's
US8350386B2 (en) 1998-12-21 2013-01-08 Megica Corporation Top layers of metal for high performance IC's
US8304907B2 (en) 1998-12-21 2012-11-06 Megica Corporation Top layers of metal for integrated circuits
US8035227B2 (en) 1998-12-21 2011-10-11 Megica Corporation Top layers of metal for high performance IC's
US8022545B2 (en) 1998-12-21 2011-09-20 Megica Corporation Top layers of metal for high performance IC's
US20050200023A1 (en) * 1998-12-21 2005-09-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US8022546B2 (en) 1998-12-21 2011-09-20 Megica Corporation Top layers of metal for high performance IC's
US20050208757A1 (en) * 1998-12-21 2005-09-22 Mou-Shiung Lin Top layers of metal for high performance IC's
US20050245067A1 (en) * 1998-12-21 2005-11-03 Mou-Shiung Lin Top layers of metal for high performance IC's
US20050260849A1 (en) * 1998-12-21 2005-11-24 Mou-Shiung Lin Top layers of metal for high performance IC's
US20050266612A1 (en) * 1998-12-21 2005-12-01 Mou-Shiung Lin Top layers of metal for high performance IC's
US7999384B2 (en) 1998-12-21 2011-08-16 Megica Corporation Top layers of metal for high performance IC's
US20060012049A1 (en) * 1998-12-21 2006-01-19 Mou-Shiung Lin Top layers of metal for high performance IC's
US7884479B2 (en) 1998-12-21 2011-02-08 Megica Corporation Top layers of metal for high performance IC's
US7863654B2 (en) 1998-12-21 2011-01-04 Megica Corporation Top layers of metal for high performance IC's
US20060038231A9 (en) * 1998-12-21 2006-02-23 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US20060043594A1 (en) * 1998-12-21 2006-03-02 Mou-Shiung Lin Top layers of metal for high performance IC's
US20060051955A1 (en) * 1998-12-21 2006-03-09 Mou-Shiung Lin Top layers of metal for high performance IC's
US20100117236A1 (en) * 1998-12-21 2010-05-13 Megica Corporation Top layers of metal for high performance ic's
US20090309225A1 (en) * 1998-12-21 2009-12-17 Megica Corporation Top layers of metal for high performance IC's
US20090146307A1 (en) * 1998-12-21 2009-06-11 Megica Corporation Top layers of metal for high performance IC's
US7482693B2 (en) 1998-12-21 2009-01-27 Mou-Shiung Lin Top layers of metal for high performance IC's
US7465975B2 (en) 1998-12-21 2008-12-16 Mou-Shiung Lin Top layers of metal for high performance IC's
US7456100B2 (en) 1998-12-21 2008-11-25 Mou-Shiung Lin Top layers of metal for high performance IC's
US7442969B2 (en) 1998-12-21 2008-10-28 Mou-Shiung Lin Top layers of metal for high performance IC's
US7443033B2 (en) 1998-12-21 2008-10-28 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7425764B2 (en) 1998-12-21 2008-09-16 Mou-Shiung Lin Top layers of metal for high performance IC's
US7422976B2 (en) 1998-12-21 2008-09-09 Mou-Shiung Lin Top layers of metal for high performance IC's
US7420276B2 (en) 1998-12-21 2008-09-02 Megica Corporation Post passivation structure for semiconductor chip or wafer
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US7397135B2 (en) 1998-12-21 2008-07-08 Mou-Shiung Lin Top layers of metal for high performance IC's
US7294870B2 (en) 1998-12-21 2007-11-13 Mou-Shiung Lin Top layers of metal for high performance IC's
US7294871B2 (en) 1998-12-21 2007-11-13 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070262460A1 (en) * 1998-12-21 2007-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070267714A1 (en) * 1998-12-21 2007-11-22 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070273032A1 (en) * 1998-12-21 2007-11-29 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070284739A1 (en) * 1998-12-21 2007-12-13 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070288880A1 (en) * 1998-12-21 2007-12-13 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070290350A1 (en) * 1998-12-21 2007-12-20 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070290368A1 (en) * 1998-12-21 2007-12-20 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070290351A1 (en) * 1998-12-21 2007-12-20 Mou-Shiung Lin Top layers of metal for high performance IC's
US20070290349A1 (en) * 1998-12-21 2007-12-20 Mou-Shiung Lin Top layers of metal for high performance IC's
US7396756B2 (en) 1998-12-21 2008-07-08 Mou-Shiung Lin Top layers of metal for high performance IC's
US7388292B2 (en) 1998-12-21 2008-06-17 Mou-Shiung Lin Top layers of metal for high performance IC's
US7385291B2 (en) 1998-12-21 2008-06-10 Mou-Shiung Lin Top layers of metal for high performance IC's
US7385292B2 (en) 1998-12-21 2008-06-10 Mou-Shiung Lin Top layers of metal for high performance IC's
US7384864B2 (en) 1998-12-21 2008-06-10 Mou-Shiung Lin Top layers of metal for high performance IC's
US7382058B2 (en) 1998-12-21 2008-06-03 Mou-Shiung Lin Top layers of metal for high performance IC's
US20080121943A1 (en) * 1998-12-21 2008-05-29 Mou-Shiung Lin Top layers of metal for integrated circuits
US7372155B2 (en) 1998-12-21 2008-05-13 Mou-Shiung Lin Top layers of metal for high performance IC's
US7329954B2 (en) 1998-12-21 2008-02-12 Mou-Shiung Lin Top layers of metal for high performance IC's
US7372085B2 (en) 1998-12-21 2008-05-13 Mou-Shiung Lin Top layers of metal for high performance IC's
US7368376B2 (en) 1998-12-21 2008-05-06 Mou-Shiung Lin Top layers of metal for high performance IC's
US6180505B1 (en) * 1999-01-07 2001-01-30 International Business Machines Corporation Process for forming a copper-containing film
US7382052B2 (en) 2000-10-18 2008-06-03 Mou-Shiung Lin Post passivation interconnection schemes on top of IC chip
USRE43674E1 (en) 2000-10-18 2012-09-18 Megica Corporation Post passivation metal scheme for high-performance integrated circuit devices
US20080042285A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080045008A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080045002A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080042295A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080045004A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chips
US8492900B2 (en) 2000-10-18 2013-07-23 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080057703A1 (en) * 2000-10-18 2008-03-06 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080061444A1 (en) * 2000-10-18 2008-03-13 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080067694A1 (en) * 2000-10-18 2008-03-20 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080067693A1 (en) * 2000-10-18 2008-03-20 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080067686A1 (en) * 2000-10-18 2008-03-20 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080085596A1 (en) * 2000-10-18 2008-04-10 Megica Corporation Post passivation interconnection schemes on top of IC chips
US20080085597A1 (en) * 2000-10-18 2008-04-10 Megica Corporation Post passivation interconnection schemes on top of IC chips
US20080042293A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080042294A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7372161B2 (en) 2000-10-18 2008-05-13 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US8482127B2 (en) 2000-10-18 2013-07-09 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080032496A1 (en) * 2000-10-18 2008-02-07 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US8461686B2 (en) 2000-10-18 2013-06-11 Megica Corporation Post passivation interconnection schemes on top of IC chip
US8435883B2 (en) 2000-10-18 2013-05-07 Megica Corporation Post passivation interconnection schemes on top of IC chips
US20080045001A1 (en) * 2000-10-18 2008-02-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080009131A1 (en) * 2000-10-18 2008-01-10 Megica Corporation Post passivation interconnection schemes on top of the ic chips
US20080006946A1 (en) * 2000-10-18 2008-01-10 Megica Corporation Post passivation interconnection schemes on top of the ic chips
US20080001293A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080001302A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080001300A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080001301A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20080001294A1 (en) * 2000-10-18 2008-01-03 Megica Corporation Post passivation interconnection schemes on top of IC chip
US8188603B2 (en) 2000-10-18 2012-05-29 Megica Corporation Post passivation interconnection schemes on top of IC chip
US20050104177A1 (en) * 2000-10-18 2005-05-19 Megic Corporation Post passivation interconnection schemes on top of the IC chips
US8004088B2 (en) 2000-10-18 2011-08-23 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7923366B2 (en) 2000-10-18 2011-04-12 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7919865B2 (en) 2000-10-18 2011-04-05 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7915161B2 (en) 2000-10-18 2011-03-29 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7439626B2 (en) 2000-10-18 2008-10-21 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7439627B2 (en) 2000-10-18 2008-10-21 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7902067B2 (en) 2000-10-18 2011-03-08 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7892965B2 (en) 2000-10-18 2011-02-22 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7446035B2 (en) 2000-10-18 2008-11-04 Megica Corporation Post passivation interconnection schemes on top of IC chips
US7446031B2 (en) 2000-10-18 2008-11-04 Megica Corporation Post passivation interconnection schemes on top of IC chips
US7534718B2 (en) 2000-10-18 2009-05-19 Megica Corporation Post passivation interconnection schemes on top of IC chips
US7524759B2 (en) 2000-10-18 2009-04-28 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7459791B2 (en) 2000-10-18 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7462938B2 (en) 2000-10-18 2008-12-09 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7466007B2 (en) 2000-10-18 2008-12-16 Megica Corporation Post passivation interconnection schemes on top of IC chip
US7479450B2 (en) 2000-10-18 2009-01-20 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US6776893B1 (en) 2000-11-20 2004-08-17 Enthone Inc. Electroplating chemistry for the CU filling of submicron features of VLSI/ULSI interconnect
US6462426B1 (en) * 2000-12-14 2002-10-08 National Semiconductor Corporation Barrier pad for wafer level chip scale packages
US7122902B2 (en) * 2001-04-27 2006-10-17 Nec Electronics Corporation Semiconductor device
US6395633B1 (en) * 2001-05-16 2002-05-28 World Wiser Electrics Inc. Method of forming micro-via
US20040183209A1 (en) * 2003-03-17 2004-09-23 Megic Corporation High performance IC chip having discrete decoupling capacitors attached to its IC surface
US8368150B2 (en) * 2003-03-17 2013-02-05 Megica Corporation High performance IC chip having discrete decoupling capacitors attached to its IC surface
US7319277B2 (en) 2003-05-08 2008-01-15 Megica Corporation Chip structure with redistribution traces
US20060022311A1 (en) * 2003-05-08 2006-02-02 Mou-Shiung Lin Chip structure with redistribution Circuit, chip package and manufacturing process thereof
US7271498B2 (en) * 2003-07-10 2007-09-18 Advanced Semiconductor Engineering, Inc. Bump electrodes having multiple under ball metallurgy (UBM) layers
US20050006759A1 (en) * 2003-07-10 2005-01-13 Min-Lung Huang [wafer structure and bumping process thereof]
US20070246834A1 (en) * 2003-10-15 2007-10-25 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US20070200244A1 (en) * 2003-10-15 2007-08-30 Mou-Shiung Lin Post passivation interconnection schemes on top of the IC chips
US20080116581A1 (en) * 2003-10-15 2008-05-22 Megica Corporation Post passivation interconnection schemes on top of the ic chips
US8013449B2 (en) 2003-10-15 2011-09-06 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7417317B2 (en) 2003-10-15 2008-08-26 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US8456013B2 (en) 2003-10-15 2013-06-04 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7459790B2 (en) 2003-10-15 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7928576B2 (en) 2003-10-15 2011-04-19 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7271489B2 (en) 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7132726B2 (en) * 2004-01-23 2006-11-07 Infineon Technologies Ag Integrated semiconductor circuit having a logic and power metallization without intermetal dielectric
US20050179068A1 (en) * 2004-01-23 2005-08-18 Michael Rueb Integrated semiconductor circuit having a logic and power metallization without intermetal dielectric
US20070045782A1 (en) * 2004-03-17 2007-03-01 Nissan Motor Co., Ltd. Heat resistant ohmic electrode and method of manufacturing the same
US7135774B2 (en) * 2004-03-17 2006-11-14 Nissan Motor Co., Ltd. Heat resistant ohmic electrode and method of manufacturing the same
US7329614B2 (en) 2004-03-17 2008-02-12 Nissan Motor Co., Ltd. Heat resistant ohmic electrode and method of manufacturing the same
US20050205941A1 (en) * 2004-03-17 2005-09-22 Nissan Motor Co., Ltd. Heat resistant ohmic electrode and method of manufacturing the same
US7531439B2 (en) * 2004-05-28 2009-05-12 Infineon Technologies Ag Method for forming an integrated semiconductor circuit arrangement
US20060014371A1 (en) * 2004-05-28 2006-01-19 Johann Rieger Method for forming an integrated semiconductor circuit arrangement
US20060017160A1 (en) * 2004-07-23 2006-01-26 Advanced Semiconductor Engineering Inc. Structure and formation method of conductive bumps
US7381642B2 (en) 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US20060063378A1 (en) * 2004-09-23 2006-03-23 Megie Corporation Top layers of metal for integrated circuits
US7880304B2 (en) 2004-10-12 2011-02-01 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US20090146305A1 (en) * 2004-10-12 2009-06-11 Megica Corporation Post passivation interconnection schemes on top of the ic chips
US7339275B2 (en) * 2004-11-22 2008-03-04 Freescale Semiconductor, Inc. Multi-chips semiconductor device assemblies and methods for fabricating the same
US20060108697A1 (en) * 2004-11-22 2006-05-25 Wang James J H Multi-chips semiconductor device assemblies and methods for fabricating the same
US20060163078A1 (en) * 2005-01-25 2006-07-27 Hutchinson Technology Incorporated Single pass, dual thickness electroplating system for head suspension components
US20070087544A1 (en) * 2005-10-19 2007-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming improved bump structure
US8076779B2 (en) * 2005-11-08 2011-12-13 Lsi Corporation Reduction of macro level stresses in copper/low-K wafers
US20070102812A1 (en) * 2005-11-08 2007-05-10 Lsi Logic Corporation Reduction of macro level stresses in copper/Low-K wafers by altering aluminum pad/passivation stack to reduce or eliminate IMC cracking in post wire bonded dies
US20120074508A1 (en) * 2006-03-22 2012-03-29 Mitsubishi Electric Corporation Power semiconductor device
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US8324097B2 (en) * 2008-09-15 2012-12-04 National Semiconductor Corporation Method of forming a copper topped interconnect structure that has thin and thick copper traces
US20100065964A1 (en) * 2008-09-15 2010-03-18 Abdalla Aly Naem Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
US20100190332A1 (en) * 2008-09-15 2010-07-29 Abdalla Aly Naem Method of Forming a Copper Topped Interconnect Structure that has Thin and Thick Copper Traces
US7709956B2 (en) 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
US20100181675A1 (en) * 2009-01-16 2010-07-22 Infineon Technologies Ag Semiconductor package with wedge bonded chip
US9711438B2 (en) 2010-03-25 2017-07-18 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of forming a dual UBM structure for lead free bump connections
US20110233766A1 (en) * 2010-03-25 2011-09-29 Stats Chippac, Ltd. Semiconductor Device and Method of Forming a Dual UBM Structure for Lead Free Bump Connections
US8759209B2 (en) * 2010-03-25 2014-06-24 Stats Chippac, Ltd. Semiconductor device and method of forming a dual UBM structure for lead free bump connections
US20130075911A1 (en) * 2010-04-09 2013-03-28 Renesas Electronics Corporation Semiconductor Device Having Electrode/Film Opening Edge Spacing Smaller Than Bonding Pad/Electrode Edge Spacing
US8587135B2 (en) * 2010-04-09 2013-11-19 Renesas Electronics Corporation Semiconductor device having electrode/film opening edge spacing smaller than bonding pad/electrode edge spacing
US20150349242A1 (en) * 2014-05-27 2015-12-03 United Microelectronics Corp. Conductive pad structure and method of fabricating the same
US9761791B2 (en) * 2014-05-27 2017-09-12 United Microelectronics Corp. Conductive pad structure and method of fabricating the same
TWI562297B (en) * 2015-02-11 2016-12-11 Dawning Leading Technology Inc Samiconductor packaging structure and manufactoring method for the same
US9478512B2 (en) * 2015-02-11 2016-10-25 Dawning Leading Technology Inc. Semiconductor packaging structure having stacked seed layers
US10700019B2 (en) 2017-08-25 2020-06-30 Infineon Technologies Ag Semiconductor device with compressive interlayer
US11127693B2 (en) 2017-08-25 2021-09-21 Infineon Technologies Ag Barrier for power metallization in semiconductor devices
US20200035610A1 (en) * 2018-07-30 2020-01-30 Infineon Technologies Austria Ag Power Metallization Structure for Semiconductor Devices
US10734320B2 (en) * 2018-07-30 2020-08-04 Infineon Technologies Austria Ag Power metallization structure for semiconductor devices
US10978395B2 (en) * 2018-07-30 2021-04-13 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device having a power metallization structure
DE102019118681B4 (en) 2018-07-30 2023-11-23 Infineon Technologies Austria Ag Power metallization structure for semiconductor devices
US11031321B2 (en) 2019-03-15 2021-06-08 Infineon Technologies Ag Semiconductor device having a die pad with a dam-like configuration

Also Published As

Publication number Publication date
EP0849797A2 (en) 1998-06-24
DE69737762T2 (en) 2008-01-31
EP0849797A3 (en) 2000-05-03
ATE363732T1 (en) 2007-06-15
DE69737762D1 (en) 2007-07-12
JPH10199884A (en) 1998-07-31
EP0849797B1 (en) 2007-05-30

Similar Documents

Publication Publication Date Title
US6020640A (en) Thick plated interconnect and associated auxillary interconnect
US6025275A (en) Method of forming improved thick plated copper interconnect and associated auxiliary metal interconnect
US4927505A (en) Metallization scheme providing adhesion and barrier properties
US5731624A (en) Integrated pad and fuse structure for planar copper metallurgy
US6900539B2 (en) Semiconductor device and method for manufacturing the same
US4824803A (en) Multilayer metallization method for integrated circuits
US8319343B2 (en) Routing under bond pad for the replacement of an interconnect layer
US6187680B1 (en) Method/structure for creating aluminum wirebound pad on copper BEOL
US7947592B2 (en) Thick metal interconnect with metal pad caps at selective sites and process for making the same
US4742014A (en) Method of making metal contacts and interconnections for VLSI devices with copper as a primary conductor
EP0273629A2 (en) Transition metal clad interconnect for integrated circuits
KR20000057792A (en) Wire bonding to copper
US4843453A (en) Metal contacts and interconnections for VLSI devices
US6909191B2 (en) Semiconductor device
US6406996B1 (en) Sub-cap and method of manufacture therefor in integrated circuit capping layers
US4619887A (en) Method of plating an interconnect metal onto a metal in VLSI devices
US7186637B2 (en) Method of bonding semiconductor devices
EP0307272A2 (en) Aluminum alloy semiconductor interconnections having high purity titanium or niobium barrier layer
US6348732B1 (en) Amorphized barrier layer for integrated circuit interconnects
CN110783308A (en) Power metallization structure for semiconductor devices
US6649511B1 (en) Method of manufacturing a seed layer with annealed region for integrated circuit interconnects
US6396151B1 (en) Partially-overlapped interconnect structure and method of making
US6501177B1 (en) Atomic layer barrier layer for integrated circuit interconnects
EP1119046A2 (en) Wire bonding technique and architecture suitable for copper metallization in semiconductor structures
EP0949672A2 (en) PO Flow for copper metallization

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:EFLAND, TAYLOR R.;MAI, QUANG X.;WILLIAMS, CHARLES E.;AND OTHERS;REEL/FRAME:008906/0074;SIGNING DATES FROM 19961212 TO 19961218

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12