US6048739A - Method of manufacturing a high density magnetic memory device - Google Patents

Method of manufacturing a high density magnetic memory device Download PDF

Info

Publication number
US6048739A
US6048739A US08/993,005 US99300597A US6048739A US 6048739 A US6048739 A US 6048739A US 99300597 A US99300597 A US 99300597A US 6048739 A US6048739 A US 6048739A
Authority
US
United States
Prior art keywords
insulating layer
layer
providing
memory device
bit region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/993,005
Inventor
Allan T. Hurst
Jeffrey S. Sather
William F. Witcraft
Cheisan J. Yue
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Honeywell Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell Inc filed Critical Honeywell Inc
Priority to US08/993,005 priority Critical patent/US6048739A/en
Assigned to HONEYWELL, INC. reassignment HONEYWELL, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HURST, ALLAN T., SATHER, JEFFREY S., WITCRAFT, WILLIAM F., YUE, CHEISAN J.
Application granted granted Critical
Publication of US6048739A publication Critical patent/US6048739A/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. INVALID ASSIGNMENT: SEE REEL 012735 FRAME 0417 RE-RECORDED TO CORRECT RECORDATION DATE Assignors: HONEYWELL INTERNATIONAL, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HONEYWELL INTERNATIONAL, INC.
Assigned to THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE NAVY reassignment THE UNITED STATES OF AMERICA AS REPRESENTED BY THE SECRETARY OF THE NAVY CONFIRMATORY LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: HONEYWELL INTERNATIONAL, INC
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Anticipated expiration legal-status Critical
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Definitions

  • a thin film of magnetically coercive material is interposed between the corresponding word line and bit line.
  • the magnetic material at each intersection forms a magnetic memory cell in which a bit of information is stored.
  • a first layer 30 of an amorphous dielectric e.g., Plasma Enhanced Chemical Vapor Deposition Tetra-ethyl-ortho-silicate (PECVD TEOS)
  • PECVD TEOS Plasma Enhanced Chemical Vapor Deposition Tetra-ethyl-ortho-silicate
  • TEOS layer 30 is then patterned and etched to serve as a masking layer, as shown by process steps 32 and 34, respectively.
  • This masking layer defines the MRAM bit pattern for ion milling.
  • the wafers are then ion milled as shown at process step 36, for example in a Commonwealth ion mill.
  • the ion milling removes the exposed portions of magnetic stack 16 to form a bit pattern.
  • the ion milling step 34 removes approximately 2600 angstroms of TEOS layer 30, resulting in TEOS layer 30 having a thickness of approximately 600 angstroms.
  • the TEOS IMD step 70 provides both the TEOS layer and the sputtered nitride layer.
  • Steps 72 and 74 planarize the top surface of the sputtered nitride layer using a planarization coat and planarization etch back process, respectively.
  • NiFeCo magnetic material While a NiFeCo magnetic material is preferred, it is contemplated that any type of magnetic material may be used including Anisotropic Magneto-resistive (AMR) materials, Giant Magneto-Resistive (GMR) materials and/or Colossal Magneto-Resistive (CMR) materials.
  • AMR Anisotropic Magneto-resistive
  • GMR Giant Magneto-Resistive
  • CMR Colossal Magneto-Resistive

Abstract

A high density magnetic memory device and method of manufacture therefor, wherein the magnetic bit region is provided after selected higher temperature processing steps are performed. Illustrative higher temperature processing steps include those that are performed above for example 400° C., any may include contact and via plug processing. The present invention may allow, for example, contact and via plug processing to be used to form magnetic RAM devices. As indicated above, contact and/or via plug processing typically allows the size of the contacts and vias to be reduced, and the packing density of the resulting memory device to be increased.

Description

This invention was made with Government support under Contract Number N00014-96-C-2114 awarded by DARPA and NRL. The Government has certain rights in the invention.
CROSS REFERENCE TO CO-PENDING APPLICATIONS
The present application is related to U.S. patent application Ser. No. 08/993,009, filed Dec. 18, 1997, entitled "SELF-ALIGNED WORDLINE KEEPER AND METHOD OF MANUFACTURE THEREFOR", which is assigned to the assignee of the present invention and incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention generally relates to ferromagnetic thin film memories and sensors and, more particularly, relates to high density magnetic memory devices and sensors and methods of manufacturing therefor.
2. Description of the Prior Art
Digital memories of various kinds are used extensively in computer and computer system components, digital processing systems and the like. Such memories can be formed, to considerable advantage, based on the storage of digital bits as alternative states of magnetization of magnetic materials in each memory cell, typically thin-film materials. These films may be thin ferromagnetic films having information stored therein based on the direction of the magnetization occurring in those films. The information is typically obtained either by inductive sensing to determine the magnetization state, or by magnetoresistive sensing of each state.
Such ferromagnetic thin-film memories may be conveniently provided on the surface of a monolithic integrated circuit to thereby provide easy electrical interconnection between the memory cells and the memory operating circuitry on the monolithic integrated circuit. When so provided, it is desirable to reduce the size and increase the packing density of the ferromagnetic thin-film memory cells to achieve a significant density of stored digital bits.
Typically, a thin-film magnetic memory includes a number of bit lines intersected by a number of word lines.
At each intersection, a thin film of magnetically coercive material is interposed between the corresponding word line and bit line. The magnetic material at each intersection forms a magnetic memory cell in which a bit of information is stored.
The word lines are often provided on a first metal interconnect layer and the bit lines are provided on another. In each case, the metal interconnect layers must typically be connected to supporting circuitry or other underlayer structures on the monolithic integrated circuit for the memory to function. In addition, portions of the first metal interconnect layer are often connected to portions of the second metal interconnect layer to complete selected circuit elements.
When connecting a first interconnect layer with certain underlayers like poly runners or field areas, a contact structure is typically used. A contact structure includes an opening through a dielectric layer separating the underlayers and the first interconnect layer, which is then filled by the first interconnect layer or more preferably a plug. A plug is typically made from tungsten or similar material having high conductivity, and often enables the contact structure to be reduced in size while maintaining an acceptable contact resistance.
When connecting a second interconnect layer with another interconnect layer, such as the first interconnect layer, a via structure is typically used. A via structure typically includes an opening through the dielectric layer separating the corresponding interconnect layers, which is then filled by one of the interconnect layers, or more preferably a plug. As described above, a plug is typically made from tungsten or similar material having high conductivity, and enables the via structure to be reduced in size while maintaining an acceptable via resistance.
In a typical semiconductor fabrication process, plug processing, and in particular tungsten plug processing, is performed at a relatively high temperature such as greater than 450° C. These high temperatures can often damage or otherwise reduce the effectiveness of the magnetic materials in the bit region of a memory cell. Since the bit region is typically formed before the contacts or vias, the bit region would be subjected to the relatively high temperatures associated with contact and via processing when provided.
Accordingly, conventional magnetic RAM processes forego the use of contact and via plugs. Thus, to achieve an acceptable contact and via resistance, the size of the contact and via structures must typically be increased. Likewise, the size of the metal interconnect layers must be increased to meet the minimum overlap rules associated with most integrated circuit processes. This in-turn reduces the packing density that can be achieved for the memory device.
SUMMARY OF THE INVENTION
The present invention overcomes many of the disadvantages of the prior art by providing a high density magnetic memory device and method of manufacture therefor, wherein the magnetic bit region is provided after selected higher temperature processing steps are performed. Illustrative higher temperature processing steps include those that are performed above for example 400° C., any may include contact and via plug processing. The present invention may allow, for example, contact and via plug processing to be used to form magnetic RAM devices. As indicated above, contact and/or via plug processing typically allows the size of the contacts and vias to be reduced, and the packing density of the resulting memory device to be increased.
In one embodiment of the present invention, a first insulating layer is provided over the underlayers of an integrated circuit. Openings are then formed through the first insulating layer to align with a desired underlayer location such as a poly runner or a field region. A first metal interconnect layer is then provided to at least partially overlay selected openings. It is contemplated that a plug may be provided to at least partially fill the openings before the first metal interconnect layer is provided. This may reduce the resulting contact resistance.
Thereafter, a second insulating layer is provided on top of the first metal interconnect layer. It is recognized that portions of the first metal interconnect layer may be removed so that the second insulating layer directly engages the first insulating layer. Preferably, the second insulating layer is planarized using a polishing process, and in particular, a chemical-mechanical polishing process.
Via openings are then provided through the second insulating layer using a well known via etch technique. A second metal interconnect layer is then provided to at least partially overlay selected via openings. It is contemplated that a via plug may be provided to at least partially fill the via openings before the second metal interconnect layer is provided. This may reduce the resulting via resistance. The vias are preferably positioned to interconnect selected portions of the first metal interconnect layer to the second metal interconnect layer.
A third insulating layer is then provided on top of the second metal interconnect layer. The third insulating layer may be planarized using a polishing process, and in particular, a chemical-mechanical polishing process. Yet another set of via openings are provided through the third insulating layer using a well known via etch technique. Again, it is contemplated that a via plug may be provided to at least partially fill the via openings.
Next, a barrier layer is preferably provided on the third insulating layer to receive the magnetic materials of the bit region. The barrier layer and the third insulating layer can be thought of as a single insulating layer. The barrier layer helps prevent diffusion between the magnetic materials and the third insulating layer. Preferably, the barrier layer is planarized using a polishing process, and in particular, a chemical-mechanical polishing process. At this point, all contact and via processing is completed.
The magnetic materials are then deposited on the barrier layer to form the bit region. A portion of the magnetic materials and the barrier layer are etched away except at the desired bit location. A low temperature bit oxide is then deposited on top of the magnetic materials, and etched to overlap only selected portions of the bit region. Finally, A third metal interconnect layer is provided to selectively overlay the vias previously formed in the third insulating layer.
As can readily be seen, the magnetic materials are provided after all contact and vias processing is performed. This may reduce the exposure of the magnetic materials to the higher temperatures typically associated with contact and via processing, and in particular, contact and via plug processing. Thus, the present invention may allow contact and via plug processing to be used in magnetic RAM devices, thereby increasing the packing density of the same.
BRIEF DESCRIPTION OF THE DRAWINGS
Other objects of the present invention and many of the attendant advantages of the present invention will be readily appreciated as the same becomes better understood by reference to the following detailed description when considered in connection with the accompanying drawings, in which like reference numerals designate like parts throughout the figures thereof and wherein:
FIGS. 1A and 1B show a flow diagram of a prior art process flow for forming a magnetoresistive memory device;
FIG. 2 is a partial cross-sectional side view of a prior art magnetoresistive memory cell formed using the process flow of FIGS. 1A and 1B;
FIGS. 3A and 3B show a flow diagram of a process flow for forming a magnetoresistive memory device in accordance with in illustrative embodiment of the present invention; and
FIGS. 4A-4F show partial cross-sectional side views of an illustrative magnetoresistive memory cell at different stages in the process flow of FIGS. 3A and 3B.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
As indicated above, the present invention provides a high density magnetic memory device and method of manufacture therefor, wherein the magnetic bit region is provided after selected higher temperature processing steps are performed. Illustrative higher temperature processing steps include those that are performed above for example 400° C., any may include contact and via plug processing. The present invention may allow, for example, contact and via plug processing to be used to form magnetic RAM devices. As indicated above, contact and/or via plug processing typically allows the size of the contacts and vias to be reduced, and the packing density of the resulting memory device to be increased.
FIGS. 1A and 1B show a flow diagram of a prior art process flow for forming a magnetoresistive memory device. FIG. 2 is a partial cross-sectional side view of a prior art magnetoresistive memory cell formed using the process flow of FIGS. 1A and 1B. To provide a correspondence between the process steps of FIGS. 1A-1B and the corresponding layers shown in FIG. 2, like reference numerals are used where applicable.
The prior art process begins at 12 with wafers processed up through contact oxide deposition. U.S. Pat. No. 4,731,757 dated Mar. 15, 1988 and U.S. Pat. No. 4,780,848 dated Oct. 25, 1988 and assigned to Honeywell, Inc., include background material on magnetoresistive memories and are hereby incorporated by reference. Such a wafer 12 is shown in FIG. 2.
Depending on the thickness of the standard oxide, additional oxide may be added to wafer 12. Normal front-end IC processing typically deposits approximately 5000 angstroms of borophosphosilicate glass (BPSG) over the poly runners and field areas. The topography in a normal IC process can be more severe than is desired for MRAM devices. To provide the additional oxide thickness needed to smooth out the remaining underlayer topography, process step 14 adds an additional approximately 3000 angstroms of BPSG, and reflows it at approximately 800 decrees C to result in an approximately 8000 angstrom layer. This layer is shown at 14 in FIG. 2.
Following reflow, the magnetic materials are deposited, as shown at step 16. However, before depositing the magnetic materials, it is contemplated that a diffusion barrier layer 18 may be provided, e.g., of silicone nitride, which is sputter deposited to a thickness of approximately 300 angstroms to act as a diffusion barrier between the underlying oxide layer 14 and a future magnetic materials deposition.
Next in the process is the deposition of the magnetic layers or magnetic stack 16. Magnetic stack 16 may be a sandwich-type structure containing a first layer 20 of a 65% Ni, 15% Fe, 20% Co Permalloy of approximately 150 angstroms. Next, a non-magnetic intermediate layer 22 of TaN is deposited to a thickness of approximately 50 angstroms. Then, a second layer 24 of a Permalloy is deposited to a thickness of approximately 150 angstroms. This is followed by depositing a second non-magnetic resistive layer 26 of tantalum nitride to a thickness of 100-1000 angstroms, preferably about 550 angstroms. A capping, or etch stop, layer 28 of Chromium silicon (CrSi) is then deposited to a thickness in the range of 100 to 1500 angstroms, preferably about 800 angstroms.
All of the depositions of magnetic stack 16 are done in-situ, for example in a Comptech ISL-3000 sputter deposition system. The deposition of Permalloy layers 20 and 24 are done in the presence of a 25 Oersted magnetic field. The wafer is then subjected to a 350 degree C, 1 hour, 1000 Oersted anneal to set the easy axis of Permalloy layers 20 and 24.
Still referring to FIGS. 1A-1B and 2, a first layer 30 of an amorphous dielectric, e.g., Plasma Enhanced Chemical Vapor Deposition Tetra-ethyl-ortho-silicate (PECVD TEOS), is deposited to a thickness of approximately 3200 angstroms. TEOS layer 30 is then patterned and etched to serve as a masking layer, as shown by process steps 32 and 34, respectively. This masking layer defines the MRAM bit pattern for ion milling. The wafers are then ion milled as shown at process step 36, for example in a Commonwealth ion mill. The ion milling removes the exposed portions of magnetic stack 16 to form a bit pattern. The ion milling step 34 removes approximately 2600 angstroms of TEOS layer 30, resulting in TEOS layer 30 having a thickness of approximately 600 angstroms.
Next, a second layer 40 of amorphous dielectric, e.g., PECVD TEOS, is deposited to a thickness of approximately 2500 angstroms. TEOS layer 40 is deposited to protect the sidewalls of the bit region from the bit oxide and first metal etch, which are used for opening the bit-end contact between magnetic stack 16, bit-end and first metal.
As shown at step 42, a bit oxide photo cut is made at silicon interconnection location 43 and shorting bar location 52. A dry etch process step 44 is used to remove TEOS layer 40 and TEOS layer 30 for a silicon interconnection at the bit end 43 and at shorting bar location 52. A portion of TEOS layer 40 and TEOS layer 30 are removed to facilitate better first metal step coverage.
Next a contact photo cut 48 is made at silicon interconnection location 42. A dry etch 50 is used to remove portions of BPSG layer 14 to form via 44. Next, a layer of aluminum copper AlCu is deposited to a thickness of about 5000 angstroms. The deposition of first metal comprised of layer 56 and layer 58 is by known methods.
First metal is then patterned and etched as shown by process steps 62 and 64, respectively. After first metal etch step 64, a 200 to 1000 angstrom, preferably a 500 angstrom thick layer of sputtered nitride is deposited to serve as an adhesion layer of first metal and inter-metal dielectric (IMD). This layer and all subsequent layers are not shown in FIG. 2, as they are well known in the art.
Following the sputtered nitride layer, an 8000 angstrom thick layer of PECVD TEOS is deposited as the inter-metal dielectric (IMD) layer. In the process flow shown, the TEOS IMD step 70 provides both the TEOS layer and the sputtered nitride layer. Steps 72 and 74 planarize the top surface of the sputtered nitride layer using a planarization coat and planarization etch back process, respectively.
Step 76 re-deposits an IMD and nitride layer using the TEOS process. Steps 78 and 80 cut and etch the vias. Steps 82, 84 and 86 deposit, pattern and etch the second metal interconnect layer.
Steps 90, 92 and 94 provide a 9000 angstrom thick layer of plasma nitride as a final passivation layer. Following passivation, a back metal is deposited at step 96. Finally, the wafers are annealed at 250 to 500 degrees C, preferably 325° C. for one hour in a magnetic field to permanently set the easy axis orientation transverse to the bits at step 98. A 4000 Oersted magnetic field has been used successfully. Other magnetic field values may also be used.
In the process described above, the amorphous dielectric layers 30 and 40 were described as bias sputter quartz. Other amorphous dielectric materials may be used for example sputter silicon nitride.
As can be seen, the prior art process deposits the magnetic materials before the contact and via structures are formed. To avoid damaging the magnetic materials, tungsten plugs are typically avoided in the prior art when fabricating MRAM devices. If tungsten plugs are desired, the magnetic materials will likely be damaged to at least some degree, reducing the effectiveness of the memory.
FIGS. 3A and 3B show a flow diagram of a process flow for forming a magnetoresistive memory device in accordance with in illustrative embodiment of the present invention. FIGS. 4A-4F show partial cross-sectional side views of an illustrative magnetoresistive memory cell at different stages in the process flow of FIGS. 3A and 3B. To provide a correspondence between the process steps of FIGS. 3A-3B and the corresponding layers of FIGS. 4A-4F, like reference numerals are used, where applicable.
The process of the present invention begins at 120 with wafers processed up through contact oxide deposition. U.S. Pat. No. 4,731,757 dated Mar. 15, 1988 and U.S. Pat. No. 4,780,848 dated Oct. 25, 1988 and assigned to Honeywell, Inc., include background material on magnetoresistive memories and are hereby incorporated by reference. Such a wafer 120 is shown in FIGS. 4A.
Depending on the thickness of the standard oxide, additional oxide may be added to wafer 120. Normal front-end IC processing typically deposits approximately 5000 angstroms of borophosphosilicate glass (BPSG) over the poly runners and field areas. The topography in a normal IC process can be more severe than is desired for MRAM devices. To provide the additional oxide thickness needed to smooth out the remaining underlayer topography, process step 140 adds an additional approximately 3000 angstroms of BPSG, and reflows it at approximately 800 decrees C to result in the approximately 8000 angstrom layer 140 of FIG. 4A.
Following reflow, steps 142 and 144 form a number of contact openings, for example contact opening 146 in FIG. 4A, in dielectric layer 140. The contact opening are preferably positioned to interconnect a portion of a first interconnect layer with a desired underlayer such as a poly runner or field region. In a preferred embodiment, contact plugs are not used to fill the contact openings. However, it is contemplated that contact plugs may be used if desired.
Process steps 150, 152 and 154 deposit, pattern and etch a first metal interconnect layer, respectively. The first metal interconnect layer is shown at 156 in FIG. 4A. Thereafter, a second dielectric layer 160 is deposited on the first metal interconnect layer.
In a preferred embodiment, the second dielectric layer 160 is planarized using a polishing process at step 162. Both mechanical and chemical-mechanical polishing are contemplated, with the later being preferred. In performing the polishing step 162, a commercial semiconductor CMP polishing system is used, which is available from Strasbaugh, IPEC, Speedpham and other suppliers. Preferably, a Strasbaugh 6DT system is used in conjunction with a Suba IV polishing pad available from Rodel Corporation. A SS25 colloidal silica slurry is preferably used, available from Cabot. The platten speed is preferably set to 30-60 revolutions per minute, and the down pressure is set to 2-5 PSI.
Once planarized, an cap oxide, or etch stop, is deposited on the second dielectric layer at step 164. Steps 166 and 168 provide a number of via openings in the second dielectric layer 160. Then via plugs are provided in the number of openings to reduce the resistance of the via structures as shown at steps 170 and 172. Via plug processing is typically performed at or above about 400° C., and can be provided in accordance with the present invention because the magnetic materials have not yet been deposited. The via plugs are preferably formed from tungsten, and are provided by a well-known chemical-vapor-deposition (CVD) process.
Next, process steps 176, 178 and 180 deposit, pattern and etch a second metal interconnect layer, respectively. The second metal interconnect layer is shown at 182 in FIG. 4A. Thereafter, a third dielectric layer 190 is deposited on the second metal interconnect layer.
In a preferred embodiment, the third dielectric layer 190 is also planarized using a polishing process at step 192. Both mechanical and chemical-mechanical polishing are contemplated, with the later being preferred. In performing the polishing step 192, a commercial semiconductor CMP polishing system is used, which is available from Strasbaugh, IPEC, Speedpham and other suppliers. Preferably, a Strasbaugh 6DT system is used in conjunction with a Suba IV polishing pad available from Rodel Corporation. A SS25 colloidal silica slurry is preferably used, available from Cabot. The platten speed is preferably set to 30-60 revolutions per minute, and the down pressure is set to 2-5 PSI.
Once planarized, a cap oxide, or etch stop, is deposited on the third dielectric layer, as shown at step 200. Steps 202 and 204 provide a number of via openings in the third dielectric layer 190. Referring now specifically to FIG. 3B, number of via plugs are then provided, as shown at steps 206 and 209. The via plugs are preferably formed from tungsten, and are provided by a chemical-vapor-deposition (CVD) process.
Step 210 deposits a silicon nitride barrier layer on the third dielectric layer 190. This is explicitly shown in FIG. 4B by the addition of layer 210. A chemical-mechanical polishing step 212 is then used to planarize the silicon nitride layer. The chemical-mechanical polishing step 212 is performed as described above. Silicon nitride is non-conductive, and thus the third dielectric layer and the silicon nitride layer may collectively be referred to as a single dielectric layer.
The polishing step 212 provides a smooth seed surface for growing the magnetic materials, and thus allows the magnetic materials to be of reduced in size. In performing the polishing step 212, a commercial semiconductor CMP polishing system is used, which is available from Strasbaugh, IPEC, Speedpham and other suppliers. Preferably, a Strasbaugh 6DT system is used in conjunction with a Suba IV polishing pad available from Rodel Corporation. A SS25 colloidal silica slurry is preferably used, available from Cabot. The platten speed is preferably set to 30-60 revolutions per minute, and the down pressure is set to 2-5 PSI.
Next, process step 220 deposits the magnetic materials or magnetic stack. Like the prior art, magnetic stack 220 may be a sandwich-type structure containing a first layer 20 of a 65% Ni, 15% Fe, 20% Co Permalloy of approximately 150 angstroms. Next, a non-magnetic intermediate layer of TaN is deposited to a thickness of approximately 50 angstroms. Then, a second layer of a Permalloy is deposited to a thickness of approximately 150 angstroms. This is followed by depositing a second non-magnetic resistive layer of tantalum nitride to a thickness of 50-1000 angstroms, preferably about 550 angstroms. A capping, or etch stop, layer of Chromium silicon (CrSi) is then deposited to a thickness in the range of 100 to 1500 angstroms, preferably about 800 angstroms. The deposition of the magnetic stack 220 is explicitly shown in FIG. 4C.
All of the depositions of magnetic stack 220 are preferably done in-situ, for example in a Comptech ISL-3000 sputter deposition system. The deposition of the Permalloy layers are done in the presence of a 25 Oersted magnetic field. The wafer is then subjected to a 350 degree C, 1 hour, 1000 Oersted anneal to set the easy axis of Permalloy layers.
While a NiFeCo magnetic material is preferred, it is contemplated that any type of magnetic material may be used including Anisotropic Magneto-resistive (AMR) materials, Giant Magneto-Resistive (GMR) materials and/or Colossal Magneto-Resistive (CMR) materials.
Still referring to FIGS. 3B, a layer of an amorphous dielectric, e.g., PECVD TEOS, is deposited to a thickness of approximately 3200 angstroms. TEOS layer is then patterned and etched to serve as a masking layer, as shown by process step 224. This masking layer defines the MRAM bit pattern for the ion milling. The wafers are then ion milled as shown at process step 226, for example in a Commonwealth ion mill. The ion milling removes the exposed portions of magnetic stack 220 and silicon nitride layer 210 to form a bit pattern. The result is shown in FIG. 4D.
Next, a low temperature dielectric layer is deposited, patterned and etched as shown by steps 230, 232 and 234, respectively. This results in a bit oxide layer 240 (see FIG. 4E) that covers at least a portion of the top surface of the magnetic stack 220.
A third metal interconnect layer 192 is then deposited, patterned and etched as shown by steps 250, 252 and 254, respectively. The third metal interconnect layer is electrically connected to at least a portion of the magnetic stack 220, as shown in FIG. 4F.
Finally, process steps 260, 262 and 264 provide a plasma nitride passivation layer. Following passivation, a back metal is deposited at step 270. Finally, step 280 anneals the wafers at 250 to 500 degrees C, preferably 310° C. for one hour in a magnetic field to permanently set the easy axis orientation transverse to the bits. A 4000 Oersted magnetic field has been used successfully. Other magnetic field values may also be used.
As is evident from the foregoing description, the present invention contemplates depositing the magnetic materials after the contact and via structures are formed. This may allow plug processing to be used on magnetic RAM devices, thereby increasing the packing density of the same.
Finally, and specifically referring to FIG. 4F, it is contemplated that a word line structure 300 having a magnetic field keeper may be formed directly under the magnetic stack. The word line structure is shown in phantom lines, and may be formed by: providing a cavity in the third dielectric layer 190; partially filling the cavity with a soft magnetic material; and filling the remainder of the cavity with a word line metal material. A detailed discussion of this feature can be found in commonly assigned U.S. patent application Ser. No 08/993,009, filed Dec. 18, 1997, and entitled "SELF-ALIGNED WORDLINE KEEPER AND METHOD OF MANUFACTURE THEREFOR".
Having thus described the preferred embodiments of the present invention, those of skill in the art will readily appreciate that the teachings found herein may be applied to yet other embodiments within the scope of the claims hereto attached.

Claims (26)

What is claimed is:
1. A method for fabricating a magnetoresistive memory device having a magnetic bit region, the magnetoresistive memory device fabricated by performing a number of processing steps, the method comprising the steps of:
performing all via processing for the magnetoresistive memory device before providing the magnetic bit region of the magnetoresistive memory device.
2. A method according to claim 1 wherein the selected via processing steps include a via plug processing step.
3. A method according to claim 2 wherein the via plug processing step includes depositing a tungsten plug into a via opening.
4. A method according to claim 3 wherein at least part of the via plug processing step is performed above 250° C.
5. A method for fabricating a magnetoresistive memory device having a magnetic bit region, the magnetoresistive memory device fabricated on an integrated circuit having a number of underlayers, the method comprising the steps of:
providing an insulating layer above the underlayers;
performing selected contact processing steps for forming one or more contact holes that extend through the insulating layer to selected portions of the underlayers
filling the contact holes with an electrically conductive material, thereby forming filled contact holes having a top surface;
planarizing the insulating layer along with the top surface of the contact holes;
providing a magnetic bit region above the insulating layer;
providing an interconnect layer, wherein the interconnect layer overlaps and forms an electrical connection with at least part of the bit region and further overlaps and forms an electrical connection with at least one of the filled contact holes.
6. A method for fabricating a magnetoresistive memory device on a first insulating layer, comprising the steps of:
providing a first interconnect layer above the insulating layer;
providing a second insulating layer above the first interconnect layer;
forming via holes through the second insulating layer down to the first interconnect layer;
filling the via holes with an electrically conductive material, thereby forming filled via holes having a top surface;
planarizing the second insulating layer along with the top surface of the via holes;
providing a magnetic bit region above the second insulating layer;
providing a second interconnect layer, wherein the second interconnect layer overlaps and forms an electrical connection with at least part of the bit region and further overlaps and forms an electrical connection with at least one of the filled via holes.
7. A method according to claim 6 wherein the second insulating region is planarized using a polishing step.
8. A method according to claim 7 wherein the polishing step is a mechanical polishing step.
9. A method according to claim 7 wherein the polishing step is a chemical-mechanical polishing step.
10. A method according to claim 6 further comprising the step of providing one or more openings in the first insulating layer before providing the first interconnect layer.
11. A method according to claim 10 wherein the one or more openings are at least partially filled with tungsten.
12. A method according to claim 6 wherein said second insulating layer comprises an insulating layer and a barrier layer.
13. A method according to claim 12 wherein selected portions of the barrier layer are removed after the bit region is provided.
14. A method according to claim 12 wherein said bit region is formed on the top surface of the barrier layer of said second insulating layer.
15. A method for fabricating a magnetoresistive memory device comprising the steps of:
providing a first insulating layer above the top surface of an integrated circuit having a number of layers;
providing a number of openings in the first insulating layer;
providing a first interconnect layer above the first insulating layer, wherein selected portions of the interconnect layer overlay at least one of the openings;
providing a second insulating layer above the first interconnect layer;
providing all via openings in the second insulating layer for the magnetoresistive memory device before providing a bit region above the second insulating layer; and
providing a second interconnect layer above the second insulating layer, wherein selected portions of the second interconnect layer overlay at least one of the via openings, and wherein selected portions of the second interconnect layer are electrically connected to the bit region.
16. A method according to claim 15 wherein the number of openings are at least partially filled with tungsten.
17. A method according to claim 15 wherein the number of via openings are at least partially filled with tungsten.
18. A method according to claim 15 further comprising the step of planarizing at least the second insulating layer before providing the bit region.
19. A method according to claim 18 wherein the second insulating layer includes a dielectric layer and a barrier layer.
20. A method according to claim 18 wherein the second insulating layer is planarized via a polishing step.
21. A method according to claim 20 wherein the polishing step is a mechanical polishing step.
22. A method according to claim 20 wherein the polishing step is a chemical-mechanical polishing step.
23. A method according to claim 15 further comprising the step of providing a bit oxide layer above at least a portion of the top of the bit region before the second interconnect layer is formed.
24. A method according to claim 15 wherein the at least one magnetic material is a Anisotropic Magneto-Resistive (AMR) Material.
25. A method according to claim 15 wherein the at least one magnetic material is a Giant Magneto-Resistive (GMR) Material.
26. A method according to claim 15 wherein the at least one magnetic material is a Callosal Magneto-Resistive (CMR) Material.
US08/993,005 1997-12-18 1997-12-18 Method of manufacturing a high density magnetic memory device Expired - Lifetime US6048739A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/993,005 US6048739A (en) 1997-12-18 1997-12-18 Method of manufacturing a high density magnetic memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/993,005 US6048739A (en) 1997-12-18 1997-12-18 Method of manufacturing a high density magnetic memory device

Publications (1)

Publication Number Publication Date
US6048739A true US6048739A (en) 2000-04-11

Family

ID=25538996

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/993,005 Expired - Lifetime US6048739A (en) 1997-12-18 1997-12-18 Method of manufacturing a high density magnetic memory device

Country Status (1)

Country Link
US (1) US6048739A (en)

Cited By (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153443A (en) * 1998-12-21 2000-11-28 Motorola, Inc. Method of fabricating a magnetic random access memory
US6165803A (en) * 1999-05-17 2000-12-26 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US6174737B1 (en) * 1998-08-31 2001-01-16 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US6317359B1 (en) 1999-07-07 2001-11-13 Iowa State University Research Foundation, Inc. Non-volatile magnetic circuit
US6343032B1 (en) 1999-07-07 2002-01-29 Iowa State University Research Foundation, Inc. Non-volatile spin dependent tunnel junction circuit
DE10050076A1 (en) * 2000-10-10 2002-04-18 Infineon Technologies Ag Process for the production of a microtechnical structure and microtechnical component
US6392922B1 (en) 2000-08-14 2002-05-21 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6413788B1 (en) * 2001-02-28 2002-07-02 Micron Technology, Inc. Keepers for MRAM electrodes
US6473328B1 (en) 2001-08-30 2002-10-29 Micron Technology, Inc. Three-dimensional magnetic memory array with a minimal number of access conductors therein
US6482657B2 (en) * 2000-01-18 2002-11-19 Tdk Corporation Methods of manufacturing tunnel magnetoresistive element, thin-film magnetic head and memory element
US20030048676A1 (en) * 2001-08-31 2003-03-13 Nve Corporation Antiparallel magnetoresistive memory cells
US6542000B1 (en) 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
US20030128603A1 (en) * 2001-10-16 2003-07-10 Leonid Savtchenko Method of writing to a scalable magnetoresistance random access memory element
US20030165001A1 (en) * 2002-03-04 2003-09-04 Opnext Japan, Inc. Mach-Zehnder optical modulator
US20030181056A1 (en) * 2002-03-22 2003-09-25 Applied Materials, Inc. Method of etching a magnetic material film stack using a hard mask
US20030199106A1 (en) * 2001-04-10 2003-10-23 Durcan D. Mark Minimally spaced MRAM structures
US20030203510A1 (en) * 2002-04-30 2003-10-30 Max Hineman Protective layers for MRAM devices
US6649423B2 (en) * 2001-10-04 2003-11-18 Hewlett-Packard Development Company, L.P. Method for modifying switching field characteristics of magnetic tunnel junctions
US6660568B1 (en) 2002-11-07 2003-12-09 International Business Machines Corporation BiLevel metallization for embedded back end of the line structures
US6670660B2 (en) * 2000-09-28 2003-12-30 Kabushiki Kaisha Toshiba Semiconductor memory device utilizing tunnel magneto resistive effects and method for manufacturing the same
US20040001368A1 (en) * 2002-05-16 2004-01-01 Nova Research, Inc. Methods of fabricating magnetoresistive memory devices
US20040007751A1 (en) * 2002-07-15 2004-01-15 John Mattson Magnetoresistive memory devices
US20040012056A1 (en) * 2002-07-17 2004-01-22 Hasan Nejad Process flow for building MRAM structures
US20040019272A1 (en) * 2002-07-23 2004-01-29 Honeywell International Inc. Magnetic sensing device
US20040029393A1 (en) * 2002-08-12 2004-02-12 Applied Materials, Inc. Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US20040026369A1 (en) * 2002-08-12 2004-02-12 Chentsau Ying Method of etching magnetic materials
US6693826B1 (en) 2001-07-30 2004-02-17 Iowa State University Research Foundation, Inc. Magnetic memory sensing method and apparatus
US20040037109A1 (en) * 2002-08-21 2004-02-26 Witcraft William F. Method for building a magnetic keeper or flux concentrator used for writing magnetic bits on a MRAM device
US20040040628A1 (en) * 2002-08-28 2004-03-04 Tuttle Mark E. Single substrate annealing of magnetoresistive structure
US20040043620A1 (en) * 2002-08-29 2004-03-04 Applied Materials, Inc. Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US6706639B2 (en) * 2001-12-28 2004-03-16 Union Semiconductor Technology Corp. Method for interconnecting magnetoresistive memory bits
US20040066678A1 (en) * 2002-10-02 2004-04-08 Hyung-Rok Oh Magnetic memory device implementing read operation tolerant to bitline clamp voltage (VREF)
US20040087037A1 (en) * 2002-10-31 2004-05-06 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
US6759263B2 (en) 2002-08-29 2004-07-06 Chentsau Ying Method of patterning a layer of magnetic material
US6781174B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Magnetoresistive memory device assemblies
US6784091B1 (en) * 2003-06-05 2004-08-31 International Business Machines Corporation Maskless array protection process flow for forming interconnect vias in magnetic random access memory devices
US6821907B2 (en) 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
US20040264238A1 (en) * 2003-06-27 2004-12-30 Akerman Bengt J. MRAM element and methods for writing the MRAM element
US20050023581A1 (en) * 2003-07-29 2005-02-03 International Business Machines Corporation Magnetic random access memory and method of fabricating thereof
US20050041463A1 (en) * 2003-08-22 2005-02-24 Drewes Joel A. Mram layer having domain wall traps
US20050045929A1 (en) * 2003-08-25 2005-03-03 Janesky Jason A. Magnetoresistive random access memory with reduced switching field variation
US6869855B1 (en) 2001-09-02 2005-03-22 Borealis Technical Limited Method for making electrode pairs
US6872993B1 (en) 1999-05-25 2005-03-29 Micron Technology, Inc. Thin film memory device having local and external magnetic shielding
US20050079638A1 (en) * 2003-10-14 2005-04-14 Drewes Joel A. System and method for reducing shorting in memory cells
US20050098807A1 (en) * 2003-11-06 2005-05-12 Honeywell International Inc. Bias-adjusted giant magnetoresistive (GMR) devices for magnetic random access memory (MRAM) applications
US20050097725A1 (en) * 2003-11-12 2005-05-12 Honeywell International Inc. Method for fabricating giant magnetoresistive (GMR) devices
US6893893B2 (en) 2002-03-19 2005-05-17 Applied Materials Inc Method of preventing short circuits in magnetic film stacks
US20050106359A1 (en) * 2003-11-13 2005-05-19 Honeywell International Inc. Method of processing substrate
US20050270830A1 (en) * 2003-09-05 2005-12-08 Micron Technology, Inc. Integrated circuit structure formed by damascene process
US6989576B1 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. MRAM sense layer isolation
US20060017083A1 (en) * 2002-07-17 2006-01-26 Slaughter Jon M Multi-state magnetoresistance random access cell with improved memory storage density
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US20060108620A1 (en) * 2004-11-24 2006-05-25 Rizzo Nicholas D Reduced power magnetoresistive random access memory elements
US7160738B2 (en) 2004-01-26 2007-01-09 Micron Technology, Inc. Magnetic annealing sequences for patterned MRAM synthetic antiferromagnetic pinned layers
US20090209050A1 (en) * 2008-02-18 2009-08-20 Yung-Hung Wang In-Situ Formed Capping Layer in MTJ Devices
US20110187361A1 (en) * 2010-02-04 2011-08-04 Nxp B.V. Magnetic field sensor
US20120169330A1 (en) * 2010-12-31 2012-07-05 Voltafield Technology Corporation Magnetoresistance sensor and fabricating method thereof
US20120212218A1 (en) * 2011-02-22 2012-08-23 Voltafield Technology Corporation Magnetoresistive sensor
US8767432B1 (en) * 2012-12-11 2014-07-01 International Business Machines Corporation Method and apparatus for controlled application of Oersted field to magnetic memory structure
CN104241520A (en) * 2013-06-12 2014-12-24 恩智浦有限公司 Manufacturing magnetic sensor elements monolithically integrated at a semiconductor chip
US20180061482A1 (en) * 2017-09-11 2018-03-01 Beihang University High-density magnetic memory device
US9964606B2 (en) 2016-05-12 2018-05-08 Nxp B.V. Sensor module and method of manufacture

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3816909A (en) * 1969-04-30 1974-06-18 Hitachi Chemical Co Ltd Method of making a wire memory plane
US3947831A (en) * 1972-12-11 1976-03-30 Kokusai Denshin Denwa Kabushiki Kaisha Word arrangement matrix memory of high bit density having a magnetic flux keeper
US4044330A (en) * 1976-03-30 1977-08-23 Honeywell Information Systems, Inc. Power strobing to achieve a tri state
US4060794A (en) * 1976-03-31 1977-11-29 Honeywell Information Systems Inc. Apparatus and method for generating timing signals for latched type memories
US4158891A (en) * 1975-08-18 1979-06-19 Honeywell Information Systems Inc. Transparent tri state latch
US4455626A (en) * 1983-03-21 1984-06-19 Honeywell Inc. Thin film memory with magnetoresistive read-out
US4731757A (en) * 1986-06-27 1988-03-15 Honeywell Inc. Magnetoresistive memory including thin film storage cells having tapered ends
US4780848A (en) * 1986-06-03 1988-10-25 Honeywell Inc. Magnetoresistive memory with multi-layer storage cells having layers of limited thickness
US5039655A (en) * 1989-07-28 1991-08-13 Ampex Corporation Thin film memory device having superconductor keeper for eliminating magnetic domain creep
US5064499A (en) * 1990-04-09 1991-11-12 Honeywell Inc. Inductively sensed magnetic memory manufacturing method
US5140549A (en) * 1990-04-09 1992-08-18 Honeywell Inc. Inductively sensed magnetic memory
US5496759A (en) * 1994-12-29 1996-03-05 Honeywell Inc. Highly producible magnetoresistive RAM process
US5547599A (en) * 1989-03-17 1996-08-20 Raytheon Company Ferrite/epoxy film
US5569617A (en) * 1995-12-21 1996-10-29 Honeywell Inc. Method of making integrated spacer for magnetoresistive RAM
US5861328A (en) * 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3816909A (en) * 1969-04-30 1974-06-18 Hitachi Chemical Co Ltd Method of making a wire memory plane
US3947831A (en) * 1972-12-11 1976-03-30 Kokusai Denshin Denwa Kabushiki Kaisha Word arrangement matrix memory of high bit density having a magnetic flux keeper
US4158891A (en) * 1975-08-18 1979-06-19 Honeywell Information Systems Inc. Transparent tri state latch
US4044330A (en) * 1976-03-30 1977-08-23 Honeywell Information Systems, Inc. Power strobing to achieve a tri state
US4060794A (en) * 1976-03-31 1977-11-29 Honeywell Information Systems Inc. Apparatus and method for generating timing signals for latched type memories
US4455626A (en) * 1983-03-21 1984-06-19 Honeywell Inc. Thin film memory with magnetoresistive read-out
US4780848A (en) * 1986-06-03 1988-10-25 Honeywell Inc. Magnetoresistive memory with multi-layer storage cells having layers of limited thickness
US4731757A (en) * 1986-06-27 1988-03-15 Honeywell Inc. Magnetoresistive memory including thin film storage cells having tapered ends
US5547599A (en) * 1989-03-17 1996-08-20 Raytheon Company Ferrite/epoxy film
US5039655A (en) * 1989-07-28 1991-08-13 Ampex Corporation Thin film memory device having superconductor keeper for eliminating magnetic domain creep
US5064499A (en) * 1990-04-09 1991-11-12 Honeywell Inc. Inductively sensed magnetic memory manufacturing method
US5140549A (en) * 1990-04-09 1992-08-18 Honeywell Inc. Inductively sensed magnetic memory
US5496759A (en) * 1994-12-29 1996-03-05 Honeywell Inc. Highly producible magnetoresistive RAM process
US5569617A (en) * 1995-12-21 1996-10-29 Honeywell Inc. Method of making integrated spacer for magnetoresistive RAM
US5861328A (en) * 1996-10-07 1999-01-19 Motorola, Inc. Method of fabricating GMR devices

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Pohm et al., "Experimental and Analytical Properties of 0.2 Micron Wide, Multi-Layer, GMR, Memory Elements", IEEE Trans. on Mag., vol. 32, No. 5, Sep. 1996.
Pohm et al., "The Architecture of a High Performance Mass Store with GMR Memory Cells", IEEE Trans on Mag., vol. 31, No. 6., Nov. 1995.
Pohm et al., Experimental and Analytical Properties of 0.2 Micron Wide, Multi Layer, GMR, Memory Elements , IEEE Trans. on Mag., vol. 32, No. 5, Sep. 1996. *
Pohm et al., The Architecture of a High Performance Mass Store with GMR Memory Cells , IEEE Trans on Mag., vol. 31, No. 6., Nov. 1995. *

Cited By (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174737B1 (en) * 1998-08-31 2001-01-16 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US6153443A (en) * 1998-12-21 2000-11-28 Motorola, Inc. Method of fabricating a magnetic random access memory
US6165803A (en) * 1999-05-17 2000-12-26 Motorola, Inc. Magnetic random access memory and fabricating method thereof
US7166479B2 (en) 1999-05-25 2007-01-23 Micron Technology, Inc. Methods of forming magnetic shielding for a thin-film memory element
US6872993B1 (en) 1999-05-25 2005-03-29 Micron Technology, Inc. Thin film memory device having local and external magnetic shielding
US6317359B1 (en) 1999-07-07 2001-11-13 Iowa State University Research Foundation, Inc. Non-volatile magnetic circuit
US6343032B1 (en) 1999-07-07 2002-01-29 Iowa State University Research Foundation, Inc. Non-volatile spin dependent tunnel junction circuit
US6542000B1 (en) 1999-07-30 2003-04-01 Iowa State University Research Foundation, Inc. Nonvolatile programmable logic devices
US6482657B2 (en) * 2000-01-18 2002-11-19 Tdk Corporation Methods of manufacturing tunnel magnetoresistive element, thin-film magnetic head and memory element
US20040227244A1 (en) * 2000-08-14 2004-11-18 Micron Technology, Inc. Passivated magneto-resistive bit structure
US20040091634A1 (en) * 2000-08-14 2004-05-13 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6392922B1 (en) 2000-08-14 2002-05-21 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6806546B2 (en) 2000-08-14 2004-10-19 Micron Technology, Inc. Passivated magneto-resistive bit structure
US7427514B2 (en) 2000-08-14 2008-09-23 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6623987B2 (en) 2000-08-14 2003-09-23 Micron Technology, Inc. Passivated magneto-resistive bit structure and passivation method therefor
US6861314B2 (en) 2000-09-28 2005-03-01 Kabushiki Kaisha Toshiba Semiconductor memory device utilizing tunnel magneto resistive effects and method for manufacturing the same
US20040134876A1 (en) * 2000-09-28 2004-07-15 Keiji Hosotani Semiconductor memory device utilizing tunnel magneto resistive effects and method for manufacturing the same
US6674142B2 (en) 2000-09-28 2004-01-06 Kabushiki Kaisha Toshiba Semiconductor memory device utilizing tunnel magneto resistive effects and method for manufacturing the same
US6670660B2 (en) * 2000-09-28 2003-12-30 Kabushiki Kaisha Toshiba Semiconductor memory device utilizing tunnel magneto resistive effects and method for manufacturing the same
DE10050076C2 (en) * 2000-10-10 2003-09-18 Infineon Technologies Ag Method for producing a ferromagnetic structure and ferromagnetic component
US6458603B1 (en) 2000-10-10 2002-10-01 Infineon Technologies Ag Method of fabricating a micro-technical structure, and micro-technical component
DE10050076A1 (en) * 2000-10-10 2002-04-18 Infineon Technologies Ag Process for the production of a microtechnical structure and microtechnical component
US6417561B1 (en) 2001-02-28 2002-07-09 Micron Technology, Inc. Keepers for MRAM electrodes
US6413788B1 (en) * 2001-02-28 2002-07-02 Micron Technology, Inc. Keepers for MRAM electrodes
US20040195609A1 (en) * 2001-04-10 2004-10-07 Durcan D. Mark Minimally spaced MRAM structures
US6689661B2 (en) * 2001-04-10 2004-02-10 Micron Technology, Inc. Method for forming minimally spaced MRAM structures
US6885051B2 (en) 2001-04-10 2005-04-26 Micron Technology, Inc. Minimally spaced MRAM structures
US6750069B2 (en) 2001-04-10 2004-06-15 Micron Technology, Inc. Minimally spaced MRAM structures
US20030199106A1 (en) * 2001-04-10 2003-10-23 Durcan D. Mark Minimally spaced MRAM structures
US6693826B1 (en) 2001-07-30 2004-02-17 Iowa State University Research Foundation, Inc. Magnetic memory sensing method and apparatus
US6473328B1 (en) 2001-08-30 2002-10-29 Micron Technology, Inc. Three-dimensional magnetic memory array with a minimal number of access conductors therein
US6989576B1 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. MRAM sense layer isolation
US20030043614A1 (en) * 2001-08-30 2003-03-06 Garry Mercaldi Magnetic memory array architecture
US7242067B1 (en) 2001-08-30 2007-07-10 Micron Technology, Inc. MRAM sense layer isolation
US6982894B2 (en) 2001-08-30 2006-01-03 Micron Technology, Inc. Three-dimensional magnetic memory array with a minimal number of access conductors therein and methods thereof
US6777730B2 (en) * 2001-08-31 2004-08-17 Nve Corporation Antiparallel magnetoresistive memory cells
US20030048676A1 (en) * 2001-08-31 2003-03-13 Nve Corporation Antiparallel magnetoresistive memory cells
US6869855B1 (en) 2001-09-02 2005-03-22 Borealis Technical Limited Method for making electrode pairs
CN100336239C (en) * 2001-10-04 2007-09-05 惠普公司 Method for modifying magnetic tunnel junction conversion characteristics
US6828610B2 (en) * 2001-10-04 2004-12-07 Hewlett-Packard Development Company, L.P. Method for modifying switching field characteristics of magnetic tunnel junctions
US6649423B2 (en) * 2001-10-04 2003-11-18 Hewlett-Packard Development Company, L.P. Method for modifying switching field characteristics of magnetic tunnel junctions
US20040129928A1 (en) * 2001-10-04 2004-07-08 Thomas Anthony Method for modifying switching field characteristics of magnetic tunnel junctions
US20030128603A1 (en) * 2001-10-16 2003-07-10 Leonid Savtchenko Method of writing to a scalable magnetoresistance random access memory element
US6706639B2 (en) * 2001-12-28 2004-03-16 Union Semiconductor Technology Corp. Method for interconnecting magnetoresistive memory bits
US20030165001A1 (en) * 2002-03-04 2003-09-04 Opnext Japan, Inc. Mach-Zehnder optical modulator
US6821907B2 (en) 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
US6893893B2 (en) 2002-03-19 2005-05-17 Applied Materials Inc Method of preventing short circuits in magnetic film stacks
US20030181056A1 (en) * 2002-03-22 2003-09-25 Applied Materials, Inc. Method of etching a magnetic material film stack using a hard mask
US20030203510A1 (en) * 2002-04-30 2003-10-30 Max Hineman Protective layers for MRAM devices
US20040264240A1 (en) * 2002-04-30 2004-12-30 Max Hineman Protective layers for MRAM devices
US7211849B2 (en) 2002-04-30 2007-05-01 Micron Technology, Inc. Protective layers for MRAM devices
US6783995B2 (en) 2002-04-30 2004-08-31 Micron Technology, Inc. Protective layers for MRAM devices
US6927073B2 (en) 2002-05-16 2005-08-09 Nova Research, Inc. Methods of fabricating magnetoresistive memory devices
US20040001368A1 (en) * 2002-05-16 2004-01-01 Nova Research, Inc. Methods of fabricating magnetoresistive memory devices
US6781174B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Magnetoresistive memory device assemblies
US20060076635A1 (en) * 2002-06-06 2006-04-13 Hasan Nejad Magnetoresistive memory device assemblies, and methods of forming magnetoresistive memory device assemblies
US20050040453A1 (en) * 2002-06-06 2005-02-24 Hasan Nejad Magnetoresistive memory device assemblies
US6780653B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Methods of forming magnetoresistive memory device assemblies
US7279762B2 (en) 2002-06-06 2007-10-09 Micron Technology, Inc. Magnetoresistive memory device assemblies, and methods of forming magnetoresistive memory device assemblies
US7038286B2 (en) 2002-06-06 2006-05-02 Micron Technology, Inc. Magnetoresistive memory device assemblies
US6780654B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Methods of forming magnetoresistive memory device assemblies
US20040021190A1 (en) * 2002-07-15 2004-02-05 John Mattson Methods of forming magnetoresistive memory devices
US6982450B2 (en) 2002-07-15 2006-01-03 Micron Technology, Inc. Magnetoresistive memory devices
US6958246B2 (en) 2002-07-15 2005-10-25 Micron Technology, Inc. Methods of forming magnetoresistive memory devices
US20050029565A1 (en) * 2002-07-15 2005-02-10 John Mattson Magnetoresistive memory devices
US20050029564A1 (en) * 2002-07-15 2005-02-10 John Mattson Methods of forming magnetoresistive memory devices
US20040007751A1 (en) * 2002-07-15 2004-01-15 John Mattson Magnetoresistive memory devices
US6806523B2 (en) 2002-07-15 2004-10-19 Micron Technology, Inc. Magnetoresistive memory devices
US6780655B2 (en) 2002-07-15 2004-08-24 Micron Technology, Inc. Methods of forming magnetoresistive memory devices
US20040027844A1 (en) * 2002-07-17 2004-02-12 Hasan Nejad Process flow for building MRAM structures
US20040012056A1 (en) * 2002-07-17 2004-01-22 Hasan Nejad Process flow for building MRAM structures
US20060017083A1 (en) * 2002-07-17 2006-01-26 Slaughter Jon M Multi-state magnetoresistance random access cell with improved memory storage density
US7306954B2 (en) 2002-07-17 2007-12-11 Micron Technology, Inc. Process flow for building MRAM structures
US6828639B2 (en) 2002-07-17 2004-12-07 Micron Technology, Inc. Process flow for building MRAM structures
US7037604B2 (en) * 2002-07-23 2006-05-02 Honeywell International, Inc. Magnetic sensing device
US20040019272A1 (en) * 2002-07-23 2004-01-29 Honeywell International Inc. Magnetic sensing device
US20040029393A1 (en) * 2002-08-12 2004-02-12 Applied Materials, Inc. Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US20040026369A1 (en) * 2002-08-12 2004-02-12 Chentsau Ying Method of etching magnetic materials
US20040037109A1 (en) * 2002-08-21 2004-02-26 Witcraft William F. Method for building a magnetic keeper or flux concentrator used for writing magnetic bits on a MRAM device
US7145798B2 (en) 2002-08-21 2006-12-05 Micron Technology, Inc. Methods for fabricating a magnetic keeper for a memory device
US6914805B2 (en) 2002-08-21 2005-07-05 Micron Technology, Inc. Method for building a magnetic keeper or flux concentrator used for writing magnetic bits on a MRAM device
US20060067113A1 (en) * 2002-08-21 2006-03-30 Micron Technology, Inc. Methods for fabricating a magnetic keeper for a memory device
US7264768B2 (en) 2002-08-28 2007-09-04 Micron Technology, Inc. Single substrate annealing of magnetoresistive structure
US20040040628A1 (en) * 2002-08-28 2004-03-04 Tuttle Mark E. Single substrate annealing of magnetoresistive structure
US6918965B2 (en) 2002-08-28 2005-07-19 Micron Technology, Inc. Single substrate annealing of magnetoresistive structure
US20050133118A1 (en) * 2002-08-28 2005-06-23 Tuttle Mark E. Single substrate annealing of magnetoresistive structure
US6964928B2 (en) 2002-08-29 2005-11-15 Chentsau Ying Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US6759263B2 (en) 2002-08-29 2004-07-06 Chentsau Ying Method of patterning a layer of magnetic material
US20040043620A1 (en) * 2002-08-29 2004-03-04 Applied Materials, Inc. Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US20040066678A1 (en) * 2002-10-02 2004-04-08 Hyung-Rok Oh Magnetic memory device implementing read operation tolerant to bitline clamp voltage (VREF)
US7183120B2 (en) 2002-10-31 2007-02-27 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
US20040087037A1 (en) * 2002-10-31 2004-05-06 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
US6660568B1 (en) 2002-11-07 2003-12-09 International Business Machines Corporation BiLevel metallization for embedded back end of the line structures
US6784091B1 (en) * 2003-06-05 2004-08-31 International Business Machines Corporation Maskless array protection process flow for forming interconnect vias in magnetic random access memory devices
US20040264238A1 (en) * 2003-06-27 2004-12-30 Akerman Bengt J. MRAM element and methods for writing the MRAM element
US7183130B2 (en) 2003-07-29 2007-02-27 International Business Machines Corporation Magnetic random access memory and method of fabricating thereof
US20050023581A1 (en) * 2003-07-29 2005-02-03 International Business Machines Corporation Magnetic random access memory and method of fabricating thereof
US20070292973A1 (en) * 2003-08-22 2007-12-20 Micron Technology, Inc. Mram layer having domain wall traps
US7267999B2 (en) 2003-08-22 2007-09-11 Micron Technology, Inc. MRAM layer having domain wall traps
US7517704B2 (en) 2003-08-22 2009-04-14 Micron Technology, Inc. MRAM layer having domain wall traps
US20050041463A1 (en) * 2003-08-22 2005-02-24 Drewes Joel A. Mram layer having domain wall traps
US7034374B2 (en) 2003-08-22 2006-04-25 Micron Technology, Inc. MRAM layer having domain wall traps
US20060108655A1 (en) * 2003-08-22 2006-05-25 Micron Technology, Inc. MRAM layer having domain wall traps
US20050045929A1 (en) * 2003-08-25 2005-03-03 Janesky Jason A. Magnetoresistive random access memory with reduced switching field variation
US7078239B2 (en) 2003-09-05 2006-07-18 Micron Technology, Inc. Integrated circuit structure formed by damascene process
US20050270830A1 (en) * 2003-09-05 2005-12-08 Micron Technology, Inc. Integrated circuit structure formed by damascene process
US7358553B2 (en) 2003-10-14 2008-04-15 Micron Technology, Inc. System and method for reducing shorting in memory cells
US7112454B2 (en) 2003-10-14 2006-09-26 Micron Technology, Inc. System and method for reducing shorting in memory cells
US20050079638A1 (en) * 2003-10-14 2005-04-14 Drewes Joel A. System and method for reducing shorting in memory cells
US20060192235A1 (en) * 2003-10-14 2006-08-31 Drewes Joel A System and method for reducing shorting in memory cells
US20060186445A1 (en) * 2003-11-06 2006-08-24 Honeywell International Inc. Bias-adjusted giant magnetoresistive (GMR) devices for magnetic random access memory (MRAM) applications
US7053429B2 (en) 2003-11-06 2006-05-30 Honeywell International Inc. Bias-adjusted giant magnetoresistive (GMR) devices for magnetic random access memory (MRAM) applications
US20050098807A1 (en) * 2003-11-06 2005-05-12 Honeywell International Inc. Bias-adjusted giant magnetoresistive (GMR) devices for magnetic random access memory (MRAM) applications
US20050097725A1 (en) * 2003-11-12 2005-05-12 Honeywell International Inc. Method for fabricating giant magnetoresistive (GMR) devices
US7114240B2 (en) 2003-11-12 2006-10-03 Honeywell International, Inc. Method for fabricating giant magnetoresistive (GMR) devices
US20050106359A1 (en) * 2003-11-13 2005-05-19 Honeywell International Inc. Method of processing substrate
US7160738B2 (en) 2004-01-26 2007-01-09 Micron Technology, Inc. Magnetic annealing sequences for patterned MRAM synthetic antiferromagnetic pinned layers
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US20060108620A1 (en) * 2004-11-24 2006-05-25 Rizzo Nicholas D Reduced power magnetoresistive random access memory elements
US20090209050A1 (en) * 2008-02-18 2009-08-20 Yung-Hung Wang In-Situ Formed Capping Layer in MTJ Devices
US7723128B2 (en) * 2008-02-18 2010-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ formed capping layer in MTJ devices
US20100193891A1 (en) * 2008-02-18 2010-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-Situ Formed Capping Layer in MTJ Devices
US8143683B2 (en) 2008-02-18 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ formed capping layer in MTJ devices
US8564287B2 (en) 2010-02-04 2013-10-22 Nxp B.V. Magnetic field sensor
US20110187361A1 (en) * 2010-02-04 2011-08-04 Nxp B.V. Magnetic field sensor
EP2360489A1 (en) 2010-02-04 2011-08-24 Nxp B.V. Magnetic field sensor
US9030199B2 (en) * 2010-12-31 2015-05-12 Voltafield Technology Corporation Magnetoresistance sensor and fabricating method thereof
US20120169330A1 (en) * 2010-12-31 2012-07-05 Voltafield Technology Corporation Magnetoresistance sensor and fabricating method thereof
US20120212218A1 (en) * 2011-02-22 2012-08-23 Voltafield Technology Corporation Magnetoresistive sensor
US8988073B2 (en) * 2011-02-22 2015-03-24 Voltafield Technology Corporation Magnetoresistive sensor
US8767432B1 (en) * 2012-12-11 2014-07-01 International Business Machines Corporation Method and apparatus for controlled application of Oersted field to magnetic memory structure
CN104241520A (en) * 2013-06-12 2014-12-24 恩智浦有限公司 Manufacturing magnetic sensor elements monolithically integrated at a semiconductor chip
US9203016B2 (en) 2013-06-12 2015-12-01 Nxp B.V. Manufacturing magnetic sensor elements monolithically integrated at a semiconductor chip comprising an integrated circuit
CN104241520B (en) * 2013-06-12 2017-05-24 恩智浦有限公司 Manufacturing magnetic sensor elements monolithically integrated at a semiconductor chip
US9964606B2 (en) 2016-05-12 2018-05-08 Nxp B.V. Sensor module and method of manufacture
US20180061482A1 (en) * 2017-09-11 2018-03-01 Beihang University High-density magnetic memory device
US10020044B2 (en) * 2017-09-11 2018-07-10 Beihang University High-density magnetic memory device

Similar Documents

Publication Publication Date Title
US6048739A (en) Method of manufacturing a high density magnetic memory device
US5956267A (en) Self-aligned wordline keeper and method of manufacture therefor
US5496759A (en) Highly producible magnetoresistive RAM process
US6623987B2 (en) Passivated magneto-resistive bit structure and passivation method therefor
US6785159B2 (en) Combination etch stop and in situ resistor in a magnetoresistive memory and methods for fabricating same
US8565016B2 (en) System having improved surface planarity for bit material deposition
US7183130B2 (en) Magnetic random access memory and method of fabricating thereof
US7129534B2 (en) Magneto-resistive memory and method of manufacturing the same
US7144744B2 (en) Magnetoresistive random access memory device structures and methods for fabricating the same
KR101096343B1 (en) Methods and structures for electrical communication with an overlying electrode for a semiconductor element
CN110707122B (en) Semiconductor element and manufacturing method thereof
CN111969103A (en) Semiconductor element and manufacturing method thereof
US6551852B2 (en) Method of forming a recessed magnetic storage element
CN110890460A (en) Semiconductor element and manufacturing method thereof
KR20040015175A (en) An improved method for forming minimally spaced mram structures
US6680500B1 (en) Insulating cap layer and conductive cap layer for semiconductor devices with magnetic material layers
US7383626B2 (en) Methods for fabricating giant magnetoresistive (GMR) devices
WO2023030992A1 (en) Mram stack with reduced height
CN113594086A (en) Semiconductor element and manufacturing method thereof
CN112242483A (en) Magnetoresistive random access memory
US20240016062A1 (en) Method of fabricating magnetic tunneling junction device
US20240099154A1 (en) Magnetoresistive random access memory
CN115440880A (en) Magnetoresistive random access memory device and method of making the same
CN115440881A (en) Semiconductor element and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: HONEYWELL, INC., MINNESOTA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HURST, ALLAN T.;WITCRAFT, WILLIAM F.;SATHER, JEFFREY S.;AND OTHERS;REEL/FRAME:008940/0979

Effective date: 19971217

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: INVALID ASSIGNMENT;ASSIGNOR:HONEYWELL INTERNATIONAL, INC.;REEL/FRAME:012188/0697

Effective date: 20001218

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HONEYWELL INTERNATIONAL, INC.;REEL/FRAME:012735/0417

Effective date: 20001218

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: THE UNITED STATES OF AMERICA AS REPRESENTED BY THE

Free format text: CONFIRMATORY LICENSE;ASSIGNOR:HONEYWELL INTERNATIONAL, INC;REEL/FRAME:014235/0441

Effective date: 20030912

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731