US6051882A - Subtractive dual damascene semiconductor device - Google Patents

Subtractive dual damascene semiconductor device Download PDF

Info

Publication number
US6051882A
US6051882A US08/905,974 US90597497A US6051882A US 6051882 A US6051882 A US 6051882A US 90597497 A US90597497 A US 90597497A US 6051882 A US6051882 A US 6051882A
Authority
US
United States
Prior art keywords
insulating layer
metal
conductive
conductive line
interconnection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/905,974
Inventor
Steven Avanzino
Subhash Gupta
Rich Klein
Scott D. Luning
Ming-Rin Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US08/905,974 priority Critical patent/US6051882A/en
Application granted granted Critical
Publication of US6051882A publication Critical patent/US6051882A/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. AFFIRMATION OF PATENT ASSIGNMENT Assignors: ADVANCED MICRO DEVICES, INC.
Anticipated expiration legal-status Critical
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method of fabricating an interconnection level of conductive lines and connecting vias separated by insulation for integrated circuits and substrate carriers for semiconductor devices using a reverse damascene in the formation of the conductive lines and vias. A conductive line pattern is first used to etch completely through the layer to form conductive line openings. The openings are completely filled with a conductive material and planarized so that the surfaces of the conductive material and the insulating layer are coplanar. A via pattern is aligned perpendicular to the conductive lines and the conductive material is etched half way through the conductive lines in other than the areas covered by the via pattern. The openings thus created in the upper portion of the conductive lines are filled with insulating material to complete the dual damascene interconnection level with the conductive lines in the lower portion of the insulating layer and upwardly projecting vias in the upper portion of the layer. In addition, a triple damascene layer is formed by starting with an insulating layer about one-third thicker than normal and by combining the standard dual damascene method with the above described method. The resulting interconnection level structure comprises conductive lines having upwardly and downwardly projecting vias.

Description

CROSS REFERENCES TO RELATED APPLICATIONS
This is a divisional application of U.S. Ser. No. 08/478,321 filed on Jun. 7, 1995 now U.S. Pat. No. 5,691.238.
This application is related to U.S. patent application Ser. No. 08/478,319, entitled Self Aligned Via Dual Damascene, filed on an even date herewith now U.S. Pat. No. 5,614,765; U.S. patent application Ser. No. 08/478324, entitled Dual Damascene With A Protective Mask For Via Etching also filed on an even date herewith now U.S. Pat. No. 5,686,354; and U.S. patent application Ser. No. 08/486,777, entitled Dual Damascene Within a Sacrificial Via Fill, filed on an even date herewith now U.S. Pat. No. 5,705,430.
BACKGROUND OF THE INVENTION
The present invention generally relates to the fabrication of metal conductive lines and vias that provide the interconnection of integrated circuits in semiconductor devices and/or the interconnections in a multi-layer substrate on which semiconductor device(s) are mounted and, more particularly, to the fabrication of conductive lines and vias by a process known as damascene.
In very and ultra large scale integration (VLSI and ULSI) circuits, an insulating or dielectric material, such as silicon oxide, of the semiconductor device in the dual damascene process is patterned with several thousand openings for the conductive lines and vias which are filled with metal, such as aluminum, and serve to interconnect the active and/or passive elements of the integrated circuit. The dual damascene process also is used for forming the multilevel conductive lines of metal, such as copper, in the insulating layers, such as polyimide, of multilayer substrates on which semiconductor devices are mounted.
Damascene is an interconnection fabrication process in which grooves are formed in an insulating layer and filled with metal to form the conductive lines. Dual damascene is a multi-level interconnection process in which, in addition to forming the grooves of single damascene, conductive via openings also are formed. In the standard dual damascene process, the insulating layer is coated with a photoresist which is exposed through a first mask with an image pattern of the via openings and the pattern is anisotropically etched in the upper half of the insulating layer. The photoresist now is exposed through a second mask with an image pattern of the conductive lines openings, after being aligned with the first mask pattern to encompass the via openings. In anisotropically etching the openings for the conductive lines in the upper half of the insulating material, the via openings already present in the upper half are etched in the lower half of the insulating material. After the etching is complete, both the vias and the line openings are filled with metal. Dual damascene is an improvement over single damascene because it permits the filling of both the conductive grooves and vias with metal at the same time, thereby eliminating process steps.
Although this standard damascene offers advantages over other processes for forming interconnections, it has a number of disadvantages, such as it requires two masking steps to form the pattern first for the vias and subsequently for the conductive lines. These two masking steps require critical alignment to position the via within groove. It is highly desirable to have the length dimension of the via extend the full width dimension of the conductive line, but, because that would require more critical alignment of the two masks, the via length dimension is designed slightly smaller than the width dimension of the conductive line in the standard dual damascene process. Further, the edges of the via openings in the lower half of the insulating layer, after the second etching, are poorly defined because of the two etchings. Thus, improvements are needed in the standard damascene process to permit the via length to extend of full width of the conductive line without critical alignment of masks and to eliminate the poor edge definition of the via openings.
SUMMARY OF THE INVENTION
The primary object of the present invention is to provide an novel dual damascene process for forming the conductive lines and conducive vias with two mask steps but without requiring critical alignment of the two masks.
Another object of the present invention is to provide an novel dual damascene process and structure in which the length of the conductive via extends the full width of the conductive line.
A still further object of the present invention is provide a novel triple damascene structure and a dual damascene process that is extendable to fabricate the triple damascene structure.
In accordance with the present invention, the positions of the conductive lines and vias in the insulating layer are reversed with respect to standard dual damascene with the conductive lines being in the lower portion of the insulating layer and the vias being in the upper portion of the insulating layer. A first resist pattern in the resist for the conductive lines is etched the full thickness of the insulating layer. Metal for the conductive lines then is deposited in the etched opening to fill the openings above the upper surface of the insulating layer. After planarizing, a second resist pattern, which is aligned perpendicular to the alignment of the first resist pattern, covers the vias to-be-formed with resist. The deposited metal is etched partially through the thickness of the deposited metal to form vias the full width across the conductive lines.
In accordance with another aspect of the present invention, the conductive mask is preceded with a standard via mask for dual damascene and the insulating layer is substantially thicker so that the standard dual damascene opening is formed in the insulating layer with the lower portion of the opening being the via opening and the upper portion being the conductive line. After the opening is filled with metal, the second mask of the present invention is used to form the pattern for creating the upper via as described above.
The resulting novel triple damascene structure comprises a conductive material having a conductive line with at least one section disposed between and unitary with a lower conductive via which does not extend the full width of the conductive line and an upper via which does extend the full width of the conductive line and, except for the upper surface of the upper via and the lower surface of the lower via, the unitary piece of conductive material is surrounded by an insulating material at this section of conductive line so as to provide an electrical connection between either a lower semiconductor device contact or lower conductive line and an upper conductive line.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of the preferred and other embodiments of the present invention with reference to the drawings, in which:
FIG. 1a (Prior Art) is a plan view of a portion of an exposed and developed via resist pattern on an etched insulating layer used in the standard dual damascene process.
FIG. 1b (Prior Art) is a cross-sectional view of the patterned resist and etched insulating layer of FIG. 1a taken across 1b--1b of FIG. 1a.
FIG. 2a (Prior Art) is a plan view of a portion of an exposed and developed conductive line resist pattern on the etched insulating layer used in the standard dual damascene process.
FIG. 2b (Prior Art) is a cross-sectional view of the patterned resist and etched insulating layer of FIG. 2a taken across 2b--2b of FIG. 2a.
FIG. 2c (Prior Art) is a cross-sectional view of the opening in the insulating layer of FIG. 2b filled with metal.
FIG. 3a is a plan view of a portion of a first mask of the present invention.
FIG. 3b is a cross-sectional view of an insulating layer exposed to the pattern of the mask of FIG. 3a taken across 3b--3b of FIG. 3a and filled with metal.
FIG. 3d is a plan view of a portion of a second mask of the present invention.
FIGS. 3c and 3e-3f are is a cross-sectional view of the metal filled insulating layer of FIG. 3b exposed to the pattern of the mask of FIG. 3d taken across 3d--3d of FIG. 3d.
FIGS. 4a through 4m are sequential plan and cross-sectional views of the steps used to fabricate dual damascene metallization in an insulating layer in accordance with one embodiment of the present invention.
FIGS. 5a through 5i are sequential plan and cross-sectional views of the steps used to fabricate triple damascene metallization in an insulating layer in accordance with another embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS OF THE INVENTION
Referring now to the drawings and, more particularly to FIGS 1a and 1b and FIGS. 2a and 2b, there is illustrated the standard method for forming dual damascene openings for a conductive lines and vias. FIG. 1a shows a portion of a positive resist 10 with one pattern opening 11 which is used to define a via opening 12 in an insulating layer 13 for etching a via opening in the insulating layer. As shown in FIG. 1b, the via opening 12 is etched in the upper portion of the insulating layer corresponding to pattern opening 11. The insulating layer 13 is disposed on a completed metallized insulating layer (not shown) containing a conductive line 15 to be physically contacted and electrically connected to an upper conductive line through a via, when formed. After the via opening 12 is formed, a resist layer 20 containing a conductive line pattern 21, and shown in FIG. 2a, is aligned with the via opening 12. The conductive line pattern 21, which is wider than the via opening 12, is anisotropically etched in the upper portion of the insulating layer to form the conductive line opening 22. Simultaneously with this etching, the via opening 12, which is exposed to the same etchant gas(es), is etched (and replicated) in the lower portion of insulating layer 13 to the underlying conductive line 15 which serves as an etch stop. Next, the openings 12, 22 for the conductive line and conductive via, respectively, are filled with metal 16 to make physical contact with and electrical connection to the conductive line 15.
Although the standard dual damascene method provides advantages over other metallization methods, it requires two photographic masks which must be aligned with a high degree of accuracy in VLSI semiconductor devices and with even a greater degree accuracy in ULSI devices. If misalignment occurs, there can be opens created in the interconnections of the conductive lines and vias. In addition, the two etching steps of vias causes the corners of the vias to become ragged because they are not protected during the second etch.
These disadvantages are overcome by the method of the present invention as generally shown in FIGS. 3a, 3b, 3c, 3d and 3e. As illustrated in FIG. 3a, a portion of an insulating layer 30, with a layer 32 of commercially available resist, is carried on an underlying insulating layer 33 with completed interconnection metallization as shown by the conductive via 34 as representative of one part of the interconnection. The resist layer 32 is formed with a conductive line pattern 35 and anisotropically etched to leave a conductive line opening 36 as shown in FIG. 3b, with the resist 32 serving as an etch barrier as shown in FIG. 3a. After the resist is removed by a conventional technique such as ashing, the conductive line opening 36 is filled with metal 37, such as aluminum. Next, as shown in FIGS. 3c and 3d, the insulating layer with the conductive line metal 37 is covered with a resist layer 38, containing a via pattern and is positioned herein perpendicular to the conductive line metal 37 for etching a conductive via or stud in the metal. In the accordance with one aspect of the present invention, the via resist pattern 38, unlike the via resist pattern of the prior art, can extend beyond the width of the conductive line metal 37, as shown in FIG. 3d, because the uncovered insulating layer 30 is resistant to subsequent etch gas(es). With the via resist 38 serving as an etch barrier, the metal 37 is etched approximately half way through the metal in the conductive line opening 36 except where the resist covers the metal to form an unitary upwardly projection via 39 from a conductive line 40 from the middle of the insulating layer 30 to its upper surface 41, as shown in FIG. 3e. Where the metal 37 is not masked by the resist 38, it is removed from the upper portion 42 of the insulating layer 30 as shown in FIG. 3e. By the method of the present invention, the conductive via 39 extends the full width of the conductive line 37 and abuts the walls 43a and 43b of the insulating layer 30 as shown in FIG. 3d. With the conductive line 37 and conductive via 39 thus formed, the upper portion 42 (FIG. 3e) of the insulating layer 30 is filled with insulation 43 as shown in FIG. 3f.
With this general description of the present invention as background, reference will now be made to one of the preferred embodiments as shown by the sequence of steps of FIGS. 4a through 4m. In FIG. 4a, an insulating layer 50, of herein silicon dioxide (SiO2) of about 1.6 to 2.0 microns, is shown with a commercial positive resist 51 on its upper surface 50a and is carried on a completed interconnection insulating layer 52 with a via 53 representing a part of that interconnection layer. Alternatively, as shown in FIG. 4a', a thin etch stop layer 54 covers the top of layer 52 to protect the layer 52 during a subsequent etch. The resist 51, as shown in FIG. 4b is patterned in the form of the conductive line. Next, as shown in FIGS. 4c through 4d, the complete thickness of the insulating layer 50 of SiO2 is anisotropically or unidirectionally etched with suitable gases, such as carbon tetrafluoride or other fluorine containing gases, and herein is timed etched with a plasma gas comprising carbon tetrafluoride (CF4) and at least 40% hydrogen (H2), with the resist 51 serving as an etch barrier. This etching step forms a conductive line opening 55 in the insulating layer 50, as shown in FIGS. 4d and 4d' and, after being formed, the resist layer 51 is removed by ashing in an oxygen plasma. Now, a metal, which herein is aluminum doped with about 1% of copper (Al/1% Cu), is sputter deposited by commercial sputter equipment in the conductive line opening 55 to completely fill the opening with conductive line metal and make physical contact with the via 53 in the underlying insulating layer 52, as illustrated FIG. 4e. The deposited metal over fills the opening 55 and the excess metal is removed by conventional means, such as chemical/mechanical (chem/mech) polishing to coplanarize the surface 56a of the conductive line metal 56 with the surface 50a of the insulating layer 50.
After the surfaces 50a, 56a are planarized, a second commercial positive resist 60 is patterned on the insulating- metal surface 50a and 56a to define a via or stud pattern 61 as shown in FIGS. 4h and 4i. The resist 60 remains over the area of the conductive line metal 56 where the via is to be formed and, serves as an etch mask. It will be noted that the resist pattern extends across the conductive line which eliminates the need of critical alignment of the first and second masks and which will permit the to-be-formed conductive via or stud to abut the insulating material/metal interface 62. Herein, half the thickness of the deposited metal in the insulating layer not covered with the resist 60 is anisotropically or unidirectionally etched with suitable plasma gases, such as boron trichloride (BCl3) and chlorine (Cl2), in a commercially available etcher as described above. In etching the metal, the exposed surface 50a of the insulating layer 50 is not protected with an etch barrier because the insulating layer is resistant to or highly less selective to the plasma gas(es) of as BCl3 and Cl2. Upon completion of the etching, a conductive line 63 is formed in the lower half of the insulating layer and an upwardly projecting conductive via or stud 64 is formed in the upper half as best shown in FIG. 4k. Now, after removal of the resist 60 by ashing, as previously described, the openings 65 (FIG. 4k) above the conductive lines 63 are filled with an insulating material 66, herein SiO2 deposited by chemical vapor deposition (CVD) using silane (SiH4) and oxygen (O2) or any other appropriate silicon containing gas, such as tethcelhylorthosilicate (TEOS) or tetra methylcyclotetrasiloxane (TMCTS). After being filled with insulating material, the surfaces 50a and 56a are again planized, in the present instance, by chem/mech polishing with commercial equipment and slurry, in preparation for the next level of metallization for interconnections.
In accordance with another aspect of the present invention, the standard dual damascene process can be combined with the process of the present invention to provide a triple level damascene process and a triple level structure of unitary interconnection as shown in FIGS. 5a through 5i. In this combined process, an insulating layer 70, which is herein one-third thicker than normal, or herein is about 2.4 to 3.0 microns of SiO2, is carried on a completed interconnection layer of which a portion of a conductive line 71 is representative. As in the standard dual damascene, a patterned commercial positive resist layer 72 with a via opening 73, as shown in FIG. 5a and 5b, is deposed on the surface 70a of the insulating layer 70. Using the resist pattern as an etch barrier, the upper third 74 of the insulating layer 70 is timed etched using herein a plasma gas comprising carbon tetrafluoride (CF4) and at least 40% hydrogen (H2). Next, the first resist pattern 75 of the previous embodiment provides the pattern for a conductive line opening 76 in alignment with the previous via opening 73. With the resist pattern 75 serving as etch mask on the surface of the insulating surface, the insulating layer 70 is etched two-thirds through the insulating layer, with the via pattern being etched in the remaining lower one-third to reach and stop on the underlying conductive line 71 performing as an etch stop, thereby creating a via opening 74 and a conductive line opening 76 in the insulating layer 70 as shown in FIGS. 5c and 5d. After removal of the resist, such as by ashing, the via and conductive line openings, 74 and 76 are filled with metal 77, herein Al/1% Cu, to form a conductive via 78 in physical contact with the underlying conductive line 71. The excess metal on the surface of the insulating layer is removed by herein chem/mech polishing (not shown) and the metal surface is coplanarized with the surface of the metallized insulating layer 70 in preparation for a via resist pattern 79 as shown in FIG. 5g and FIG. 5h. The via resist pattern 78 serves as a etch mask for etching the metal 77. Again, BCl3 and Cl2 are used as the etching gases and the metal unprotected by the resist pattern 79 is etched one-third the way through the total thickness of the metal to create in addition to the first conductive via 78 in contact with the underlying conductive line 71, a conductive line 80 and a second conductive via or stud 81 as shown in FIG. 5h. After the resist is removed by ashing, the remaining etched openings 82 (FIG. 5h) are filled with an insulating material 83, herein SiO2, as previously described. Then, the surface 84 of the insulating material 83 is coplanarized with the metal surface to yield the cross-sectional view of FIGS. 5i. Thus, by combining the standard dual damascene process with the method of the present invention present invention, a triple damascene process and an unitary structure of a section of a conductive line with upper and lower vias results.
The novel triple damascene structure comprises a conductive material having a conductive line 80 with at least one section 80a disposed between and unitary with a lower conductive via 78 which does not extend the full width of the conductive line and an upper via 81 which does extend the full width of the conductive line as both are shown in FIG. 5i. Except for the upper surface 85 of the upper via 81 and the lower surface 86 of the lower via 78, the unitary piece of conductive material is surrounded by an insulating material 70 and 83 at this section of conductive line so as to provide an insulated electrical connection between either a lower semiconductor device contact or lower conductive line and an upper conductive line.
Although this invention has been described relative to specific insulating materials, conductive materials and apparatus for depositing and etching these materials, it is not limited to such materials and other materials and apparatuses for depositing and etching insulating and conductive materials can be substituted as is well understood by those skilled in the microelectronics and multilayer interconnection arts. Further, although the embodiments of the present invention is directed to damascene on semiconductor devices, it also will be recognized by those skilled in the fabrication of multilayer interconnection substrates arts that the present invention can be used in manufacturing those substrates to interconnect one or more semiconductor devices (chips) into a higher level electronic system. Such multilevel or multilayer substrates uses organic insulating layers, such as polyimide, and conductive lines, such as copper. The subtractive damascene process of the present invention is well suited for the manufacture of such multilevel insulating and conductive layers with connecting conductive vias.

Claims (10)

We claim:
1. An integrated circuit semiconductor device having a semiconductor body with a plurality of active devices and multilevel interconnections with metal contacts disposed on the semiconductor body for electrically connecting the active devices to each other through the multilevel interconnection and the metal contacts and with at least one level of interconnection comprising:
a single insulating layer with a lower surface and an upper surface having at least a first portion and a second portion;
a conductive metal line disposed in said second portion for electrically connecting either one of said active devices or another level of interconnection through at least one of said metal contacts, said metal contact being disposed in another insulating layer in abutting contact with the lower surface of said single insulating layer;
a metal via in said first portion which is the same metal as the metal of said conductive line and aligned and unitary with and extending upward from the conductive line; and
an insulating material having an upper surface disposed on said conductive metal line and abutting said metal via and having a thickness substantially equal to said upward extension of the via whereby the upper surface of said insulating material is in the same plane as the upper surface of said single insulating layer.
2. The semiconductor device of claim 1 wherein said single insulating layer includes a third portion having a metal via aligned and unitary with said conductive metal line and extending downward to connect to either an element of one of said devices or another level of interconnection.
3. The semiconductor device of claim 1 wherein composition of said insulation material is different from the composition of said single insulating layer.
4. The semiconductor device of claim 1 wherein said insulating layer is an oxide of silicon.
5. The semiconductor device of claim 1 wherein said conductive metal line and metal via are the same metal and are either aluminum or an alloy of aluminum.
6. A multilevel interconnection structure for electrically connecting active devices to each other through multilevel interconnections and metal contacts and with at least one level of interconnection comprising:
a single insulating layer with a lower surface and an upper surface having at least a first portion and a second portion;
a conductive metal line disposed in said second portion for electrically connecting either one of said active devices or another level of interconnection through at least one of said metal contacts, said metal contact being disposed in another insulating layer in abutting contact with the lower surface of said single insulating layer;
a metal via in said first portion which is the same metal as the metal of said conductive line and aligned and unitary with and extending upward from the conductive line; and
an insulating material having an upper surface disposed on said conductive metal line and abutting said metal via and having a thickness substantially equal to said upward extension of the via whereby the upper surface of said insulating material is in the same plane as the upper surface of said single insulating layer.
7. The multilevel interconnection structure of claim 6 wherein said single insulating layer includes a third portion having a metal via aligned and unitary with said conductive metal line and extending downward to connect to either an element of one of said devices or another level of interconnection.
8. The multilevel interconnection structure of claim 6 wherein composition of said insulation material is different from the composition of said insulating layer.
9. The multilevel interconnection structure of claim 6 wherein said single insulating layer is an oxide of silicon.
10. The multilevel interconnection structure of claim 6 wherein said conductive metal line and metal via are the same metal and are either aluminum or an alloy of aluminum.
US08/905,974 1995-06-07 1997-08-05 Subtractive dual damascene semiconductor device Expired - Lifetime US6051882A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/905,974 US6051882A (en) 1995-06-07 1997-08-05 Subtractive dual damascene semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/478,321 US5691238A (en) 1995-06-07 1995-06-07 Subtractive dual damascene
US08/905,974 US6051882A (en) 1995-06-07 1997-08-05 Subtractive dual damascene semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/478,321 Division US5691238A (en) 1995-06-07 1995-06-07 Subtractive dual damascene

Publications (1)

Publication Number Publication Date
US6051882A true US6051882A (en) 2000-04-18

Family

ID=23899453

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/478,321 Expired - Lifetime US5691238A (en) 1995-06-07 1995-06-07 Subtractive dual damascene
US08/905,974 Expired - Lifetime US6051882A (en) 1995-06-07 1997-08-05 Subtractive dual damascene semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/478,321 Expired - Lifetime US5691238A (en) 1995-06-07 1995-06-07 Subtractive dual damascene

Country Status (1)

Country Link
US (2) US5691238A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6576941B1 (en) * 2002-02-20 2003-06-10 Samsung Electronics Co., Ltd. Ferroelectric capacitors on protruding portions of conductive plugs having a smaller cross-sectional size than base portions thereof
US6693028B2 (en) 2000-06-19 2004-02-17 Kabushiki Kaisha Toshiba Semiconductor device having multilayer wiring structure and method for manufacturing the same
US20070205484A1 (en) * 2006-02-28 2007-09-06 Sanyo Electric Co., Ltd. Semiconductor device and method of fabricating the same
US8492270B2 (en) 2010-09-20 2013-07-23 International Business Machines Corporation Structure for nano-scale metallization and method for fabricating same
US8637400B2 (en) 2011-06-21 2014-01-28 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
US8957519B2 (en) 2010-10-22 2015-02-17 International Business Machines Corporation Structure and metallization process for advanced technology nodes
EP3238246A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
US10177031B2 (en) 2014-12-23 2019-01-08 International Business Machines Corporation Subtractive etch interconnects
US10475701B2 (en) 2017-12-13 2019-11-12 International Business Machines Corporation Mixed wire structure and method of making the same
US11205588B2 (en) 2019-07-10 2021-12-21 International Business Machines Corporation Interconnect architecture with enhanced reliability

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2934353B2 (en) * 1992-06-24 1999-08-16 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5691238A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US6080668A (en) * 1996-05-30 2000-06-27 International Business Machines Corporation Sequential build-up organic chip carrier and method of manufacture
US5966632A (en) * 1997-01-21 1999-10-12 Mosel Vitelic Inc. Method of forming borderless metal to contact structure
US5869395A (en) * 1997-01-22 1999-02-09 Lsi Logic Corporation Simplified hole interconnect process
US5882996A (en) * 1997-10-14 1999-03-16 Industrial Technology Research Institute Method of self-aligned dual damascene patterning using developer soluble arc interstitial layer
US5877076A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Opposed two-layered photoresist process for dual damascene patterning
TW406393B (en) * 1997-12-01 2000-09-21 United Microelectronics Corp Method of manufacturing dielectrics and the inner-lining
US6127263A (en) * 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6391771B1 (en) 1998-07-23 2002-05-21 Applied Materials, Inc. Integrated circuit interconnect lines having sidewall layers
TW374948B (en) * 1998-07-28 1999-11-21 United Microelectronics Corp Method of prevention of poisoning trenches in dual damascene process structures and dielectric layer windows
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
TW396536B (en) * 1998-09-19 2000-07-01 United Microelectronics Corp Using damascene to form bit line
US6225207B1 (en) 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
US6121141A (en) * 1998-11-24 2000-09-19 Advanced Micro Devices, Inc. Method of forming a void free copper interconnects
US6016011A (en) * 1999-04-27 2000-01-18 Hewlett-Packard Company Method and apparatus for a dual-inlaid damascene contact to sensor
US6187666B1 (en) 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6174777B1 (en) 1999-08-26 2001-01-16 Taiwan Semiconductor Manufacturing Company Method for fabricating a self aligned contact using a reverse self aligned contact etch
WO2001043176A1 (en) * 1999-12-08 2001-06-14 Samsung Electronics Co., Ltd. Semiconductor device having a self-aligned contact structure and methods of forming the same
US6225226B1 (en) 1999-12-13 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for processing and integrating copper interconnects
US6372647B1 (en) 1999-12-14 2002-04-16 International Business Machines Corporation Via masked line first dual damascene
US6541863B1 (en) 2000-01-05 2003-04-01 Advanced Micro Devices, Inc. Semiconductor device having a reduced signal processing time and a method of fabricating the same
US6309957B1 (en) 2000-04-03 2001-10-30 Taiwan Semiconductor Maufacturing Company Method of low-K/copper dual damascene
US6350695B1 (en) 2000-06-16 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Pillar process for copper interconnect scheme
US6352917B1 (en) 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6461877B1 (en) 2000-06-30 2002-10-08 International Business Machines Corporation Variable data compensation for vias or contacts
US6461963B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6383943B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Process for improving copper fill integrity
US20020155693A1 (en) * 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US6426558B1 (en) 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
JP2003109943A (en) * 2001-09-28 2003-04-11 Mitsubishi Electric Corp Pattern formation method
US6812043B2 (en) * 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
US10170361B2 (en) 2014-05-28 2019-01-01 International Business Machines Corporation Thin film interconnects with large grains
TWI559465B (en) * 2015-08-14 2016-11-21 恆勁科技股份有限公司 Package substrate and its fabrication method
US10361364B2 (en) 2017-06-14 2019-07-23 International Business Machines Corporation Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
US10553789B1 (en) 2018-10-29 2020-02-04 International Business Machines Corporation Fully aligned semiconductor device with a skip-level via
US11101175B2 (en) 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11031542B2 (en) 2019-05-02 2021-06-08 International Business Machines Corporation Contact via with pillar of alternating layers
US11282788B2 (en) 2019-07-25 2022-03-22 International Business Machines Corporation Interconnect and memory structures formed in the BEOL
US11195751B2 (en) 2019-09-13 2021-12-07 International Business Machines Corporation Bilayer barrier for interconnect and memory structures formed in the BEOL
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11302639B2 (en) 2020-01-16 2022-04-12 International Business Machines Corporation Footing flare pedestal structure
US11244897B2 (en) 2020-04-06 2022-02-08 International Business Machines Corporation Back end of line metallization
US11189528B2 (en) 2020-04-22 2021-11-30 International Business Machines Corporation Subtractive RIE interconnect
US11361987B2 (en) 2020-05-14 2022-06-14 International Business Machines Corporation Forming decoupled interconnects
US11942424B2 (en) 2021-12-01 2024-03-26 International Business Machines Corporation Via patterning for integrated circuits

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996133A (en) * 1987-07-31 1991-02-26 Texas Instruments Incorporated Self-aligned tungsten-filled via process and via formed thereby
US5055426A (en) * 1990-09-10 1991-10-08 Micron Technology, Inc. Method for forming a multilevel interconnect structure on a semiconductor wafer
US5093279A (en) * 1991-02-01 1992-03-03 International Business Machines Corporation Laser ablation damascene process
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
DE4324638A1 (en) * 1992-07-28 1994-02-03 Micron Technology Inc Electric contact prodn. for integrated circuit - by self aligned process, esp. in ULSI mfr.
US5371047A (en) * 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
US5512514A (en) * 1994-11-08 1996-04-30 Spider Systems, Inc. Self-aligned via and contact interconnect manufacturing method
US5691238A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US5693568A (en) * 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996133A (en) * 1987-07-31 1991-02-26 Texas Instruments Incorporated Self-aligned tungsten-filled via process and via formed thereby
US5055426A (en) * 1990-09-10 1991-10-08 Micron Technology, Inc. Method for forming a multilevel interconnect structure on a semiconductor wafer
US5093279A (en) * 1991-02-01 1992-03-03 International Business Machines Corporation Laser ablation damascene process
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
DE4324638A1 (en) * 1992-07-28 1994-02-03 Micron Technology Inc Electric contact prodn. for integrated circuit - by self aligned process, esp. in ULSI mfr.
US5371047A (en) * 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
US5512514A (en) * 1994-11-08 1996-04-30 Spider Systems, Inc. Self-aligned via and contact interconnect manufacturing method
US5691238A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US5693568A (en) * 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6693028B2 (en) 2000-06-19 2004-02-17 Kabushiki Kaisha Toshiba Semiconductor device having multilayer wiring structure and method for manufacturing the same
US20040140568A1 (en) * 2000-06-19 2004-07-22 Kabushiki Kaisha Toshiba Semiconductor device having multilayer wiring structure and method for manufacturing the same
US7208831B2 (en) * 2000-06-19 2007-04-24 Kabushiki Kaisha Toshiba Semiconductor device having multilayer wiring structure and method, wherein connecting portion and wiring layer are formed of same layer
US6576941B1 (en) * 2002-02-20 2003-06-10 Samsung Electronics Co., Ltd. Ferroelectric capacitors on protruding portions of conductive plugs having a smaller cross-sectional size than base portions thereof
US20030205734A1 (en) * 2002-02-20 2003-11-06 Moon-Sook Lee Methods of forming ferroelectric capacitors on protruding portions of conductive plugs having a smaller cross-sectional size than base portions thereof
US6858443B2 (en) * 2002-02-20 2005-02-22 Samsung Electronics Co., Ltd. Methods of forming ferroelectric capacitors on protruding portions of conductive plugs having a smaller cross-sectional size than base portions thereof
US20070205484A1 (en) * 2006-02-28 2007-09-06 Sanyo Electric Co., Ltd. Semiconductor device and method of fabricating the same
US8022497B2 (en) * 2006-02-28 2011-09-20 Sanyo Electric Co., Ltd. Semiconductor device comprising insulating film
US8492270B2 (en) 2010-09-20 2013-07-23 International Business Machines Corporation Structure for nano-scale metallization and method for fabricating same
US8957519B2 (en) 2010-10-22 2015-02-17 International Business Machines Corporation Structure and metallization process for advanced technology nodes
US8637400B2 (en) 2011-06-21 2014-01-28 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
US9141749B2 (en) 2011-06-21 2015-09-22 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
US10177031B2 (en) 2014-12-23 2019-01-08 International Business Machines Corporation Subtractive etch interconnects
EP3238246A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
US10553532B2 (en) 2014-12-24 2020-02-04 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
US10475701B2 (en) 2017-12-13 2019-11-12 International Business Machines Corporation Mixed wire structure and method of making the same
US11205588B2 (en) 2019-07-10 2021-12-21 International Business Machines Corporation Interconnect architecture with enhanced reliability

Also Published As

Publication number Publication date
US5691238A (en) 1997-11-25

Similar Documents

Publication Publication Date Title
US6051882A (en) Subtractive dual damascene semiconductor device
US5614765A (en) Self aligned via dual damascene
US5686354A (en) Dual damascene with a protective mask for via etching
US4789648A (en) Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5705430A (en) Dual damascene with a sacrificial via fill
US6020255A (en) Dual damascene interconnect process with borderless contact
US5828121A (en) Multi-level conduction structure for VLSI circuits
US6042999A (en) Robust dual damascene process
US6083824A (en) Borderless contact
US5543360A (en) Method of making a semiconductor device with sidewall etch stopper and wide through-hole having multilayered wiring structure
KR100526445B1 (en) Wafer passivation structure
US6165899A (en) Method for manufacturing semiconductor devices having dual damascene structure
JPH0360055A (en) Manufacturing method of integrated circuit
JPS62279661A (en) Method of forming penetrating conductor in integrated circuit
US4872050A (en) Interconnection structure in semiconductor device and manufacturing method of the same
JPH01503021A (en) Flattening method for forming through conductors in silicon wafers
JPH10209273A (en) Manufacture of semiconductor device
US5966632A (en) Method of forming borderless metal to contact structure
US6232215B1 (en) Method for forming increased density for interconnection metallization
US6352919B1 (en) Method of fabricating a borderless via
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
JP3323264B2 (en) Method for manufacturing semiconductor device
KR100450244B1 (en) Semiconductor device and fabrication method of thereof
KR100259168B1 (en) Structure of metal interconnection line for semiconductor device and method of forming the same
KR100395907B1 (en) Method for forming the line of semiconductor device

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: AFFIRMATION OF PATENT ASSIGNMENT;ASSIGNOR:ADVANCED MICRO DEVICES, INC.;REEL/FRAME:023119/0083

Effective date: 20090630

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117