US6090210A - Multi-zone gas flow control in a process chamber - Google Patents

Multi-zone gas flow control in a process chamber Download PDF

Info

Publication number
US6090210A
US6090210A US08/690,265 US69026596A US6090210A US 6090210 A US6090210 A US 6090210A US 69026596 A US69026596 A US 69026596A US 6090210 A US6090210 A US 6090210A
Authority
US
United States
Prior art keywords
gas
holes
showerhead
chamber
injection ports
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US08/690,265
Inventor
David S. Ballance
Benjamin Bierman
James V. Tietz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US08/690,265 priority Critical patent/US6090210A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BALLANCE, DAVID S., BIERMAN, BENJAMIN, TIETZ, JAMES V.
Priority to DE69706248T priority patent/DE69706248T2/en
Priority to EP97305255A priority patent/EP0821084B1/en
Priority to JP9231663A priority patent/JPH10121253A/en
Application granted granted Critical
Publication of US6090210A publication Critical patent/US6090210A/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/16Feed and outlet means for the gases; Modifying the flow of the gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Definitions

  • the invention relates generally to gas distribution in processing chambers, such as RTP chambers.
  • rapid thermal processing systems utilize a high intensity light source to rapidly heat a substrate that is held within a processing chamber, sometimes under vacuum conditions.
  • the light source which may consist of an array of high intensity lamps, is located outside of the chamber and adjacent to a window through which the light passes into the chamber. Inside of the chamber and on the other side of the window, the substrate is supported in such a manner that it can be heated by the incoming light. In some systems, the substrate is supported on a susceptor and it is the susceptor that is directly heated by the light. In other systems, the substrate is supported by a support ring which leaves both the front and back of the wafer substantially exposed to the atmosphere in the chamber and it is the frontside of the substrate which is directly heated by the light.
  • the wavelength of the light is selected so that it is substantially absorbed by the substrate or the system component that is being heated and the material of which the window is made is selected so that it is substantially transparent to the light. Often, quartz is used for the window.
  • the invention is a showerhead for introducing gas from one or more external supplies into a substrate processing chamber.
  • the showerhead includes a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, wherein the plurality of gas injection ports includes a first subset of gas injection ports and a second subset of gas injection ports.
  • the invention also includes a first gas distribution system which during use delivers a first gas to the first subset of injection ports for injection into the chamber; and a second gas distribution system which during use delivers a second gas to the second subset of injection ports for injection into the chamber.
  • the showerhead also includes a plenum chamber formed behind the faceplate and which receives gas from the one or more external supplies.
  • the plenum chamber is divided into a plurality of internal chambers isolated from each other and including a first internal chamber and a second internal chamber; and a first and a second gas inlet.
  • the first inlet is coupled to the first chamber and the second inlet is coupled to the second chamber.
  • the showerhead which is used with a lamp head to heat the substrate to a process temperature, also includes a top window on a side of the showerhead that is adjacent to the lamp head; and a bottom window on a side of the showerhead that is adjacent to the substrate during processing.
  • the top and bottom windows define a cavity therebetween and are transparent to the radiation from the source in the lamp head and the bottom window functions as the faceplate.
  • the plurality of injection ports are a plurality of holes through the bottom window.
  • the showerhead further includes a top plate with a plurality of holes; a bottom plate with a plurality of holes equal in number to the plurality of holes in the top plate; and a plurality of tubes equal in number to the plurality of holes in the top plate.
  • Each of the plurality of tubes connects a different one of the holes in the top plate to a corresponding one of the holes in the bottom plate; and the top window is adjacent to the top plate and the bottom window is adjacent to the bottom plate.
  • At least one of the top and bottom plates has a first array of channels formed therein for distributing gas to the first subset of injection ports, and at least one of the top and bottom plates has a second array of channels formed therein for distributing gas to the second set of injection ports.
  • the invention is a thermal processing system including a chamber defining a processing cavity; a lamp head; a showerhead positioned between the lamp head and the processing cavity in the chamber; and a substrate support mechanism within the chamber and spaced apart from the adapter plate.
  • the showerhead is constructed as described above.
  • the invention is a showerhead for introducing gas from one or more external supplies into a substrate processing chamber.
  • the showerhead includes a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, wherein the plurality of gas injection ports includes a plurality of subsets of gas injection ports; and it includes a plurality of gas distribution systems equal in number to the plurality of subsets of gas distribution ports.
  • Each of the gas distribution systems is connected to a different subset of gas injection ports and during use, it delivers a corresponding gas to the subset to which it is connected for injection into the chamber.
  • a multi-zone showerhead design will provide flow control zones which may be used to adjust gas flow to offset gas depletion and/or boundary layer effects which will tend to be a greater problem in the larger systems.
  • this method of flow control is readily scalable to even larger wafer diameters.
  • the invention provides individual control of multiple zones of gas distribution holes in a gas showerhead. This enables one to alter the flow of process gas where local film non-uniformities occur and to thereby reduce the non-uniformities.
  • FIG. 1 is a schematic representation of an RTP chamber
  • FIG. 2 is a schematic representation of an RTP chamber showing the components of a magnetic drive for rotating the support ring and the substrate;
  • FIG. 3 is a side, cross sectional view of the adapter plate
  • FIG. 4 is a top view of the adapter plate
  • FIG. 5 is bottom view of a multi-zone showerhead
  • FIG. 6 is an example of an dual-zone adapter plate
  • FIGS. 7a and 7b show two examples of other multi-zone showerhead designs.
  • FIG. 8 shows a side, cross-sectional view of a circular showerhead that employs the multi-zone gas flow design.
  • a representative RTP chamber 10 includes a chamber body 12 which defines an internal processing cavity 14 in which a substrate 16 is held during processing.
  • the substrate is typically a semiconductor wafer (e.g. silicon) although it could be made of other materials and could have shapes other than wafer form.
  • the substrate could be a glass plate such as is used to fabricate display screens.
  • the heat source includes a lamp head 40 and an adapter plate 42 which functions as an interface between lamp head 40 and chamber body 12.
  • Lamp head 40 contains an array of tungsten-halogen lamps 44, each of which is housed in a gold plated tube 46.
  • the details of the design and construction of such lamp heads can be found elsewhere and thus will not be presented here. Refer, for example, to U.S. Pat. No. 5,155,336 to Chris Gronet et al., entitled “Rapid Thermal Heating Apparatus and Method", incorporated herein by reference.
  • Adapter plate 42 performs multiple functions. It provides a window through which the high intensity radiation light from lamp head 40 can pass into the chamber. It functions as a vacuum barrier between lamp head 40, which is at atmospheric pressure, and the inside of chamber 12, which is typically brought to low pressure or vacuum conditions at some point during the process. It also provides structural support for the window material thus enabling one to use relatively thin material without risk of it breaking under the forces caused having atmospheric pressure on one side of the window and a low pressure or vacuum on the other side. This is especially important for the larger systems that are now being considered for processing substrates that are 300 mm and larger. Finally, it also functions as a showerhead through which gases, e.g. process and/or reactive gases, are injected into the chamber during processing.
  • gases e.g. process and/or reactive gases
  • Support ring 18 which holds substrate 16.
  • Support ring 18 is annular shaped and includes an inwardly extending lip 24 which holds substrate 16 at its outer perimeter thereby leaving most of the substrate's backside exposed. The transition from the lip 24 to the outer portion of support ring 18 defines a shoulder which holds the substrate in place as support ring 24 and tube 20 are rotated during processing.
  • Reflector plate 28 is made of aluminum and is coated with a highly reflective material, e.g. gold.
  • Support ring 18 holds substrate about 0.5 inch above a bottom reflecting plate 28 to form a reflecting cavity 35 between the underside of substrate 16 and the top of reflector plate 28.
  • Passing up through the bottom of the chamber are one or more light pipes 30 which are used to sample the radiation within reflecting cavity 35.
  • the sampled radiation from each light pipe is passed through a corresponding optical fiber 32 to one or more pyrometers 34 which convert the intensity of the sampled radiation to a substrate temperature reading.
  • Reflecting cavity 35 serves to enhance the effective emissivity of the substrate and thereby produce more accurate temperature measurements which are less sensitive to variations in emissivity from one wafer to the next.
  • Control circuitry (not shown), which receives the temperature readings from pyrometers 34, regulates the power to lamp head 40 to achieve the desired substrate temperature during the processing cycle.
  • Support ring 18 rests on top of a rotatable tubular quartz cylinder 20 which is rotated by a magnetically coupled drive mechanism 22 (see FIG. 2) coupled to the bottom of cylinder 20.
  • Cylinder 20 is coated with silicon to render it opaque in the frequency range of the pyrometers.
  • the silicon coating on the quartz cylinder acts as a baffle to block out radiation from external sources that might contaminate the intensity measurements.
  • the magnetic drive mechanism includes an annular upper bearing race 23 which rests on a plurality of ball bearings 25 that are, in turn, held within an stationary, annular, lower bearing race 27.
  • the ball bearings 25 are made of steel and coated with silicon nitride (or alternatively, sold silicon nitride) to reduce particulate formation during operation.
  • the bottom of the quartz cylinder is held by upper bearing race 23 which is magnetically-coupled to an actuator 29 which rotates cylinder 20, support ring 18 and substrate 16, e.g. at about 90 RPM or faster, during thermal processing.
  • adapter plate 42 is made up of a plurality of hollow tubes 48 sandwiched between two quartz windows, namely, a top window 50 and a bottom window 52. Tubes 48 of adapter plate 42 align with tubes 46 in lamp head 40 when the two units are mounted on top of chamber 12. Tubes 48 are held at the top and bottom ends by a top plate 54 and a bottom plate 56, respectively, both of which are made of metal, e.g. stainless steel. Top and bottom plates 54 and 56 have an identical array of holes in them. Each of the holes is slightly larger than the outside diameter of the tube. The ends of each tube rest in corresponding holes of the top and bottom plates and are welded in place.
  • Both top window 50 and bottom window 52 which rest against top and bottom plates 54 and 56, respectively, are made of a material that is transparent to the wavelength of the radiation that is emitted by the lamps (e.g. quartz).
  • Around the perimeter of top plate 54 there is a groove with an O'ring 58 that forms a vacuum seal between top window 50 and top plate 54.
  • Around the perimeter of bottom plate 56 there is another groove with another O'ring 52 that forms a vacuum seal between bottom window 52 and bottom plate 56.
  • Top window 50 provides a vacuum barrier between the lamp head which is at atmospheric pressure and the inside of the adapter plate, which is typically at reduced pressure during processing (e.g. a pressure that is higher than the chamber pressure but lower than atmospheric).
  • bottom plate 52 includes an array of holes 60 which are clustered in locations adjacent to tubes 48. Thus, gas which is injected into adapter plate 42 passes out through these holes into the chamber.
  • FIG. 4 shows a top view of adapter plate 42 as seen from the perspective of lamp head 40.
  • the crisscrossing array of channels is formed by milling three separate grids of channels across the plate, each grid made up of parallel milled channels equally spaced across the surface of plate 54. Each grid of milled channels is rotated with respect to the other grid by 120°.
  • the three separate grids of milled channels are identified by numerals 80(1), 80(2) and 80(3).
  • top plate 54 the holes which receive tubes 48 are centered on the points of intersection of the milled channels of the three different grids. Thus, for each tube 48 there are six milled passageways radiating radially out from the tube and connecting it to the six nearest neighbor tubes.
  • top plate 54 Around the perimeter of top plate 54 there is also a gas supply groove 82 into which all of the milled channels terminate at either end. Gas is supplied to gas supply groove 82 through a gas coupling 84 which is connected to groove 82 through a passageway 86. The gas that is supplied to gas supply groove 82 flows through the milled channels on top of to plate 54 and into tubes 48. The gas within tubes 48 then flows out of the adapter plate through the holes in bottom window 52.
  • the distribution of holes in bottom window 52 may be desirable to have the distribution of holes in bottom window 52 extend out past the edge of the substrate so as to better approximate a uniform gas distribution. What remains of the process gas, after it passes over the substrate, is then collected by the vacuum system through exhaust ports 71 located near the periphery of the chamber. It may also be desirable to locate the exhaust ports to the side of and below the substrate, as shown, so as to facilitate achieving a more uniform gas distribution over the surface of the substrate. In addition, it is also desirable to utilize an axis-symmetric exhaust system design, i.e., exhaust ports distributed around the perimeter of the substrate and to thereby avoid or minimize any angular dependencies in the gas flow.
  • substrate 16 is about 1 inch below the bottom quartz window of adapter plate 42.
  • substrate 16 When irradiated with high intensity light, substrate 16 will radiate energy back at the adapter plate 42.
  • the radiated energy will typically have a longer wavelength than the wavelength of the impinging light. Thus, the radiated energy will be absorbed to a greater extent by the quartz and it will heat up adapter plate 42.
  • adapter plate 42 is provided with a liquid coolant system.
  • coolant e.g. water
  • Coolant e.g. water
  • the water which circulates between the top and bottom plates 54 and 56 and around the outside of tubes 48, cools both tubes 48 and the top and bottom plates 54 and 56. After the water has passed through adapter plate 42 it exits through three passageways 97 on the opposite side, into a chamber 96, and then out through outlet connector 92.
  • baffles 99 within adapter plate 42 to control the path of the coolant through the inside of adapter plate.
  • the baffles may be complete or partial barriers blocking the passageway between selected neighboring tubes 48. Six such baffles 99 are shown in FIG. 4 for illustrative purposes. The location of such baffles would of course be selected to produce the most effective cooling of the adapter plate.
  • process gas is introduced into adapter plate 42 through gas coupling 84. It flows into supply groove 82 around the perimeter of top plate 54 and into the distribution channels crisscrossing top plate 54. From the distribution channels, it flows into the tubes of the array and then to the distribution holes in bottom window 52. The gas flows out of the distribution holes into the chamber above the substrate that is held in the support ring.
  • the described method of distributing gas to the holes in the bottom window of the adapter plate is, of course, an implementation detail.
  • the described embodiment is meant to merely be illustrative of one of many possible alternative approaches which could be used.
  • the channels could be milled into the bottom surface of bottom plate 56 (i.e., the surface which is contact with bottom window 52). In that case, it would not be necessary to cluster the gas distribution holes in bottom window 52 within areas the are circumscribed by tubes 48.
  • other plumbing arrangements could be used to deliver the gas to the backside of the bottom window. Though with any approach it is desirable to minimize obstructions to the light passing through the adapter plate into the chamber.
  • the adapter plate it is probably desirable to design the adapter plate so that the conductance of the passages delivering gas to the faceplate much higher that the total conductance of holes through the faceplate. In that case, the number, size, and distribution of holes will have less impact on the flow rates through the holes near the center region of the bottom window.
  • the sizes of the holes and their distribution may be varied in ways that are known to persons skilled in the art so as to adjust and/or tailor the flow of process gas over the surface of the substrate. It may also be desirable to inject the gas at several places around the perimeter of the adapter plate. For example, in FIG. 4, two injection points are illustrated on opposite sides of the adapter plate from each other. This will assure a greater uniformity of the conductance of the gas that is supplied to the distribution holes in bottom window 52. In addition, one could also modify the size of the channels as a function of location or distance from the center of the plate to ensure fresh gas is introduced over the entire wafer surface and to counter possible gas depletion effects.
  • gas from a single source is supplied to all of the holes in the bottom window or distribution plate.
  • all of the tubes 48 and thus all of the gas distribution holes in bottom window 52 are interconnected by the same crisscrossing array of milled channels.
  • the adapter plate can be designed and constructed so as to define two or more sets of independently fed gas distribution hole arrays in bottom window 52.
  • a dual zone gas showerhead 100 such as is illustrated in FIG. 5, there are two hole arrays, namely, an inner hole array 102 and an outer hole array 104.
  • One source of gas feeds gas to the inner hole array 102 through a first inlet port 103 and a second independent source of gas source (not shown) feeds gas to the outer hole array 104 through a second inlet port 105.
  • a second independent source of gas source feeds gas to the outer hole array 104 through a second inlet port 105.
  • a multi-zone showerhead configuration can be implemented in the adapter plate by simply milling the gas distribution channels appropriately.
  • FIG. 6 a dual zone adapter plate showerhead is shown. Note that the water cooling couplings are not shown so as to simplify the drawing. It should be understood, however, that water cooling is also provided in this adapter plate as in the case of the adapter plate shown in FIG. 4.
  • the tubes 48 in the adapter plate of FIG. 6 are divided into two groups, namely, an inner group 202 and an outer group 204.
  • the inner and outer groups 202, 204 of tubes 48 are fed by first and second inlet ports 203, 205, respectively.
  • the tubes of the inner group have been labeled with "A” and the tubes of the outer group are either unlabeled or are labeled with "B".
  • the label "B" is used to identify those tubes of the outer group that have at least one inner tube as a nearest neighbor. Recall that in the described embodiment the tubes supply gas to the gas distribution holes that are in bottom window 52.
  • one milled channel 210 extends from a supply hole 212 at the perimeter of the top plate to a tube hole within the inner array of tube holes. In the described embodiment, all of the tube holes through which this milled channel 210 passes also receive gas from this second source. Including gas distribution holes in the bottom window and aligned with the six tubes that lie along a radius extending from the outer perimeter of the top plate to the inner array of tubes is optional.
  • sets of channels can be milled in both the top and bottom plates, where one set of channels supplies the inner array and the other set of channels supplies the outer array.
  • the showerhead can be divided into pie-shaped segments, with each segment supplied from a different gas source (see FIG. 7b). Or there can be multiple concentric zones surrounding a center zone (see FIG. 7a).
  • the center zone may consist of a single center gas injection port, in which case the central gas flow component can be controlled independently of the overall gas flow through the showerhead.
  • the multi-zone showerhead design is generally applicable to systems other than the RTP system described herein.
  • it can be employed in any conventional system which uses a showerhead to introduce process gases into the chamber and regardless of the energy source that is used, e.g. a system that employs an RF generated plasma.
  • the showerhead there is of course no need for the showerhead to be transparent to the high intensity light, as it must be in the case of the RTP system described herein.
  • the segmentation of the showerhead can be more complex and there will be fewer constraints on the plumbing that can be used to transport the gases from the different independent sources to the corresponding segments.
  • the adapter plate In contrast, in an RTP system such as is described herein, the adapter plate must be relatively thin and there is typically little to no room behind the plate to add gas supply plumbing. Moreover, any plumbing that is added cannot be allowed to interfere with the transmission of the high intensity radiation through the adapter plate and into the chamber.
  • a showerhead 300 such as might be used in an RF plasma etch system or a CVD system includes a hollow metal body 302 (e.g. stainless steel) that includes a faceplate in which there is an array of gas distribution holes 304.
  • This showerhead has a cylindrically-shaped, internal chamber wall 305 that forms two internal chambers, namely, an annular outer chamber 306 and a circular inner chamber 308.
  • Process gas from one source is supplied to outer chamber 306 through one supply line 310 and process gas from another source is supplied to inner chamber 308 through a second supply line 312.
  • the gas delivery systems which are used in this and in the previously described embodiments are constructed from conventional components, well known to persons skilled in the art.
  • the gas delivery system includes a supply of pressurized gas (or gases) 314 and two mass flow controller (MFC's) 316 and 318, one for each supply line 310 and 312, respectively.
  • the MFC's 316 and 318 are programmably controlled through a digital processing unit (not shown).

Abstract

A showerhead for introducing gas from one or more external supplies into a substrate processing chamber, the showerhead including a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, wherein the plurality of gas injection ports includes a first subset of gas injection ports and a second subset of gas injection ports; a first gas distribution system which during use delivers a first gas to the first subset of injection ports for injection into the chamber; and a second gas distribution system which during use delivers a second gas to the second subset of injection ports for injection into the chamber.

Description

BACKGROUND OF THE INVENTION
The invention relates generally to gas distribution in processing chambers, such as RTP chambers.
Typically, rapid thermal processing systems utilize a high intensity light source to rapidly heat a substrate that is held within a processing chamber, sometimes under vacuum conditions. The light source, which may consist of an array of high intensity lamps, is located outside of the chamber and adjacent to a window through which the light passes into the chamber. Inside of the chamber and on the other side of the window, the substrate is supported in such a manner that it can be heated by the incoming light. In some systems, the substrate is supported on a susceptor and it is the susceptor that is directly heated by the light. In other systems, the substrate is supported by a support ring which leaves both the front and back of the wafer substantially exposed to the atmosphere in the chamber and it is the frontside of the substrate which is directly heated by the light. The wavelength of the light is selected so that it is substantially absorbed by the substrate or the system component that is being heated and the material of which the window is made is selected so that it is substantially transparent to the light. Often, quartz is used for the window.
Two systems which utilize support rings are described in greater detail in U.S. Pat. No. 5,155,336 and in U.S. Pat. No. 5,660,472, both of which are incorporated herein by reference.
For many processes that are performed within the chamber (e.g. deposition, etch, epitaxial processes, doping, growing oxides, nitridation, etc.), it is necessary to deliver a process gas into the chamber. Moreover, the process gas must be delivered so as to produce substantially uniform results over the surface of the wafer. One approach that has been used is to inject the gas to the side of the substrate and let it flow over the surface of the substrate (see U.S. Pat. No. 5,155,336 referenced above). Another approach has been to use a showerhead that is positioned adjacent to the substrate and on the side of the substrate that is opposite the side that is being heated by the high intensity light (see U.S. Pat. No. 5,660,472 also referenced above). Though these and other various approaches to gas injection do exist, there is always a need to improve upon them.
SUMMARY OF THE INVENTION
In general, in one aspect, the invention is a showerhead for introducing gas from one or more external supplies into a substrate processing chamber. The showerhead includes a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, wherein the plurality of gas injection ports includes a first subset of gas injection ports and a second subset of gas injection ports. The invention also includes a first gas distribution system which during use delivers a first gas to the first subset of injection ports for injection into the chamber; and a second gas distribution system which during use delivers a second gas to the second subset of injection ports for injection into the chamber.
Preferred embodiments include the following features. The showerhead also includes a plenum chamber formed behind the faceplate and which receives gas from the one or more external supplies. The plenum chamber is divided into a plurality of internal chambers isolated from each other and including a first internal chamber and a second internal chamber; and a first and a second gas inlet. The first inlet is coupled to the first chamber and the second inlet is coupled to the second chamber. The showerhead, which is used with a lamp head to heat the substrate to a process temperature, also includes a top window on a side of the showerhead that is adjacent to the lamp head; and a bottom window on a side of the showerhead that is adjacent to the substrate during processing. The top and bottom windows define a cavity therebetween and are transparent to the radiation from the source in the lamp head and the bottom window functions as the faceplate.
Also in preferred embodiments, the plurality of injection ports are a plurality of holes through the bottom window. In addition, the showerhead further includes a top plate with a plurality of holes; a bottom plate with a plurality of holes equal in number to the plurality of holes in the top plate; and a plurality of tubes equal in number to the plurality of holes in the top plate. Each of the plurality of tubes connects a different one of the holes in the top plate to a corresponding one of the holes in the bottom plate; and the top window is adjacent to the top plate and the bottom window is adjacent to the bottom plate. At least one of the top and bottom plates has a first array of channels formed therein for distributing gas to the first subset of injection ports, and at least one of the top and bottom plates has a second array of channels formed therein for distributing gas to the second set of injection ports.
In general, in another aspect, the invention is a thermal processing system including a chamber defining a processing cavity; a lamp head; a showerhead positioned between the lamp head and the processing cavity in the chamber; and a substrate support mechanism within the chamber and spaced apart from the adapter plate. The showerhead is constructed as described above.
In general, in yet another aspect, the invention is a showerhead for introducing gas from one or more external supplies into a substrate processing chamber. The showerhead includes a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, wherein the plurality of gas injection ports includes a plurality of subsets of gas injection ports; and it includes a plurality of gas distribution systems equal in number to the plurality of subsets of gas distribution ports. Each of the gas distribution systems is connected to a different subset of gas injection ports and during use, it delivers a corresponding gas to the subset to which it is connected for injection into the chamber.
As wafer diameters increase to 300 mm and above, conventional showerhead gas distributors may prove to be insufficient for producing uniformly deposited films. A multi-zone showerhead design will provide flow control zones which may be used to adjust gas flow to offset gas depletion and/or boundary layer effects which will tend to be a greater problem in the larger systems. In addition, this method of flow control is readily scalable to even larger wafer diameters.
The invention provides individual control of multiple zones of gas distribution holes in a gas showerhead. This enables one to alter the flow of process gas where local film non-uniformities occur and to thereby reduce the non-uniformities.
In some systems, there is a tendency for the sizes of the gas distribution holes to become larger as the system the longer the system has been in use. This is because the center of the showerhead often tends to be hotter than the perimeter of the showerhead. Thus, when a quartz showerhead is cleaned or etched to remove materials that have deposited on it during previous process runs, the inner holes in the hotter portion of the head are likely to etch more than the holes in the cooler portion of the head, thereby producing larger holes near the center. This affects the distribution of gas flowing over the surface of the substrate during processing and thus detrimentally impacts the uniformity of the film that is being deposited or the etch that is being performed. Eventually, the showerhead will have to be discarded and replaced with a new showerhead. However, with a dual zone showerhead (or a multi-zone showerhead) it will be possible to reduce the pressure of the gas supplied to the center holes as compared to the outer holes and thereby compensate for their larger size. Thus, the life of the showerhead can be extended.
Other advantages and features will become apparent from the following description of the preferred embodiment and from the claims.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic representation of an RTP chamber;
FIG. 2 is a schematic representation of an RTP chamber showing the components of a magnetic drive for rotating the support ring and the substrate;
FIG. 3 is a side, cross sectional view of the adapter plate;
FIG. 4 is a top view of the adapter plate;
FIG. 5 is bottom view of a multi-zone showerhead;
FIG. 6 is an example of an dual-zone adapter plate;
FIGS. 7a and 7b show two examples of other multi-zone showerhead designs; and
FIG. 8 shows a side, cross-sectional view of a circular showerhead that employs the multi-zone gas flow design.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
Referring to FIG. 1, a representative RTP chamber 10 includes a chamber body 12 which defines an internal processing cavity 14 in which a substrate 16 is held during processing. The substrate is typically a semiconductor wafer (e.g. silicon) although it could be made of other materials and could have shapes other than wafer form. For example, the substrate could be a glass plate such as is used to fabricate display screens.
At the top of the chamber there is a heat source which is used to irradiate substrate 16 with a high intensity radiation (e.g. light) and thereby rapidly heat substrate 16 to the desired processing temperature. The heat source includes a lamp head 40 and an adapter plate 42 which functions as an interface between lamp head 40 and chamber body 12. Lamp head 40 contains an array of tungsten-halogen lamps 44, each of which is housed in a gold plated tube 46. To cool lamp head 40 during use, water is circulated through the head in the spaces between tubes 46. The details of the design and construction of such lamp heads can be found elsewhere and thus will not be presented here. Refer, for example, to U.S. Pat. No. 5,155,336 to Chris Gronet et al., entitled "Rapid Thermal Heating Apparatus and Method", incorporated herein by reference.
Adapter plate 42 performs multiple functions. It provides a window through which the high intensity radiation light from lamp head 40 can pass into the chamber. It functions as a vacuum barrier between lamp head 40, which is at atmospheric pressure, and the inside of chamber 12, which is typically brought to low pressure or vacuum conditions at some point during the process. It also provides structural support for the window material thus enabling one to use relatively thin material without risk of it breaking under the forces caused having atmospheric pressure on one side of the window and a low pressure or vacuum on the other side. This is especially important for the larger systems that are now being considered for processing substrates that are 300 mm and larger. Finally, it also functions as a showerhead through which gases, e.g. process and/or reactive gases, are injected into the chamber during processing.
Inside cavity 14 there is a support ring 18 which holds substrate 16. Support ring 18 is annular shaped and includes an inwardly extending lip 24 which holds substrate 16 at its outer perimeter thereby leaving most of the substrate's backside exposed. The transition from the lip 24 to the outer portion of support ring 18 defines a shoulder which holds the substrate in place as support ring 24 and tube 20 are rotated during processing.
Beneath substrate 16 there is a reflector plate 28 which is mounted on a water-cooled, stainless steel base 31. Reflector plate 28 is made of aluminum and is coated with a highly reflective material, e.g. gold. Support ring 18 holds substrate about 0.5 inch above a bottom reflecting plate 28 to form a reflecting cavity 35 between the underside of substrate 16 and the top of reflector plate 28. Passing up through the bottom of the chamber are one or more light pipes 30 which are used to sample the radiation within reflecting cavity 35. The sampled radiation from each light pipe is passed through a corresponding optical fiber 32 to one or more pyrometers 34 which convert the intensity of the sampled radiation to a substrate temperature reading. Reflecting cavity 35 serves to enhance the effective emissivity of the substrate and thereby produce more accurate temperature measurements which are less sensitive to variations in emissivity from one wafer to the next. Control circuitry (not shown), which receives the temperature readings from pyrometers 34, regulates the power to lamp head 40 to achieve the desired substrate temperature during the processing cycle.
Support ring 18 rests on top of a rotatable tubular quartz cylinder 20 which is rotated by a magnetically coupled drive mechanism 22 (see FIG. 2) coupled to the bottom of cylinder 20. Cylinder 20 is coated with silicon to render it opaque in the frequency range of the pyrometers. The silicon coating on the quartz cylinder acts as a baffle to block out radiation from external sources that might contaminate the intensity measurements.
The magnetic drive mechanism, an example of which is illustrated in FIG. 2, includes an annular upper bearing race 23 which rests on a plurality of ball bearings 25 that are, in turn, held within an stationary, annular, lower bearing race 27. The ball bearings 25 are made of steel and coated with silicon nitride (or alternatively, sold silicon nitride) to reduce particulate formation during operation. The bottom of the quartz cylinder is held by upper bearing race 23 which is magnetically-coupled to an actuator 29 which rotates cylinder 20, support ring 18 and substrate 16, e.g. at about 90 RPM or faster, during thermal processing.
Further details on the system shown in FIG. 2, can be found in U.S. patent application Ser. No. 08/359,302, filed Dec. 19, 1994 entitled "A Method and Apparatus for Measuring Substrate Temperatures", incorporated herein by reference.
Referring to FIGS. 1 and 3, adapter plate 42 is made up of a plurality of hollow tubes 48 sandwiched between two quartz windows, namely, a top window 50 and a bottom window 52. Tubes 48 of adapter plate 42 align with tubes 46 in lamp head 40 when the two units are mounted on top of chamber 12. Tubes 48 are held at the top and bottom ends by a top plate 54 and a bottom plate 56, respectively, both of which are made of metal, e.g. stainless steel. Top and bottom plates 54 and 56 have an identical array of holes in them. Each of the holes is slightly larger than the outside diameter of the tube. The ends of each tube rest in corresponding holes of the top and bottom plates and are welded in place.
Both top window 50 and bottom window 52, which rest against top and bottom plates 54 and 56, respectively, are made of a material that is transparent to the wavelength of the radiation that is emitted by the lamps (e.g. quartz). Around the perimeter of top plate 54, there is a groove with an O'ring 58 that forms a vacuum seal between top window 50 and top plate 54. Similarly, around the perimeter of bottom plate 56, there is another groove with another O'ring 52 that forms a vacuum seal between bottom window 52 and bottom plate 56. Top window 50 provides a vacuum barrier between the lamp head which is at atmospheric pressure and the inside of the adapter plate, which is typically at reduced pressure during processing (e.g. a pressure that is higher than the chamber pressure but lower than atmospheric). In contrast, bottom plate 52 includes an array of holes 60 which are clustered in locations adjacent to tubes 48. Thus, gas which is injected into adapter plate 42 passes out through these holes into the chamber.
FIG. 4 shows a top view of adapter plate 42 as seen from the perspective of lamp head 40. Across the top of top plate 54 there is a crisscrossing, hexagonal array of milled channels 80. In this described embodiment, the crisscrossing array of channels is formed by milling three separate grids of channels across the plate, each grid made up of parallel milled channels equally spaced across the surface of plate 54. Each grid of milled channels is rotated with respect to the other grid by 120°. In FIG. 4, the three separate grids of milled channels are identified by numerals 80(1), 80(2) and 80(3).
In top plate 54, the holes which receive tubes 48 are centered on the points of intersection of the milled channels of the three different grids. Thus, for each tube 48 there are six milled passageways radiating radially out from the tube and connecting it to the six nearest neighbor tubes.
Around the perimeter of top plate 54 there is also a gas supply groove 82 into which all of the milled channels terminate at either end. Gas is supplied to gas supply groove 82 through a gas coupling 84 which is connected to groove 82 through a passageway 86. The gas that is supplied to gas supply groove 82 flows through the milled channels on top of to plate 54 and into tubes 48. The gas within tubes 48 then flows out of the adapter plate through the holes in bottom window 52.
Generally, it may be desirable to have the distribution of holes in bottom window 52 extend out past the edge of the substrate so as to better approximate a uniform gas distribution. What remains of the process gas, after it passes over the substrate, is then collected by the vacuum system through exhaust ports 71 located near the periphery of the chamber. It may also be desirable to locate the exhaust ports to the side of and below the substrate, as shown, so as to facilitate achieving a more uniform gas distribution over the surface of the substrate. In addition, it is also desirable to utilize an axis-symmetric exhaust system design, i.e., exhaust ports distributed around the perimeter of the substrate and to thereby avoid or minimize any angular dependencies in the gas flow.
In the described embodiment, substrate 16 is about 1 inch below the bottom quartz window of adapter plate 42. When irradiated with high intensity light, substrate 16 will radiate energy back at the adapter plate 42. The radiated energy will typically have a longer wavelength than the wavelength of the impinging light. Thus, the radiated energy will be absorbed to a greater extent by the quartz and it will heat up adapter plate 42. To remove this heat, adapter plate 42 is provided with a liquid coolant system. On one side of adapter plate 42 there is a coolant inlet connector 90 and on the other side there is a coolant outlet connector 92. Coolant (e.g. water) is flowed in through connector 90 into a receiving chamber 94 which is coupled to the space 98 between the tubes through three passageways 95. The water, which circulates between the top and bottom plates 54 and 56 and around the outside of tubes 48, cools both tubes 48 and the top and bottom plates 54 and 56. After the water has passed through adapter plate 42 it exits through three passageways 97 on the opposite side, into a chamber 96, and then out through outlet connector 92.
It may be desirable to include baffles 99 within adapter plate 42 to control the path of the coolant through the inside of adapter plate. The baffles may be complete or partial barriers blocking the passageway between selected neighboring tubes 48. Six such baffles 99 are shown in FIG. 4 for illustrative purposes. The location of such baffles would of course be selected to produce the most effective cooling of the adapter plate.
During operation, process gas is introduced into adapter plate 42 through gas coupling 84. It flows into supply groove 82 around the perimeter of top plate 54 and into the distribution channels crisscrossing top plate 54. From the distribution channels, it flows into the tubes of the array and then to the distribution holes in bottom window 52. The gas flows out of the distribution holes into the chamber above the substrate that is held in the support ring.
The described method of distributing gas to the holes in the bottom window of the adapter plate is, of course, an implementation detail. The described embodiment is meant to merely be illustrative of one of many possible alternative approaches which could be used. For example, if milled channels are used to distribute the gas, the channels could be milled into the bottom surface of bottom plate 56 (i.e., the surface which is contact with bottom window 52). In that case, it would not be necessary to cluster the gas distribution holes in bottom window 52 within areas the are circumscribed by tubes 48. Alternatively, other plumbing arrangements could be used to deliver the gas to the backside of the bottom window. Though with any approach it is desirable to minimize obstructions to the light passing through the adapter plate into the chamber. Also, in general, it is probably desirable to design the adapter plate so that the conductance of the passages delivering gas to the faceplate much higher that the total conductance of holes through the faceplate. In that case, the number, size, and distribution of holes will have less impact on the flow rates through the holes near the center region of the bottom window.
Also, it may be desirable to vary the sizes of the holes and their distribution in ways that are known to persons skilled in the art so as to adjust and/or tailor the flow of process gas over the surface of the substrate. It may also be desirable to inject the gas at several places around the perimeter of the adapter plate. For example, in FIG. 4, two injection points are illustrated on opposite sides of the adapter plate from each other. This will assure a greater uniformity of the conductance of the gas that is supplied to the distribution holes in bottom window 52. In addition, one could also modify the size of the channels as a function of location or distance from the center of the plate to ensure fresh gas is introduced over the entire wafer surface and to counter possible gas depletion effects.
In the above-described embodiment, gas from a single source is supplied to all of the holes in the bottom window or distribution plate. In other words, all of the tubes 48 and thus all of the gas distribution holes in bottom window 52 are interconnected by the same crisscrossing array of milled channels. Alternatively, the adapter plate can be designed and constructed so as to define two or more sets of independently fed gas distribution hole arrays in bottom window 52. For example, in a dual zone gas showerhead 100 such as is illustrated in FIG. 5, there are two hole arrays, namely, an inner hole array 102 and an outer hole array 104. One source of gas (not shown) feeds gas to the inner hole array 102 through a first inlet port 103 and a second independent source of gas source (not shown) feeds gas to the outer hole array 104 through a second inlet port 105. With this configuration, it is possible to independently control the flow rates through the two gas distribution hole arrays and thereby have greater control over the contour of the gas flow distribution over the surface of the substrate. In addition, by supplying the inner array of gas distribution holes separately from the outer array of gas distribution holes, as illustrated, it becomes possible to modify (e.g. increase) the conductance of the gas delivered to the holes near the center of the showerhead without affecting the conductance of the gas delivered to the holes in the outer array. Conversely, the flow of gas through the holes of the inner array will not be influenced by the number, size, and distribution of holes in the outer array.
A multi-zone showerhead configuration can be implemented in the adapter plate by simply milling the gas distribution channels appropriately. For example, referring to FIG. 6, a dual zone adapter plate showerhead is shown. Note that the water cooling couplings are not shown so as to simplify the drawing. It should be understood, however, that water cooling is also provided in this adapter plate as in the case of the adapter plate shown in FIG. 4.
The tubes 48 in the adapter plate of FIG. 6 are divided into two groups, namely, an inner group 202 and an outer group 204. The inner and outer groups 202, 204 of tubes 48 are fed by first and second inlet ports 203, 205, respectively. For clarity, the tubes of the inner group have been labeled with "A" and the tubes of the outer group are either unlabeled or are labeled with "B". The label "B" is used to identify those tubes of the outer group that have at least one inner tube as a nearest neighbor. Recall that in the described embodiment the tubes supply gas to the gas distribution holes that are in bottom window 52.
To isolate the gas supply of the inner tubes from the gas supply of the outer tubes, no milled channels are permitted to pass from a tube opening labeled "B" to a tube opening labeled "A". To get the gas in to the inner tubes, one milled channel 210 extends from a supply hole 212 at the perimeter of the top plate to a tube hole within the inner array of tube holes. In the described embodiment, all of the tube holes through which this milled channel 210 passes also receive gas from this second source. Including gas distribution holes in the bottom window and aligned with the six tubes that lie along a radius extending from the outer perimeter of the top plate to the inner array of tubes is optional.
Of course, alternative approaches can be used to isolate the supplies to the two arrays of holes. For example, sets of channels can be milled in both the top and bottom plates, where one set of channels supplies the inner array and the other set of channels supplies the outer array.
Though we have use a dual-zone showerhead to illustrate this aspect of the invention, it should be understood that more than two zones can be constructed and that the zones can be configured in any shape that produces beneficial or useful results. For example, the showerhead can be divided into pie-shaped segments, with each segment supplied from a different gas source (see FIG. 7b). Or there can be multiple concentric zones surrounding a center zone (see FIG. 7a). The center zone may consist of a single center gas injection port, in which case the central gas flow component can be controlled independently of the overall gas flow through the showerhead.
The multi-zone showerhead design is generally applicable to systems other than the RTP system described herein. For example, it can be employed in any conventional system which uses a showerhead to introduce process gases into the chamber and regardless of the energy source that is used, e.g. a system that employs an RF generated plasma. In such systems, there is of course no need for the showerhead to be transparent to the high intensity light, as it must be in the case of the RTP system described herein. In such systems, the segmentation of the showerhead can be more complex and there will be fewer constraints on the plumbing that can be used to transport the gases from the different independent sources to the corresponding segments. In contrast, in an RTP system such as is described herein, the adapter plate must be relatively thin and there is typically little to no room behind the plate to add gas supply plumbing. Moreover, any plumbing that is added cannot be allowed to interfere with the transmission of the high intensity radiation through the adapter plate and into the chamber.
Referring to FIG. 8, a showerhead 300 such as might be used in an RF plasma etch system or a CVD system includes a hollow metal body 302 (e.g. stainless steel) that includes a faceplate in which there is an array of gas distribution holes 304. This showerhead has a cylindrically-shaped, internal chamber wall 305 that forms two internal chambers, namely, an annular outer chamber 306 and a circular inner chamber 308. Process gas from one source is supplied to outer chamber 306 through one supply line 310 and process gas from another source is supplied to inner chamber 308 through a second supply line 312. In general, the gas delivery systems which are used in this and in the previously described embodiments are constructed from conventional components, well known to persons skilled in the art. In this particular embodiment, the gas delivery system includes a supply of pressurized gas (or gases) 314 and two mass flow controller (MFC's) 316 and 318, one for each supply line 310 and 312, respectively. The MFC's 316 and 318 are programmably controlled through a digital processing unit (not shown).
It should be understood that the inventions described herein can be employed in any substrate processing system which uses a showerhead to distribute process gas to the substrate. This includes, CVD, nitridation, oxidation, etch and cleaning systems, to name a few examples.
Other embodiments are within the following claims.

Claims (16)

What is claimed is:
1. A showerhead for introducing gas from one or more external supplies into a substrate processing chamber, said showerhead comprising:
a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, said faceplate being transparent to light, and wherein said plurality of gas injection ports includes a first subset of gas injection ports and a second subset of gas injection ports;
a first gas distribution system which during use delivers a first gas to the first subset of injection ports for injection into the chamber; and
a second gas distribution system which is separate from the first gas distribution system and which during use delivers a second gas to the second subset of injection ports for injection into the chamber.
2. The showerhead of claim 1 further comprising:
a plenum chamber formed behind the faceplate and which receives gas from the one or more external supplies, wherein said plenum chamber is divided into a plurality of internal chambers isolated from each other and including a first internal chamber and a second internal chamber; and
a first and a second gas inlet, said first inlet coupled to the first chamber and the second inlet coupled to the second chamber.
3. The showerhead of claim 2 for use with a lamp head to heat the substrate to a process temperature, said showerhead further comprising:
a top window on a side of the showerhead that is adjacent to the lamp head; and
a bottom window on a side of the showerhead that is adjacent to the substrate during processing, said top and bottom windows defining a cavity therebetween; and
wherein the top and bottom windows are transparent to the radiation from the source in the lamp head and wherein the bottom window is said faceplate.
4. The showerhead of claim 3, wherein said plurality of injection ports are a plurality of holes through the bottom window.
5. The showerhead of claim 4, further comprising:
a top plate with a plurality of holes;
a bottom plate with a plurality of holes equal in number to the plurality of holes in the top plate; and
a plurality of tubes equal in number to the plurality of holes in the top plate, each of said plurality of tubes connecting a different one of the holes in the top plate to a corresponding one of the holes in the bottom plate; wherein the top window is adjacent to the top plate and the bottom window is adjacent to the bottom plate.
6. The showerhead of claim 4, further comprising:
a top plate with a plurality of holes;
a bottom plate with a plurality of holes equal in number to the plurality of holes in the top plate; and
a plurality of tubes equal in number to the plurality of holes in the top plate, each of said plurality of tubes connecting a different one of the holes in the top plate to a corresponding one of the holes in the bottom plate;
wherein at least one of said top and bottom plates has a first array of channels formed therein for distributing gas to the first subset of injection ports, and
wherein at least one of said top and bottom plates has a second array of channels formed therein for distributing gas to the second set of injection ports.
7. The showerhead of claim 1, wherein said first and second subset of injection ports form a multi-zone arrangement.
8. The showerhead of claim 7, wherein first and second zones are formed by the injection ports of the first and second subsets, respectively, the first zone substantially surrounding the second zone.
9. A thermal processing system comprising:
a chamber defining a processing cavity;
a lamp head;
a showerhead positioned between the lamp head and the processing cavity in the chamber; and
a substrate support mechanism for holding a substrate within the chamber,
wherein said showerhead is positioned between the lamp head and the substrate that is heated by the lamp head during processing and comprises:
a faceplate including a plurality of gas injection ports through which gas is injected into the chamber, said faceplate being transparent to light from said lamp head, and wherein said plurality of gas injection ports includes a first subset of gas injection ports and a second subset of gas injection ports;
a first gas distribution system which during use delivers a first gas to the first subset of injection ports for injection into the chamber; and
a second gas distribution system which is separate from the first gas distribution system and which during use delivers a second gas to the second subset of injection ports for injection into the chamber.
10. The thermal processing system of claim 9, wherein the showerhead further comprises:
a plenum chamber formed behind the faceplate and which receives gas from the one or more external supplies, wherein said plenum chamber is divided into a plurality of internal chambers isolated from each other and including a first internal chamber and a second internal chamber; and
a first and a second gas inlet, said first inlet coupled to the first chamber and the second inlet coupled to the second chamber.
11. The thermal processing system of claim 9, wherein said showerhead further comprises:
a top window on a side of the showerhead that is adjacent to the lamp head; and
a bottom window on a side of the showerhead that is adjacent to the substrate during processing, said top and bottom windows defining a cavity therebetween; and
wherein the top and bottom windows are transparent to the radiation from the source in the lamp head and wherein the bottom window is said faceplate.
12. The thermal processing system of claim 9, wherein said plurality of injection ports are a plurality of holes through the bottom window.
13. The thermal processing system of claim 12, wherein said showerhead further comprises:
a top plate with a plurality of holes;
a bottom plate with a plurality of holes equal in number to the plurality of holes in the top plate; and
a plurality of tubes equal in number to the plurality of holes in the top plate, each of said plurality of tubes connecting a different one of the holes in the top plate to a corresponding one of the holes in the bottom plate; wherein the top window is adjacent to the top plate and the bottom window is adjacent to the bottom plate.
14. The thermal processing system of claim 12, wherein said showerhead further comprises:
a top plate with a plurality of holes;
a bottom plate with a plurality of holes equal in number to the plurality of holes in the top plate; and
a plurality of tubes equal in number to the plurality of holes in the top plate, each of said plurality of tubes connecting a different one of the holes in the top plate to a corresponding one of the holes in the bottom plate;
wherein at least one of said top and bottom plates has a first array of channels formed therein for distributing gas to the first subset of injection ports, and
wherein at least one of said top and bottom plates has a second array of channels formed therein for distributing gas to the second set of injection ports.
15. The showerhead of claim 9, wherein said first and second subset of injection ports form a multi-zone arrangement.
16. The showerhead of claim 15, wherein first and second zones are formed by the injection ports of the first and second subsets, respectively, the first zone substantially surrounding the second zone.
US08/690,265 1996-07-24 1996-07-24 Multi-zone gas flow control in a process chamber Expired - Fee Related US6090210A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US08/690,265 US6090210A (en) 1996-07-24 1996-07-24 Multi-zone gas flow control in a process chamber
DE69706248T DE69706248T2 (en) 1996-07-24 1997-07-15 Gas flow control for multiple zones in a process chamber
EP97305255A EP0821084B1 (en) 1996-07-24 1997-07-15 Multi-zone gas flow control in a process chamber
JP9231663A JPH10121253A (en) 1996-07-24 1997-07-24 Control of plural region gas flow in treatment chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/690,265 US6090210A (en) 1996-07-24 1996-07-24 Multi-zone gas flow control in a process chamber

Publications (1)

Publication Number Publication Date
US6090210A true US6090210A (en) 2000-07-18

Family

ID=24771783

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/690,265 Expired - Fee Related US6090210A (en) 1996-07-24 1996-07-24 Multi-zone gas flow control in a process chamber

Country Status (4)

Country Link
US (1) US6090210A (en)
EP (1) EP0821084B1 (en)
JP (1) JPH10121253A (en)
DE (1) DE69706248T2 (en)

Cited By (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301435B1 (en) * 1999-05-21 2001-10-09 Kabushiki Kaisha Toshiba Heating method
US6403479B1 (en) * 2000-03-17 2002-06-11 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US6428850B1 (en) * 1998-05-13 2002-08-06 Tokyo Electron Limited Single-substrate-processing CVD method of forming film containing metal element
US6449871B1 (en) * 1998-05-28 2002-09-17 Applied Materials Inc. Semiconductor process chamber having improved gas distributor
US6468926B1 (en) * 1998-06-30 2002-10-22 Fujitsu Limited Manufacture method and system for semiconductor device with thin gate insulating film of oxynitride
US20020166507A1 (en) * 1999-03-12 2002-11-14 Tokyo Electron Limited Thin film forming apparatus
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
US6508197B1 (en) * 1998-09-03 2003-01-21 Cvc Products, Inc. Apparatus for dispensing gas for fabricating substrates
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20030081730A1 (en) * 2001-10-31 2003-05-01 Thandiackal Lijo Joseph X - ray generating apparatus
US6582780B1 (en) * 1999-08-30 2003-06-24 Si Diamond Technology, Inc. Substrate support for use in a hot filament chemical vapor deposition chamber
US20030136516A1 (en) * 2002-01-22 2003-07-24 Hong-Seub Kim Gas diffussion plate for use in ICP etcher
US6599367B1 (en) * 1998-03-06 2003-07-29 Tokyo Electron Limited Vacuum processing apparatus
US6656838B2 (en) 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US20030230239A1 (en) * 2002-06-17 2003-12-18 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US20040061057A1 (en) * 2000-10-13 2004-04-01 Johnson Shane R. Apparatus for measuring temperatures of a wafer using specular reflection spectroscopy
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040112539A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6756235B1 (en) * 1999-08-20 2004-06-29 Tokyo Electron Limited Metal oxide film formation method and apparatus
US20040144321A1 (en) * 2003-01-28 2004-07-29 Eastman Kodak Company Method of designing a thermal physical vapor deposition system
US20040149215A1 (en) * 2001-04-06 2004-08-05 Shou-Qian Shao Ultraviolet ray assisted processing device for semiconductor processing
US20040159630A1 (en) * 2003-02-14 2004-08-19 Lu-Kuen Chang Method for forming a liquid crystal display panel
US6800139B1 (en) * 1999-08-31 2004-10-05 Tokyo Electron Limited Film deposition apparatus and method
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
US20050029369A1 (en) * 2003-06-09 2005-02-10 Hideki Nagaoka Partial pressure control system, flow rate control system and shower plate used for partial pressure control system
US20050045102A1 (en) * 2003-08-28 2005-03-03 Zheng Lingyi A. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050077010A1 (en) * 1998-04-15 2005-04-14 Applied Materials, Inc. System and method for gas distribution in a dry etch process
US20050101147A1 (en) * 2003-11-08 2005-05-12 Advanced Micro Devices, Inc. Method for integrating a high-k gate dielectric in a transistor fabrication process
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050109460A1 (en) * 2003-05-30 2005-05-26 Dedontney Jay B. Adjustable gas distribution system
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20050145337A1 (en) * 2002-04-25 2005-07-07 Derderian Garo J. Apparatus for forming thin layers of materials on micro-device workpieces
US20050191044A1 (en) * 2004-02-27 2005-09-01 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US20050221621A1 (en) * 2004-03-31 2005-10-06 Lam Research Corporation Proximity head heating method and apparatus
US20050244580A1 (en) * 2004-04-30 2005-11-03 Eastman Kodak Company Deposition apparatus for temperature sensitive materials
US20060016397A1 (en) * 2001-12-13 2006-01-26 Rohm Co., Ltd. Method of manufacturing semiconductor light emitting device and oxidation furnace
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
US20070272680A1 (en) * 2006-05-23 2007-11-29 Tokyo Electron Limited Temperature control method of heat processing plate, computer storage medium, and temperature control apparatus of heat processing plate
US20070293043A1 (en) * 2006-06-20 2007-12-20 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20080011738A1 (en) * 2006-07-14 2008-01-17 Oki Electric Industry Co., Ltd. Apparatus and method for manufacturing a semiconductor device with a sapphire substrate
US20080017315A1 (en) * 2006-07-24 2008-01-24 Canon Kabushiki Kaisha Plasma processing apparatus
US20080152328A1 (en) * 2006-12-26 2008-06-26 Akira Okabe Heating apparatus and semiconductor manufacturing apparatus
US20080169282A1 (en) * 2007-01-15 2008-07-17 Khurshed Sorabji Temperature measurement and control of wafer support in thermal processing chamber
US20080185104A1 (en) * 2007-02-06 2008-08-07 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080216747A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Coating Installation And Gas Piping
US20080223873A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US7427425B2 (en) 2003-02-11 2008-09-23 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20080299784A1 (en) * 2007-05-28 2008-12-04 Hynix Semiconductor Inc. Apparatus and method for thermally treating semiconductor device capable of preventing wafer from warping
US20090034948A1 (en) * 2007-07-20 2009-02-05 Ushio Denki Kabushiki Kaisha Light emitting type heat treatment apparatus
US20090061646A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US20090217875A1 (en) * 2008-03-03 2009-09-03 Mattson Thermal Products Gmbh Apparatus for the heat treatment of disc shaped substrates
US20090263974A1 (en) * 2001-08-28 2009-10-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US20100055807A1 (en) * 2003-05-22 2010-03-04 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20100126418A1 (en) * 2008-11-26 2010-05-27 Industrial Technology Research Institute Gas shower module
US20100143588A1 (en) * 2008-12-04 2010-06-10 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US20100159707A1 (en) * 2004-04-30 2010-06-24 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20100327085A1 (en) * 1998-12-30 2010-12-30 Lam Research Corporation, Gas injection system for plasma processing
US20110023782A1 (en) * 2009-07-28 2011-02-03 Ligadp Co., Ltd. Gas injection unit for chemical vapor desposition apparatus
GB2437693B (en) * 2005-02-23 2011-02-09 Bridgelux Inc Chemical vapor deposition reactor having multiple inlets
WO2011023493A1 (en) 2009-08-24 2011-03-03 Aixtron Ag Cvd reactor and method for depositing a coating
US20110089166A1 (en) * 2007-01-15 2011-04-21 Aaron Muir Hunter Temperature measurement and control of wafer support in thermal processing chamber
US20110100295A1 (en) * 2002-04-16 2011-05-05 Ming Xi System and method for forming an integrated barrier layer
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20110299282A1 (en) * 2010-06-08 2011-12-08 Applied Materials, Inc. Window assembly for use in substrate processing systems
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
JPWO2010113941A1 (en) * 2009-03-30 2012-10-11 東京エレクトロン株式会社 Method for cooling object to be processed and object processing apparatus
WO2013029500A1 (en) * 2011-08-26 2013-03-07 杭州士兰明芯科技有限公司 Shower of large diameter mocvd reactor
US20130256292A1 (en) * 2012-03-30 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Honey Cone Heaters for Integrated Circuit Manufacturing
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
WO2014100413A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Apparatus and methods for symmetrical gas distribution with high purge efficiency
US8778079B2 (en) 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20140206108A1 (en) * 2013-01-24 2014-07-24 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method for heating substrate by irradiating substrate with flash of light
USD719240S1 (en) 2013-08-23 2014-12-09 Kohler Co. Shower device
US20140376897A1 (en) * 2013-06-21 2014-12-25 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
WO2015100065A1 (en) * 2013-12-27 2015-07-02 3M Innovative Properties Company Uniform chemical vapor deposition coating on a 3-dimensional array of uniformly shaped articles
CN104871299A (en) * 2013-01-16 2015-08-26 应用材料公司 Multizone control of lamps in a conical lamphead using pyrometers
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
USD740917S1 (en) 2013-03-16 2015-10-13 Kohler Co. Shower faceplate for shower device
US20150361581A1 (en) * 2013-03-12 2015-12-17 Applied Materials, Inc. Window assembly for substrate processing system
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
USD754283S1 (en) 2013-03-16 2016-04-19 Kohler Co. Shower faceplate
US20160131539A1 (en) * 2014-11-06 2016-05-12 Applied Materials, Inc. Method for measuring temperature by refraction and change in velocity of waves with magnetic susceptibility
USD759197S1 (en) 2012-03-12 2016-06-14 Kohler Co. Shower faceplate
US9468939B2 (en) 2012-03-12 2016-10-18 Kohler Co. Faceplate for shower device
USD771770S1 (en) 2013-03-15 2016-11-15 Kohler Co. Shower device
USD774162S1 (en) 2013-03-15 2016-12-13 Kohler Co. Shower faceplate
US20170038073A1 (en) * 2015-08-07 2017-02-09 Delavan Inc Image conduit for fuel nozzle assemblies
US9687859B2 (en) 2012-11-16 2017-06-27 Kohler Co. Shower device
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US20170233868A1 (en) * 2016-02-11 2017-08-17 Intermolecular, Inc. Vapor Based Site-Isolated Processing Systems and Methods
US20190103295A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Fabrication System with Adjustable Gas Injector
USRE47440E1 (en) 2011-10-19 2019-06-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
CN110957235A (en) * 2018-09-26 2020-04-03 北京北方华创微电子装备有限公司 Device and method for compensating process gas flow and semiconductor processing equipment
US10718052B2 (en) 2012-05-18 2020-07-21 Veeco Instruments, Inc. Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US20210384033A1 (en) * 2020-06-03 2021-12-09 Asm Ip Holding B.V. Shower plate, substrate treatment device, and substrate treatment method
WO2022140068A1 (en) * 2020-12-22 2022-06-30 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly
US20220275505A1 (en) * 2021-02-26 2022-09-01 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
US11488854B2 (en) * 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
WO2001057289A1 (en) * 2000-02-04 2001-08-09 Aixtron Ag Device and method for depositing one or more layers onto a substrate
DE10007059A1 (en) 2000-02-16 2001-08-23 Aixtron Ag Method and device for producing coated substrates by means of condensation coating
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
KR100474971B1 (en) * 2002-09-14 2005-03-10 주식회사 아이피에스 Flow type thin film deposition apparatus and injector assembly applied in the same
KR100513920B1 (en) * 2003-10-31 2005-09-08 주식회사 시스넥스 Chemical vapor deposition unit
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP5444599B2 (en) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 Gas supply apparatus and film forming apparatus
DE102011056589A1 (en) * 2011-07-12 2013-01-17 Aixtron Se Gas inlet member of a CVD reactor
KR101562663B1 (en) * 2013-12-17 2015-10-23 에이피시스템 주식회사 Apparatus for processing substrate
CN108908063A (en) * 2018-07-20 2018-11-30 清华大学 The control method and control system of polish pressure are adjusted according to consumptive material life cycle
US11004711B2 (en) * 2018-08-17 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Automated wafer monitoring
DE102020123076A1 (en) 2020-09-03 2022-03-03 Aixtron Se Gas inlet element of a CVD reactor with two feed points

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
SU598630A1 (en) * 1974-08-15 1978-02-21 Предприятие П/Я Р-6707 Device for introducing into reaction chamber
JPS594434A (en) * 1982-06-30 1984-01-11 Matsushita Electric Ind Co Ltd Vapor phase reactor
JPS60189928A (en) * 1984-03-12 1985-09-27 Fujitsu Ltd Vapor growth device under reduced pressure
JPS615515A (en) * 1984-06-07 1986-01-11 Fujitsu Ltd Chemical vapor growth apparatus
JPS6353932A (en) * 1986-08-22 1988-03-08 Nec Corp Apparatus for growing thin film semiconductor wafer
US4880163A (en) * 1987-01-27 1989-11-14 Asahi Glass Company, Ltd. Gas feeding nozzle for a chemical vapor deposition apparatus
JPH02200784A (en) * 1989-01-30 1990-08-09 Koujiyundo Kagaku Kenkyusho:Kk Cvd electrode
JPH02234419A (en) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk Plasma electrode
WO1990014158A1 (en) * 1989-05-15 1990-11-29 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
WO1990015172A1 (en) * 1989-06-06 1990-12-13 Rapro Technology, Inc. Method for high temperature thermal processing with reduced convective heat loss
JPH03170675A (en) * 1989-11-28 1991-07-24 Mitsubishi Electric Corp Chemical vapor growth method
EP0454054A2 (en) * 1990-04-23 1991-10-30 Sumitomo Electric Industries, Ltd. Lamp annealing apparatus and lamp annealing method
JPH03281780A (en) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd device
US5094013A (en) * 1989-01-30 1992-03-10 The Charles Stark Draper Laboratory, Inc. Ultra-fast quenching device
EP0502209A1 (en) * 1990-09-21 1992-09-09 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
EP0687749A1 (en) * 1994-06-14 1995-12-20 Thomas Swan And Co., Ltd. Apparatus for chemical vapour deposition
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5516722A (en) * 1994-10-31 1996-05-14 Texas Instruments Inc. Method for increasing doping uniformity in a flow flange reactor
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
SU598630A1 (en) * 1974-08-15 1978-02-21 Предприятие П/Я Р-6707 Device for introducing into reaction chamber
JPS594434A (en) * 1982-06-30 1984-01-11 Matsushita Electric Ind Co Ltd Vapor phase reactor
JPS60189928A (en) * 1984-03-12 1985-09-27 Fujitsu Ltd Vapor growth device under reduced pressure
JPS615515A (en) * 1984-06-07 1986-01-11 Fujitsu Ltd Chemical vapor growth apparatus
JPS6353932A (en) * 1986-08-22 1988-03-08 Nec Corp Apparatus for growing thin film semiconductor wafer
US4880163A (en) * 1987-01-27 1989-11-14 Asahi Glass Company, Ltd. Gas feeding nozzle for a chemical vapor deposition apparatus
JPH02200784A (en) * 1989-01-30 1990-08-09 Koujiyundo Kagaku Kenkyusho:Kk Cvd electrode
US5094013A (en) * 1989-01-30 1992-03-10 The Charles Stark Draper Laboratory, Inc. Ultra-fast quenching device
JPH02234419A (en) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk Plasma electrode
WO1990014158A1 (en) * 1989-05-15 1990-11-29 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
WO1990015172A1 (en) * 1989-06-06 1990-12-13 Rapro Technology, Inc. Method for high temperature thermal processing with reduced convective heat loss
JPH03170675A (en) * 1989-11-28 1991-07-24 Mitsubishi Electric Corp Chemical vapor growth method
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JPH03281780A (en) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd device
EP0454054A2 (en) * 1990-04-23 1991-10-30 Sumitomo Electric Industries, Ltd. Lamp annealing apparatus and lamp annealing method
EP0502209A1 (en) * 1990-09-21 1992-09-09 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5624498A (en) * 1993-12-22 1997-04-29 Samsung Electronics Co., Ltd. Showerhead for a gas supplying apparatus
US5532190A (en) * 1994-05-26 1996-07-02 U.S. Philips Corporation Plasma treatment method in electronic device manufacture
EP0687749A1 (en) * 1994-06-14 1995-12-20 Thomas Swan And Co., Ltd. Apparatus for chemical vapour deposition
US5871586A (en) * 1994-06-14 1999-02-16 T. Swan & Co. Limited Chemical vapor deposition
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5516722A (en) * 1994-10-31 1996-05-14 Texas Instruments Inc. Method for increasing doping uniformity in a flow flange reactor
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Copy of European Search Report dated Nov. 11, 1997. *

Cited By (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599367B1 (en) * 1998-03-06 2003-07-29 Tokyo Electron Limited Vacuum processing apparatus
US7105100B2 (en) 1998-04-15 2006-09-12 Applied Materials, Inc. System and method for gas distribution in a dry etch process
US20050077010A1 (en) * 1998-04-15 2005-04-14 Applied Materials, Inc. System and method for gas distribution in a dry etch process
US6428850B1 (en) * 1998-05-13 2002-08-06 Tokyo Electron Limited Single-substrate-processing CVD method of forming film containing metal element
US6449871B1 (en) * 1998-05-28 2002-09-17 Applied Materials Inc. Semiconductor process chamber having improved gas distributor
US6468926B1 (en) * 1998-06-30 2002-10-22 Fujitsu Limited Manufacture method and system for semiconductor device with thin gate insulating film of oxynitride
US6984267B2 (en) 1998-06-30 2006-01-10 Fujitsu Limited Manufacture system for semiconductor device with thin gate insulating film
US20030022523A1 (en) * 1998-06-30 2003-01-30 Fujitsu Limited Manufacture system for semiconductor device with thin gate insulating film
US6692575B1 (en) 1998-09-03 2004-02-17 Cvc Products Inc. Apparatus for supporting a substrate in a reaction chamber
US6508197B1 (en) * 1998-09-03 2003-01-21 Cvc Products, Inc. Apparatus for dispensing gas for fabricating substrates
US8025731B2 (en) 1998-12-30 2011-09-27 Lam Research Corporation Gas injection system for plasma processing
US20100327085A1 (en) * 1998-12-30 2010-12-30 Lam Research Corporation, Gas injection system for plasma processing
US20020166507A1 (en) * 1999-03-12 2002-11-14 Tokyo Electron Limited Thin film forming apparatus
US6866882B1 (en) 1999-03-12 2005-03-15 Tokyo Electron Limited Method of forming a thin film
US6301435B1 (en) * 1999-05-21 2001-10-09 Kabushiki Kaisha Toshiba Heating method
US6756235B1 (en) * 1999-08-20 2004-06-29 Tokyo Electron Limited Metal oxide film formation method and apparatus
US6582780B1 (en) * 1999-08-30 2003-06-24 Si Diamond Technology, Inc. Substrate support for use in a hot filament chemical vapor deposition chamber
US6800139B1 (en) * 1999-08-31 2004-10-05 Tokyo Electron Limited Film deposition apparatus and method
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
US6403479B1 (en) * 2000-03-17 2002-06-11 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US20040061057A1 (en) * 2000-10-13 2004-04-01 Johnson Shane R. Apparatus for measuring temperatures of a wafer using specular reflection spectroscopy
US7234862B2 (en) * 2000-10-13 2007-06-26 Tokyo Electron Limited Apparatus for measuring temperatures of a wafer using specular reflection spectroscopy
US6656838B2 (en) 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US20080127895A1 (en) * 2001-04-06 2008-06-05 Shou-Qian Shao Ultraviolet-ray-assisted processing apparatus for semiconductor process
US20040149215A1 (en) * 2001-04-06 2004-08-05 Shou-Qian Shao Ultraviolet ray assisted processing device for semiconductor processing
US20090263974A1 (en) * 2001-08-28 2009-10-22 Shusaku Kido Substrate processing system for performing exposure process in gas atmosphere
US10403475B2 (en) * 2001-10-15 2019-09-03 Lam Research Corporation Tunable multi-zone gas injection system
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20150235811A1 (en) * 2001-10-15 2015-08-20 Lam Research Corporation Tunable multi-zone gas injection system
US20100041238A1 (en) * 2001-10-15 2010-02-18 Lam Research Corporation Tunable multi-zone gas injection system
US9051647B2 (en) 2001-10-15 2015-06-09 Lam Research Corporation Tunable multi-zone gas injection system
US20030081730A1 (en) * 2001-10-31 2003-05-01 Thandiackal Lijo Joseph X - ray generating apparatus
US20060016397A1 (en) * 2001-12-13 2006-01-26 Rohm Co., Ltd. Method of manufacturing semiconductor light emitting device and oxidation furnace
US20030136516A1 (en) * 2002-01-22 2003-07-24 Hong-Seub Kim Gas diffussion plate for use in ICP etcher
US7156950B2 (en) 2002-01-22 2007-01-02 Jusung Engineering Co., Ltd Gas diffusion plate for use in ICP etcher
KR100447248B1 (en) * 2002-01-22 2004-09-07 주성엔지니어링(주) Gas diffusion plate for use in ICP etcher
US20110100295A1 (en) * 2002-04-16 2011-05-05 Ming Xi System and method for forming an integrated barrier layer
US20050145337A1 (en) * 2002-04-25 2005-07-07 Derderian Garo J. Apparatus for forming thin layers of materials on micro-device workpieces
US20030230239A1 (en) * 2002-06-17 2003-12-18 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US6913652B2 (en) 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
US20050133161A1 (en) * 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7534363B2 (en) 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US8801892B2 (en) 2002-12-13 2014-08-12 Lam Research Corporation Uniform etch system
US20040112539A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7371332B2 (en) * 2002-12-13 2008-05-13 Lam Research Corporation Uniform etch system
US20040112538A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Gas distribution system with tuning gas
US20080210377A1 (en) * 2002-12-13 2008-09-04 Lam Research Corporation Uniform etch system
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040144321A1 (en) * 2003-01-28 2004-07-29 Eastman Kodak Company Method of designing a thermal physical vapor deposition system
US7427425B2 (en) 2003-02-11 2008-09-23 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040159630A1 (en) * 2003-02-14 2004-08-19 Lu-Kuen Chang Method for forming a liquid crystal display panel
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US8580076B2 (en) 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20100055807A1 (en) * 2003-05-22 2010-03-04 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8268181B2 (en) 2003-05-22 2012-09-18 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US20050217580A1 (en) * 2003-05-30 2005-10-06 Aviza Technology, Inc. Gas distribution system
US20050109460A1 (en) * 2003-05-30 2005-05-26 Dedontney Jay B. Adjustable gas distribution system
US8109288B2 (en) 2003-06-09 2012-02-07 Tokyo Electron Limited Flow rate control system and shower plate used for partial pressure control system
US7481240B2 (en) * 2003-06-09 2009-01-27 Tokyo Electron Limited Partial pressure control system, flow rate control system and shower plate used for partial pressure control system
US20080300728A1 (en) * 2003-06-09 2008-12-04 Tokyo Electron Limited Flow rate control system and shower plate used for partial pressure control system
US20050029369A1 (en) * 2003-06-09 2005-02-10 Hideki Nagaoka Partial pressure control system, flow rate control system and shower plate used for partial pressure control system
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050045102A1 (en) * 2003-08-28 2005-03-03 Zheng Lingyi A. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050101147A1 (en) * 2003-11-08 2005-05-12 Advanced Micro Devices, Inc. Method for integrating a high-k gate dielectric in a transistor fabrication process
US20060234514A1 (en) * 2003-11-19 2006-10-19 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7452827B2 (en) 2003-11-19 2008-11-18 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
US20070104470A1 (en) * 2004-02-27 2007-05-10 Wolfgang Aderhold Backside rapid thermal processing of patterned wafers
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US20050191044A1 (en) * 2004-02-27 2005-09-01 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US7414224B2 (en) 2004-02-27 2008-08-19 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
KR100858757B1 (en) * 2004-02-27 2008-09-16 어플라이드 머티어리얼스, 인코포레이티드 Backside rapid thermal processing of patterned wafers
US9431278B2 (en) 2004-02-27 2016-08-30 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US20090041443A1 (en) * 2004-02-27 2009-02-12 Wolfgang Aderhold Backside Rapid Thermal Processing of Patterned Wafers
WO2005093353A1 (en) * 2004-02-27 2005-10-06 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US8102014B2 (en) * 2004-03-31 2012-01-24 Lam Research Corporation Proximity head heating method and apparatus
US20050221621A1 (en) * 2004-03-31 2005-10-06 Lam Research Corporation Proximity head heating method and apparatus
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US20110008916A1 (en) * 2004-03-31 2011-01-13 Katrina Mikhaylichenko Proximity Head Heating Method and Apparatus
US20050244580A1 (en) * 2004-04-30 2005-11-03 Eastman Kodak Company Deposition apparatus for temperature sensitive materials
US20100159707A1 (en) * 2004-04-30 2010-06-24 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US8673785B2 (en) * 2004-04-30 2014-03-18 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US9023436B2 (en) 2004-05-06 2015-05-05 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20100300359A1 (en) * 2004-08-02 2010-12-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
GB2437693B (en) * 2005-02-23 2011-02-09 Bridgelux Inc Chemical vapor deposition reactor having multiple inlets
US20070028838A1 (en) * 2005-07-29 2007-02-08 Craig Bercaw Gas manifold valve cluster
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
US8242417B2 (en) * 2006-05-23 2012-08-14 Tokyo Electron Limited Temperature control method of heat processing plate, computer storage medium, and temperature control apparatus of heat processing plate
US8698052B2 (en) 2006-05-23 2014-04-15 Tokyo Electron Limited Temperature control method of heat processing plate, computer storage medium, and temperature control apparatus of heat processing plate
US20070272680A1 (en) * 2006-05-23 2007-11-29 Tokyo Electron Limited Temperature control method of heat processing plate, computer storage medium, and temperature control apparatus of heat processing plate
US7932181B2 (en) 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US20070293043A1 (en) * 2006-06-20 2007-12-20 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7910862B2 (en) * 2006-07-14 2011-03-22 Oki Semiconductor Co., Ltd. Apparatus and method for manufacturing a semiconductor device with a sapphire substrate
US20080011738A1 (en) * 2006-07-14 2008-01-17 Oki Electric Industry Co., Ltd. Apparatus and method for manufacturing a semiconductor device with a sapphire substrate
US20080017315A1 (en) * 2006-07-24 2008-01-24 Canon Kabushiki Kaisha Plasma processing apparatus
US20150000594A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US8882913B2 (en) * 2006-09-16 2014-11-11 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20090169744A1 (en) * 2006-09-16 2009-07-02 Piezonics Co., Ltd Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases postively and method thereof
US9469900B2 (en) * 2006-09-16 2016-10-18 PIEZONICS Co., Ltd.; Korea Institute of Industrial Technology Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US9476121B2 (en) * 2006-09-16 2016-10-25 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20150004313A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20080152328A1 (en) * 2006-12-26 2008-06-26 Akira Okabe Heating apparatus and semiconductor manufacturing apparatus
US20110089166A1 (en) * 2007-01-15 2011-04-21 Aaron Muir Hunter Temperature measurement and control of wafer support in thermal processing chamber
US8222574B2 (en) * 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080169282A1 (en) * 2007-01-15 2008-07-17 Khurshed Sorabji Temperature measurement and control of wafer support in thermal processing chamber
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080185104A1 (en) * 2007-02-06 2008-08-07 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080216747A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Coating Installation And Gas Piping
US7988813B2 (en) * 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US20080223873A1 (en) * 2007-03-12 2008-09-18 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US7955074B2 (en) * 2007-05-28 2011-06-07 Hynix Semiconductor Inc. Apparatus and method for thermally treating semiconductor device capable of preventing wafer from warping
US20080299784A1 (en) * 2007-05-28 2008-12-04 Hynix Semiconductor Inc. Apparatus and method for thermally treating semiconductor device capable of preventing wafer from warping
US8980045B2 (en) 2007-05-30 2015-03-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090034948A1 (en) * 2007-07-20 2009-02-05 Ushio Denki Kabushiki Kaisha Light emitting type heat treatment apparatus
US8315510B2 (en) * 2007-07-20 2012-11-20 Ushio Denki Kabushiki Kaisha Light emitting type heat treatment apparatus
US20090061646A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US20090061083A1 (en) * 2007-09-05 2009-03-05 Chiang Tony P Vapor based combinatorial processing
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8778079B2 (en) 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US9111970B2 (en) * 2008-03-03 2015-08-18 Waltraud Dietl Apparatus for the heat treatment of disc shaped substrates
US20090217875A1 (en) * 2008-03-03 2009-09-03 Mattson Thermal Products Gmbh Apparatus for the heat treatment of disc shaped substrates
TWI447844B (en) * 2008-03-25 2014-08-01 Applied Materials Inc Temperature measurement and control of wafer support in thermal processing chamber
DE102009000903B4 (en) * 2008-11-26 2015-05-28 Industrial Technology Research Institute Gas shower module
US20100126418A1 (en) * 2008-11-26 2010-05-27 Industrial Technology Research Institute Gas shower module
US20120325151A1 (en) * 2008-12-04 2012-12-27 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US20100143588A1 (en) * 2008-12-04 2010-06-10 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US8636847B2 (en) * 2008-12-04 2014-01-28 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US10017876B2 (en) 2008-12-04 2018-07-10 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US8303713B2 (en) 2008-12-04 2012-11-06 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
JPWO2010113941A1 (en) * 2009-03-30 2012-10-11 東京エレクトロン株式会社 Method for cooling object to be processed and object processing apparatus
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US20110023782A1 (en) * 2009-07-28 2011-02-03 Ligadp Co., Ltd. Gas injection unit for chemical vapor desposition apparatus
US8808454B2 (en) * 2009-07-28 2014-08-19 Ligadp Co., Ltd. Gas injection unit for chemical vapor desposition apparatus
WO2011023493A1 (en) 2009-08-24 2011-03-03 Aixtron Ag Cvd reactor and method for depositing a coating
DE102009043840A1 (en) 2009-08-24 2011-03-03 Aixtron Ag CVD reactor with strip-like gas inlet zones and method for depositing a layer on a substrate in such a CVD reactor
US20110299282A1 (en) * 2010-06-08 2011-12-08 Applied Materials, Inc. Window assembly for use in substrate processing systems
US8986454B2 (en) * 2010-06-08 2015-03-24 Applied Materials, Inc. Window assembly for use in substrate processing systems
CN102934200B (en) * 2010-06-08 2015-11-25 应用材料公司 The window assembly used in base plate processing system
CN102934200A (en) * 2010-06-08 2013-02-13 应用材料公司 Window assembly for use in substrate processing systems
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
US8911553B2 (en) 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
WO2012054206A3 (en) * 2010-10-19 2012-06-21 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
WO2013029500A1 (en) * 2011-08-26 2013-03-07 杭州士兰明芯科技有限公司 Shower of large diameter mocvd reactor
USRE48994E1 (en) 2011-10-19 2022-03-29 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USRE47440E1 (en) 2011-10-19 2019-06-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USD759197S1 (en) 2012-03-12 2016-06-14 Kohler Co. Shower faceplate
USD779038S1 (en) 2012-03-12 2017-02-14 Kohler Co. Shower faceplate and shower device
US9468939B2 (en) 2012-03-12 2016-10-18 Kohler Co. Faceplate for shower device
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US20130256292A1 (en) * 2012-03-30 2013-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Honey Cone Heaters for Integrated Circuit Manufacturing
US10718052B2 (en) 2012-05-18 2020-07-21 Veeco Instruments, Inc. Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
US10589297B2 (en) 2012-11-16 2020-03-17 Kohler Co. Shower device
US11358159B2 (en) 2012-11-16 2022-06-14 Kohler Co. Shower device
US9687859B2 (en) 2012-11-16 2017-06-27 Kohler Co. Shower device
WO2014100413A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Apparatus and methods for symmetrical gas distribution with high purge efficiency
CN104871299B (en) * 2013-01-16 2018-11-13 应用材料公司 The multi-area control that the lamp in taper lamp cap is carried out using pyrometer
CN104871299A (en) * 2013-01-16 2015-08-26 应用材料公司 Multizone control of lamps in a conical lamphead using pyrometers
US9875919B2 (en) * 2013-01-24 2018-01-23 SCREEN Holdings, Co. Ltd. Heat treatment method for heating substrate by irradiating substrate with flash of light
US20140206108A1 (en) * 2013-01-24 2014-07-24 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and heat treatment method for heating substrate by irradiating substrate with flash of light
US20170133247A1 (en) * 2013-01-24 2017-05-11 SCREEN Holdings Co., Ltd. Heat treatment apparatus and heat treatment method for heating substrate by irradiating substrate with flash of light
US9607870B2 (en) * 2013-01-24 2017-03-28 SCREEN Holdings Co., Ltd. Heat treatment apparatus and heat treatment method for heating substrate by irradiating substrate with flash of light
US20150361581A1 (en) * 2013-03-12 2015-12-17 Applied Materials, Inc. Window assembly for substrate processing system
USD779042S1 (en) 2013-03-15 2017-02-14 Kohler Co. Shower faceplate and shower device
USD779043S1 (en) 2013-03-15 2017-02-14 Kohler Co. Shower faceplate and shower device
USD779039S1 (en) 2013-03-15 2017-02-14 Kohler Co. Shower faceplate and shower device
USD774162S1 (en) 2013-03-15 2016-12-13 Kohler Co. Shower faceplate
USD771770S1 (en) 2013-03-15 2016-11-15 Kohler Co. Shower device
USD776789S1 (en) 2013-03-16 2017-01-17 Kohler Co. Shower faceplate
USD776788S1 (en) 2013-03-16 2017-01-17 Kohler Co. Shower faceplate
USD776790S1 (en) 2013-03-16 2017-01-17 Kohler Co. Shower faceplate
USD754283S1 (en) 2013-03-16 2016-04-19 Kohler Co. Shower faceplate
USD752712S1 (en) 2013-03-16 2016-03-29 Kohler Co. Shower faceplate for shower device
USD740917S1 (en) 2013-03-16 2015-10-13 Kohler Co. Shower faceplate for shower device
US20140376897A1 (en) * 2013-06-21 2014-12-25 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US11495479B2 (en) * 2013-06-21 2022-11-08 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
CN105264649B (en) * 2013-06-21 2019-03-01 应用材料公司 The light pipe window construction applied and handled for hot chamber
CN105264649A (en) * 2013-06-21 2016-01-20 应用材料公司 Light pipe window structure for thermal chamber applications and processes
US20190385872A1 (en) * 2013-06-21 2019-12-19 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
USD760347S1 (en) 2013-08-23 2016-06-28 Kohler Co. Shower device
USD719240S1 (en) 2013-08-23 2014-12-09 Kohler Co. Shower device
WO2015100065A1 (en) * 2013-12-27 2015-07-02 3M Innovative Properties Company Uniform chemical vapor deposition coating on a 3-dimensional array of uniformly shaped articles
US10739503B2 (en) 2013-12-27 2020-08-11 3M Innovative Properties Company Uniform chemical vapor deposition coating on a 3-dimensional array of uniformly shaped articles
US20160131539A1 (en) * 2014-11-06 2016-05-12 Applied Materials, Inc. Method for measuring temperature by refraction and change in velocity of waves with magnetic susceptibility
US10041842B2 (en) * 2014-11-06 2018-08-07 Applied Materials, Inc. Method for measuring temperature by refraction and change in velocity of waves with magnetic susceptibility
US20170038073A1 (en) * 2015-08-07 2017-02-09 Delavan Inc Image conduit for fuel nozzle assemblies
US9976745B2 (en) * 2015-08-07 2018-05-22 Delavan Inc. Image conduit for fuel nozzle assemblies
US10208957B2 (en) 2015-08-07 2019-02-19 Delavan Inc. Image conduit for fuel nozzle assemblies
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US20170233868A1 (en) * 2016-02-11 2017-08-17 Intermolecular, Inc. Vapor Based Site-Isolated Processing Systems and Methods
US10364497B2 (en) * 2016-02-11 2019-07-30 Intermolecular, Inc. Vapor based site-isolated processing systems and methods
US20190103295A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Fabrication System with Adjustable Gas Injector
US11043388B2 (en) 2017-09-29 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector and method utilizing the same
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
CN110957235A (en) * 2018-09-26 2020-04-03 北京北方华创微电子装备有限公司 Device and method for compensating process gas flow and semiconductor processing equipment
CN110957235B (en) * 2018-09-26 2023-03-21 北京北方华创微电子装备有限公司 Device and method for compensating process gas flow and semiconductor processing equipment
US11488854B2 (en) * 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US20210384033A1 (en) * 2020-06-03 2021-12-09 Asm Ip Holding B.V. Shower plate, substrate treatment device, and substrate treatment method
WO2022140068A1 (en) * 2020-12-22 2022-06-30 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly
US20220275505A1 (en) * 2021-02-26 2022-09-01 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process

Also Published As

Publication number Publication date
DE69706248D1 (en) 2001-09-27
EP0821084B1 (en) 2001-08-22
DE69706248T2 (en) 2002-03-21
EP0821084A1 (en) 1998-01-28
JPH10121253A (en) 1998-05-12

Similar Documents

Publication Publication Date Title
US6090210A (en) Multi-zone gas flow control in a process chamber
US5781693A (en) Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US10170342B2 (en) Flow controlled liner having spatially distributed gas passages
US5332442A (en) Surface processing apparatus
US20200241580A1 (en) Multi-channel flow ratio controller and processing chamber
US5493987A (en) Chemical vapor deposition reactor and method
US6617247B2 (en) Method of processing a semiconductor wafer in a reaction chamber with a rotating component
EP0641016B1 (en) A modified radiant heat source with isolated optical zones
US6301434B1 (en) Apparatus and method for CVD and thermal processing of semiconductor substrates
KR100430947B1 (en) Heating apparatus, and processing apparatus
US7655093B2 (en) Wafer support system
CN103890911B (en) Gas dispersion apparatus
JP4219441B2 (en) Method and apparatus for depositing film
TWI638070B (en) Quartz upper and lower domes
TWI694493B (en) A coated liner assembly for a semiconductor processing chamber
US9842753B2 (en) Absorbing lamphead face
US6007633A (en) Single-substrate-processing apparatus in semiconductor processing system
KR101699690B1 (en) Quartz window having gas feed and processing equipment incorporating same
JPH05190464A (en) Vapor growth device
JP3429101B2 (en) Barrel type vapor phase growth equipment
US20220364261A1 (en) Chamber architecture for epitaxial deposition and advanced epitaxial film applications
WO2022240560A1 (en) Chamber architecture for epitaxial deposition and advanced epitaxial film applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BALLANCE, DAVID S.;BIERMAN, BENJAMIN;TIETZ, JAMES V.;REEL/FRAME:008134/0706

Effective date: 19960718

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20080718