US6159845A - Method for manufacturing dielectric layer - Google Patents

Method for manufacturing dielectric layer Download PDF

Info

Publication number
US6159845A
US6159845A US09/395,906 US39590699A US6159845A US 6159845 A US6159845 A US 6159845A US 39590699 A US39590699 A US 39590699A US 6159845 A US6159845 A US 6159845A
Authority
US
United States
Prior art keywords
layer
dielectric layer
dielectric
substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/395,906
Inventor
Tri-Rung Yew
Water Lur
Hsien-Ta Chung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
United Silicon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp, United Silicon Inc filed Critical United Microelectronics Corp
Priority to US09/395,906 priority Critical patent/US6159845A/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LUR, WATER, CHUNG, HSIEN-TA, YEW, TRI-RUNG
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UNITED SILICON INCORPORATED
Application granted granted Critical
Publication of US6159845A publication Critical patent/US6159845A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Definitions

  • the present invention relates to a method for manufacturing a dielectric layer. More particularly, the present invention relates to a method for manufacturing a dielectric layer with a relatively low dielectric constant in a dual-damascene interconnect structure.
  • the distance between the adjacent wires is decreased. If the dielectric constant of the IMD layer used as an electrically isolated material between the wires cannot be effectively decreased, unnecessary capacitive and inductive coupling between the adjacent conductive wires occurs. Hence, the RC time delay is increased caused by the capacitive and inductive coupling between the adjacent conductive wires. Moreover, the rate of data transmission between the devices is decreased due to the increasing of the RC time delay. Hence, the ability of the devices is limited.
  • the inter-metal dielectric layer and the intra-metal dielectric layer are made of a dielectric material with a relatively low dielectric constant, such as fluorosilicate glass (FSG), to decrease the RC time delay between the metal layers and between the wires.
  • FSG fluorosilicate glass
  • the other dielectric material with a low dielectric constant including organic and inorganic dielectric material are still not mature for production. Therefore, organic dielectric material and inorganic dielectric material are seldom used in the integrated circuit.
  • the invention provides a method of manufacturing a dielectric layer in a dual-damascene interconnect.
  • a substrate is provided.
  • a dual-damascene interconnect structure is formed on the substrate.
  • the dual-damascene interconnect structure has a first dielectric layer formed over the substrate, a second dielectric layer formed on the first dielectric layer, a first wire penetrating through the second dielectric layer and a second wire.
  • the second wire penetrates through the second dielectric layer and is electrically coupled to the substrate.
  • the second dielectric layer is removed.
  • a barrier cap layer is formed conformally over the substrate.
  • a third dielectric layer is formed on the barrier cap layer and an air gap is formed in a space enclosed by the third dielectric layer, the first and the second wires.
  • a fourth dielectric layer is formed on the third dielectric layer.
  • a planarizing process is performed to planarize the fourth dielectric layer.
  • the third dielectric layer possess a relatively poor step coverage and the third dielectric layer is formed from inorganic dielectric material by plasma-enhanced chemical vapor deposition. Since the dielectric constant of the air gap is about 1.0, the capacitive and inductive coupling and the RC time delay between the first and the second wires can be effectively decreased. Moreover, the interference noise between the first and the second wires can be greatly decreased. Furthermore, the dielectric constant between the multilevel metal interconnects is decreased, so that the RC time delay and the interference noise between the multilevel metal interconnects are decreased. Additionally, the rate of data transmission and the ability of the devices are improved.
  • FIGS. 1A through 1E are schematic, cross-sectional views of the process for manufacturing a dielectric layer in a dual-damascene interconnect in a preferred embodiment according to the invention.
  • FIGS. 1A through 1E are schematic, cross-sectional views of the process for manufacturing a dielectric layer in a dual-damascene interconnect in a preferred embodiment according to the invention.
  • a substrate 100 having a wire 102 and semiconductor devices (not shown) formed therein is provided.
  • a dielectric layer 104 is formed on the substrate 100.
  • the dielectric layer 104 can be formed from organic dielectric material by spin coating or from silicon-oxy-fluoride, porous silicon oxide or inorganic dielectric material by chemical vapor deposition (CVD), for example.
  • An etching stop layer 106 is formed on the dielectric layer 104.
  • the etching rate of the etching stop layer 106 is different from that of the dielectric layer 104.
  • the etching stop layer 106 can be formed from silicon-oxy-nitride, silicon nitride, silicon carbon or silicon oxide by CVD, for example.
  • the etching stop layer 106 is patterned to form an opening (not shown) exposing a portion of the dielectric layer 104 above the wire 102.
  • a dielectric layer 108 with a relatively low dielectric constant is formed on the etching stop layer 106 and the exposed dielectric layer 104.
  • the etching rate of the dielectric layer 108 is different from that of the etching stop layer 106.
  • the dielectric layer 108 can be made of organic dielectric material, for example.
  • the organic dielectric material comprises FLARE (fluorinated poly(arylene etherd)) produced by Allied Signals, BCB (benzocyclobutane), amorphous carbon or SILK produced by Dow Chemical and the method of forming the organic dielectric material layer can be spin coating, for example.
  • a hard mask layer 110 is formed on the dielectric layer 108.
  • the hard mask layer 110 can be formed from silicon-oxy nitride, silicon oxide or silicon nitride by CVD, for example.
  • a patterned photoresist 120 is formed on the hard mask layer 110 to expose a portion of the hard mask layer 110.
  • Trenches 122 and 124 are formed in the hard mask layer 110 and the dielectric layer 108.
  • a via hole 126 is formed in the etching stop layer 106 and the dielectric layer 104 to expose a portion of the wire 102. The via hole 126 communicates with the bottom of the trench 124.
  • the method of forming the trenches 122 and 124 comprises the step of removing a portion of the hard mask layer 110 and dielectric layer 108 until a portion of the etching stop layer 106 is exposed. Furthermore, the method of forming the via hole 126 comprises the step of removing a portion of the dielectric layer 104 exposed by the opening formed in the etching stop layer 106 until a portion of the wire 102 is exposed.
  • a barrier layer 128 is conformally formed over the substrate 100.
  • the barrier layer 128 can be formed of tantalum nitride, tantalum, tungsten nitride or titanium nitride, for example.
  • the barrier layer 128 is used to protect the dielectric layers 108 and 104 from the diffusion of the conductive material formed subsequently in the trenches 122 and 124 and the via hole 126. Therefore, the electric problem of the devices due to the diffusion of the conductive material can be avoided. Moreover, the adhesion between the conductive material formed subsequently and the dielectric layers 104 and 106 can be increased.
  • a conductive layer 130 is formed on the barrier layer 128 and fills the trenches 122 and 124 and the via hole 126.
  • the conductive layer 130 can be made of copper or copper alloy, for example.
  • a portion of the conductive layer 130, barrier layer 128 and hard mask layer 110 are removed to form wires 122a and 124a and via plug 126a, respectively, in the trenches 122 and 124 and via hole 126 until the surface of the dielectric layer 108 is exposed.
  • the remaining barrier layer 128 is denoted as a barrier layer 128a.
  • the wire 124a is electrically coupled to the wire 102 through the via plug 126a.
  • the method of removing the portion of the conductive layer 130, barrier layer 128 and hard mask layer 110 can be chemical-mechanical polishing (CMP), for example.
  • the hard mask layer 110 protects the dielectric layer 108 from the diffusion of the metal material particles, such as copper particles, produced while the portion of the conductive layer 130 is removed, problems of leakage and shorts caused by the diffusion of the metal material particles can be avoided.
  • the dielectric layer 108 (FIG. 1C) is removed until the barrier layer 128a is completely exposed.
  • the dielectric layer 108 can be removed by solvent or dry etching, for example.
  • a barrier cap layer 132 is conformally formed on the etching stop layer 106, wires 122a and 124a and barrier layer 128a.
  • the barrier cap layer 132 can be made of silicon nitride, silicon carbide or silicon-oxy-nitride, for example.
  • a dielectric layer 134 with a relatively low dielectric constant is formed on the barrier cap layer 132 and an air gap is formed in a space between the dielectric layer 134 and the wires 122a and 124a.
  • the dielectric layer 134 is a dielectric layer with a relatively poor step coverage.
  • the dielectric layer 134 can be formed from inorganic dielectric material by plasma-enhanced chemical vapor deposition (PECVD), for example.
  • PECVD plasma-enhanced chemical vapor deposition
  • the inorganic dielectric material can be fluorosilicate glass, black diamond produced by Applied Materials or Coral produced by Novellus.
  • overhang structures 134a are formed on the upper comer of the wires 122a and 124a. Because of the formation of the overhang structures 134a, it is difficult to fill the space between the wires 122a and 124a with the material of the dielectric layer 134 and the overhang structures 134a grow with the formation of the dielectric layer 134 until the overhang structures 134a are in contact with each other. In this manner, the air gap 136 is formed in the space between the wires 122a and 124a and under the dielectric layer 134.
  • the air gap 136 possesses a dielectric constant that is about 1.0 lower than that of the silicon oxide, the dielectric constant between the wires 122a and 124a can be greatly decreased. Incidentally, the RC time delay between the multilevel metal interconnects can be decreased, as well.
  • a planar dielectric layer 140 is formed on the dielectric layer 134.
  • the dielectric layer 140 can be made of inorganic dielectric material, for example.
  • the inorganic dielectric material can be fluorosilicate glass or black diamond.
  • the method for planarizing the dielectric layer 140 can be CMP, for example. Another series of processes for manufacturing the dual-damascene structure (not shown) is performed to finish the multilevel metal interconnects process.
  • the procedure used to form a dual-damascene interconnect structure is one kind of dual-damascene technique. People skilled in the art may accomplish the invention by incorporating the invention with other proper dual-damascene technique.
  • the dielectric material between the wires is removed after the dual-damascene interconnect structure is formed. Then, a dielectric layer with a relatively poor step coverage is formed to cover the space between the wires and to form an air gap in the space. Since the dielectric constant of the air gap is about 1.0, the capacitive and inductive coupling and the RC time delay between the wires can be effectively decreased. Moreover, the interference noise between the wires can be greatly decreased. Furthermore, the dielectric constant between the multilevel metal interconnects is decreased, so that the RC time delay and the interference noise between the multilevel metal interconnects are decreased. Additionally, the rate of data transmission and the ability of the devices are improved.

Abstract

A dielectric layer in a dual-damascene interconnect is described. A dual-damascene interconnect structure is formed on a substrate. The dual-damascene interconnect structure has a first dielectric layer formed over the substrate, a second dielectric layer formed on the first dielectric layer, a first wire penetrating through the second dielectric layer and a second wire. The second wire penetrates through the second dielectric layer and is electrically coupled to the substrate. The second dielectric layer is removed. A barrier cap layer is formed conformally over the substrate. A third dielectric layer is formed on the barrier cap layer and an air gap is formed in a space enclosed by the third dielectric layer, the first and the second wires. A fourth dielectric layer is formed on the third dielectric layer. A planarizing process is performed to planarize the fourth dielectric layer.

Description

BACKGROUND OF THE INVENTION
1. Field of Invention
The present invention relates to a method for manufacturing a dielectric layer. More particularly, the present invention relates to a method for manufacturing a dielectric layer with a relatively low dielectric constant in a dual-damascene interconnect structure.
2. Description of Related Art
In the process for manufacturing an ultra large-scale integrated circuit, over one hundred thousand of transistors are located a silicon substrate with an area of about 1-2 square centimeters. Additionally, in order to increase the integration of the integrated circuits (ICs), the density of wires used to electrically couple the transistors to each other or the other devices to each other is increased. Therefore, in accord with the increased interconnect manufacturing requirements of miniaturized MOS transistors, it is increasingly necessary for IC manufacturing to adopt a design with more than two metal layers. Taking a logic circuit as an example, there are six metal layers in the current integrated circuit.
However, due to the increasingly high integration of ICs, the distance between the adjacent wires is decreased. If the dielectric constant of the IMD layer used as an electrically isolated material between the wires cannot be effectively decreased, unnecessary capacitive and inductive coupling between the adjacent conductive wires occurs. Hence, the RC time delay is increased caused by the capacitive and inductive coupling between the adjacent conductive wires. Moreover, the rate of data transmission between the devices is decreased due to the increasing of the RC time delay. Hence, the ability of the devices is limited.
Typically, in the dual damascene process, the inter-metal dielectric layer and the intra-metal dielectric layer are made of a dielectric material with a relatively low dielectric constant, such as fluorosilicate glass (FSG), to decrease the RC time delay between the metal layers and between the wires. However, the dielectric constant of fluorosilicate glass is about 3.5, only 15% lower than that of oxide (k=4.1), so that the reduction of RC time delay is limited. Furthermore, in the requirement for the dielectric layer with a dielectric constant lower than 3, the other dielectric material with a low dielectric constant including organic and inorganic dielectric material are still not mature for production. Therefore, organic dielectric material and inorganic dielectric material are seldom used in the integrated circuit.
SUMMARY OF THE INVENTION
The invention provides a method of manufacturing a dielectric layer in a dual-damascene interconnect. A substrate is provided. A dual-damascene interconnect structure is formed on the substrate. The dual-damascene interconnect structure has a first dielectric layer formed over the substrate, a second dielectric layer formed on the first dielectric layer, a first wire penetrating through the second dielectric layer and a second wire. The second wire penetrates through the second dielectric layer and is electrically coupled to the substrate. The second dielectric layer is removed. A barrier cap layer is formed conformally over the substrate. A third dielectric layer is formed on the barrier cap layer and an air gap is formed in a space enclosed by the third dielectric layer, the first and the second wires. A fourth dielectric layer is formed on the third dielectric layer. A planarizing process is performed to planarize the fourth dielectric layer.
As embodied and broadly described herein, the third dielectric layer possess a relatively poor step coverage and the third dielectric layer is formed from inorganic dielectric material by plasma-enhanced chemical vapor deposition. Since the dielectric constant of the air gap is about 1.0, the capacitive and inductive coupling and the RC time delay between the first and the second wires can be effectively decreased. Moreover, the interference noise between the first and the second wires can be greatly decreased. Furthermore, the dielectric constant between the multilevel metal interconnects is decreased, so that the RC time delay and the interference noise between the multilevel metal interconnects are decreased. Additionally, the rate of data transmission and the ability of the devices are improved.
It is to be understood that both the foregoing general description and the following detailed description are exemplary, and are intended to provide further explanation of the invention as claimed.
BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. In the drawings,
FIGS. 1A through 1E are schematic, cross-sectional views of the process for manufacturing a dielectric layer in a dual-damascene interconnect in a preferred embodiment according to the invention.
DESCRIPTION OF THE PREFERRED EMBODIMENTS
FIGS. 1A through 1E are schematic, cross-sectional views of the process for manufacturing a dielectric layer in a dual-damascene interconnect in a preferred embodiment according to the invention.
As shown in FIG. 1A, a substrate 100 having a wire 102 and semiconductor devices (not shown) formed therein is provided. A dielectric layer 104 is formed on the substrate 100. The dielectric layer 104 can be formed from organic dielectric material by spin coating or from silicon-oxy-fluoride, porous silicon oxide or inorganic dielectric material by chemical vapor deposition (CVD), for example.
An etching stop layer 106 is formed on the dielectric layer 104. The etching rate of the etching stop layer 106 is different from that of the dielectric layer 104. The etching stop layer 106 can be formed from silicon-oxy-nitride, silicon nitride, silicon carbon or silicon oxide by CVD, for example. The etching stop layer 106 is patterned to form an opening (not shown) exposing a portion of the dielectric layer 104 above the wire 102.
A dielectric layer 108 with a relatively low dielectric constant is formed on the etching stop layer 106 and the exposed dielectric layer 104. The etching rate of the dielectric layer 108 is different from that of the etching stop layer 106. The dielectric layer 108 can be made of organic dielectric material, for example. Preferably, the organic dielectric material comprises FLARE (fluorinated poly(arylene etherd)) produced by Allied Signals, BCB (benzocyclobutane), amorphous carbon or SILK produced by Dow Chemical and the method of forming the organic dielectric material layer can be spin coating, for example.
A hard mask layer 110 is formed on the dielectric layer 108. The hard mask layer 110 can be formed from silicon-oxy nitride, silicon oxide or silicon nitride by CVD, for example. A patterned photoresist 120 is formed on the hard mask layer 110 to expose a portion of the hard mask layer 110. Trenches 122 and 124 are formed in the hard mask layer 110 and the dielectric layer 108. Simultaneously, a via hole 126 is formed in the etching stop layer 106 and the dielectric layer 104 to expose a portion of the wire 102. The via hole 126 communicates with the bottom of the trench 124. The method of forming the trenches 122 and 124 comprises the step of removing a portion of the hard mask layer 110 and dielectric layer 108 until a portion of the etching stop layer 106 is exposed. Furthermore, the method of forming the via hole 126 comprises the step of removing a portion of the dielectric layer 104 exposed by the opening formed in the etching stop layer 106 until a portion of the wire 102 is exposed.
As shown in FIG. 1B, the patterned photoresist 120 (FIG. 1A) is removed. A barrier layer 128 is conformally formed over the substrate 100. The barrier layer 128 can be formed of tantalum nitride, tantalum, tungsten nitride or titanium nitride, for example. The barrier layer 128 is used to protect the dielectric layers 108 and 104 from the diffusion of the conductive material formed subsequently in the trenches 122 and 124 and the via hole 126. Therefore, the electric problem of the devices due to the diffusion of the conductive material can be avoided. Moreover, the adhesion between the conductive material formed subsequently and the dielectric layers 104 and 106 can be increased. A conductive layer 130 is formed on the barrier layer 128 and fills the trenches 122 and 124 and the via hole 126. The conductive layer 130 can be made of copper or copper alloy, for example.
As shown in FIG. 1C, a portion of the conductive layer 130, barrier layer 128 and hard mask layer 110 are removed to form wires 122a and 124a and via plug 126a, respectively, in the trenches 122 and 124 and via hole 126 until the surface of the dielectric layer 108 is exposed. After that, the process for manufacturing the dual-damascene interconnect structure is finished. The remaining barrier layer 128 is denoted as a barrier layer 128a. The wire 124a is electrically coupled to the wire 102 through the via plug 126a. The method of removing the portion of the conductive layer 130, barrier layer 128 and hard mask layer 110 can be chemical-mechanical polishing (CMP), for example.
Since the hard mask layer 110 protects the dielectric layer 108 from the diffusion of the metal material particles, such as copper particles, produced while the portion of the conductive layer 130 is removed, problems of leakage and shorts caused by the diffusion of the metal material particles can be avoided.
As shown in FIG. 1D, the dielectric layer 108 (FIG. 1C) is removed until the barrier layer 128a is completely exposed. The dielectric layer 108 can be removed by solvent or dry etching, for example. A barrier cap layer 132 is conformally formed on the etching stop layer 106, wires 122a and 124a and barrier layer 128a. The barrier cap layer 132 can be made of silicon nitride, silicon carbide or silicon-oxy-nitride, for example.
As shown in FIG. 1E, a dielectric layer 134 with a relatively low dielectric constant is formed on the barrier cap layer 132 and an air gap is formed in a space between the dielectric layer 134 and the wires 122a and 124a. The dielectric layer 134 is a dielectric layer with a relatively poor step coverage. The dielectric layer 134 can be formed from inorganic dielectric material by plasma-enhanced chemical vapor deposition (PECVD), for example. Preferably, the inorganic dielectric material can be fluorosilicate glass, black diamond produced by Applied Materials or Coral produced by Novellus.
When the dielectric layer 134 with a relatively poor step coverage is formed on the barrier cap layer and fills the space between the wires 122a and 124a, overhang structures 134a are formed on the upper comer of the wires 122a and 124a. Because of the formation of the overhang structures 134a, it is difficult to fill the space between the wires 122a and 124a with the material of the dielectric layer 134 and the overhang structures 134a grow with the formation of the dielectric layer 134 until the overhang structures 134a are in contact with each other. In this manner, the air gap 136 is formed in the space between the wires 122a and 124a and under the dielectric layer 134.
Since the air gap 136 possesses a dielectric constant that is about 1.0 lower than that of the silicon oxide, the dielectric constant between the wires 122a and 124a can be greatly decreased. Incidentally, the RC time delay between the multilevel metal interconnects can be decreased, as well.
A planar dielectric layer 140 is formed on the dielectric layer 134. The dielectric layer 140 can be made of inorganic dielectric material, for example. Preferably, the inorganic dielectric material can be fluorosilicate glass or black diamond. The method for planarizing the dielectric layer 140 can be CMP, for example. Another series of processes for manufacturing the dual-damascene structure (not shown) is performed to finish the multilevel metal interconnects process.
In the preferable embodiment according to the invention, the procedure used to form a dual-damascene interconnect structure is one kind of dual-damascene technique. People skilled in the art may accomplish the invention by incorporating the invention with other proper dual-damascene technique.
In the invention, the dielectric material between the wires is removed after the dual-damascene interconnect structure is formed. Then, a dielectric layer with a relatively poor step coverage is formed to cover the space between the wires and to form an air gap in the space. Since the dielectric constant of the air gap is about 1.0, the capacitive and inductive coupling and the RC time delay between the wires can be effectively decreased. Moreover, the interference noise between the wires can be greatly decreased. Furthermore, the dielectric constant between the multilevel metal interconnects is decreased, so that the RC time delay and the interference noise between the multilevel metal interconnects are decreased. Additionally, the rate of data transmission and the ability of the devices are improved.
It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.

Claims (14)

What is claimed is:
1. A method of manufacturing a dielectric layer in a dual-damascene interconnect, comprising the steps of:
providing a substrate;
forming a dual-damascene interconnect structure on the substrate, wherein the dual-damascene interconnect structure has a first dielectric layer formed over the substrate, a second dielectric layer formed on the first dielectric layer, a first wire penetrating through the second dielectric layer and a second wire, the second wire penetrates through the second dielectric layer and is electrically coupled to the substrate;
removing the second dielectric layer;
forming a barrier cap layer conformally over the substrate;
forming a third dielectric layer on the barrier cap layer, wherein a air gap is formed in a space enclosed by the second dielectric layer, the first and the second wires;
forming a fourth dielectric layer on the second dielectric layer; and
performing a planarizing process to planarize the third dielectric layer.
2. The method of claim 1, wherein the third dielectric layer possess a relatively poor step coverage.
3. The method of claim 1, wherein the third dielectric layer is formed from inorganic dielectric material by plasma-enhanced chemical vapor deposition.
4. The method of claim 1, wherein the first dielectric layer is formed from organic dielectric material by spin coating.
5. The method of claim 1, wherein the first and the second wires are made of copper.
6. The method of claim 1, wherein the first and the second wires are made of copper alloy.
7. A method of manufacturing a dielectric layer in a dual-damascene interconnect, comprising the steps of:
providing a substrate;
forming a first dielectric layer and an organic dielectric material layer over the substrate in sequence, wherein the first dielectric layer has a via hole formed therein, the organic dielectric material has a first and a second trenches formed therein and the via hole communicates with the first trench;
forming a barrier layer conformally over the substrate;
forming a conductive layer on the barrier layer to fill the first and the second trenches and the via hole;
removing a portion of the conductive layer and the barrier layer until a surface of the organic dielectric material layer is exposed to form a first and a second wire and a via plug, respectively, in the first and the second trenches and the via hole;
removing the organic dielectric material layer;
forming a barrier cap layer conformally over the substrate;
forming an inorganic dielectric material layer on the barrier cap layer, wherein a air gap is formed in a space enclosed by the inorganic dielectric material layer, the first and the second wires;
forming a second dielectric layer on the inorganic dielectric material layer; and
performing a planarizing process to planarize the second dielectric layer.
8. The method of claim 7, wherein the inorganic dielectric material layer is formed by plasma-enhanced chemical vapor deposition.
9. The method of claim 7, wherein the organic dielectric material is formed by spin coating.
10. The method of claim 7, wherein the conductive layer is made of copper.
11. The method of claim 7, wherein the conductive layer is made of copper alloy.
12. The method of claim 7, wherein the barrier cap layer is made of silicon nitride.
13. The method of claim 7, wherein the barrier cap layer is made of silicon-oxy-nitride.
14. The method of claim 7, wherein the barrier cap layer is made of silicon carbide.
US09/395,906 1999-09-11 1999-09-11 Method for manufacturing dielectric layer Expired - Lifetime US6159845A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/395,906 US6159845A (en) 1999-09-11 1999-09-11 Method for manufacturing dielectric layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/395,906 US6159845A (en) 1999-09-11 1999-09-11 Method for manufacturing dielectric layer

Publications (1)

Publication Number Publication Date
US6159845A true US6159845A (en) 2000-12-12

Family

ID=23565032

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/395,906 Expired - Lifetime US6159845A (en) 1999-09-11 1999-09-11 Method for manufacturing dielectric layer

Country Status (1)

Country Link
US (1) US6159845A (en)

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245665B1 (en) * 1998-12-09 2001-06-12 Nec Corporation Semiconductor device and method of fabricating the same
US6277705B1 (en) * 1999-12-20 2001-08-21 United Microelectronics Corp. Method for fabricating an air-gap with a hard mask
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6313029B1 (en) * 1999-06-29 2001-11-06 Hyundai Electronics Industries Co., Ltd. Method for forming multi-layer interconnection of a semiconductor device
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6350681B1 (en) * 2001-01-17 2002-02-26 United Microelectronics Corp. Method of forming dual damascene structure
US6352919B1 (en) * 2000-04-17 2002-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a borderless via
US6399476B2 (en) * 1999-02-13 2002-06-04 Samsung Electronics Co., Ltd. Multilayer passivation process for forming air gaps within a dielectric between interconnections
US6403461B1 (en) 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6406996B1 (en) * 2000-09-30 2002-06-18 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
US6406994B1 (en) * 1999-12-03 2002-06-18 Chartered Semiconductor Manufacturing Ltd. Triple-layered low dielectric constant dielectric dual damascene approach
US6413846B1 (en) * 2000-11-14 2002-07-02 Advanced Micro Devices, Inc. Contact each methodology and integration scheme
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
US20030183940A1 (en) * 2002-03-29 2003-10-02 Junji Noguchi Semiconductor device and a method of manufacturing the same
US6630396B2 (en) * 2000-04-03 2003-10-07 Sharp Laboratories Of America, Inc. Use of a silicon carbide adhesion promoter layer to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon
US6638878B2 (en) 2001-10-02 2003-10-28 International Business Machines Corporation Film planarization for low-k polymers used in semiconductor structures
US20040005773A1 (en) * 2001-11-26 2004-01-08 Advanced Micro Devices, Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
DE10246830A1 (en) * 2002-09-30 2004-02-12 Infineon Technologies Ag Production of a wiring surface on a semiconductor wafer for producing a circuit board comprises applying an insulating layer on a wafer, structuring to form strip conductor trenches, depositing a barrier layer, and further processing
US20040094821A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap for dual damascene applications
US20040097065A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap for tungsten/aluminum plug applications
WO2004053948A2 (en) * 2002-12-09 2004-06-24 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
JP2004193431A (en) * 2002-12-12 2004-07-08 Renesas Technology Corp Semiconductor device and its manufacturing method
US20040147106A1 (en) * 2003-01-17 2004-07-29 Nec Electronics Corporation Manufacturing of a semiconductor device with a reduced capacitance between wirings
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6794295B1 (en) * 2000-05-26 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve stability and reliability of CVD low K dielectric
US6864180B2 (en) 2001-10-02 2005-03-08 International Business Machines Corporation Method for reworking low-k polymers used in semiconductor structures
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US20050142853A1 (en) * 2003-12-12 2005-06-30 Jui-Neng Tu Dual damascene process for forming a multi-layer low-K dielectric interconnect
US6939812B2 (en) * 2000-03-13 2005-09-06 Koninklijke Philips Electronics N.V. Method of forming an etch stop layer in a semiconductor device
US20050263896A1 (en) * 2002-11-15 2005-12-01 Water Lur Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US20060148134A1 (en) * 2004-12-31 2006-07-06 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
US20060183317A1 (en) * 2003-03-14 2006-08-17 Junji Noguchi Semiconductor device and a method of manufacturing the same
US20070090531A1 (en) * 2005-10-07 2007-04-26 Dirk Offenberg Method of forming an electrical isolation associated with a wiring level on a semiconductor wafer
US20070111508A1 (en) * 2005-11-16 2007-05-17 Hitachi, Ltd. Process for producing semiconductor integrated circuit device
US20080124940A1 (en) * 2006-09-22 2008-05-29 Macronix International Co., Ltd. Method of forming dielectric layer
US20080174017A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
CN100429772C (en) * 2005-01-25 2008-10-29 台湾积体电路制造股份有限公司 Semiconductor device and its manufacture method
US20080265426A1 (en) * 2007-04-30 2008-10-30 Robert Seidel Semiconductor structure comprising an electrical connection and method of forming the same
US20090093112A1 (en) * 2007-10-09 2009-04-09 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US7531382B1 (en) * 2006-02-14 2009-05-12 The United States Of America As Represented By The Director National Security Agency Method of fabricating a patterned device using sacrificial spacer layer
JP2009152544A (en) * 2007-10-09 2009-07-09 Applied Materials Inc Method of forming void in multilevel interconnection structure
US20110076831A1 (en) * 2007-11-01 2011-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Solving Via-Misalignment Issues in Interconnect Structures Having Air-Gaps
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US20130020708A1 (en) * 2011-07-19 2013-01-24 SanDisk Technologies, Inc Copper Interconnects Separated by Air Gaps and Method of Making Thereof
US20150200160A1 (en) * 2010-02-18 2015-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
EP3038149A1 (en) * 2014-12-22 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Method for producing a three-dimensional integrated circuit
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US20160307842A1 (en) * 2015-04-14 2016-10-20 Jong-min Baek Semiconductor devices and methods of manufacturing the same
US9780027B2 (en) * 2015-11-18 2017-10-03 International Business Machines Corporation Hybrid airgap structure with oxide liner
CN107275309A (en) * 2011-12-20 2017-10-20 英特尔公司 Conformal cryogenic seal dielectric diffusion barrier
US9929099B2 (en) 2015-12-14 2018-03-27 Samsung Electronics Co., Ltd. Planarized interlayer dielectric with air gap isolation
US10090381B2 (en) 2016-09-20 2018-10-02 Samsung Electronics Co., Ltd. Semiconductor device including air-gap
US10679893B2 (en) 2018-09-04 2020-06-09 United Microelectronics Corp. Interconnection structure and method of forming the same
US20220336263A1 (en) * 2020-07-08 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with cavity structure
US20220399226A1 (en) * 2021-06-11 2022-12-15 United Semiconductor (Xiamen) Co., Ltd. Semiconductor structure and method for forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5837618A (en) * 1995-06-07 1998-11-17 Advanced Micro Devices, Inc. Uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5872064A (en) * 1996-02-29 1999-02-16 Intel Corporation DSAD process for deposition of inter layer dielectric
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5837618A (en) * 1995-06-07 1998-11-17 Advanced Micro Devices, Inc. Uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5872064A (en) * 1996-02-29 1999-02-16 Intel Corporation DSAD process for deposition of inter layer dielectric
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process

Cited By (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245665B1 (en) * 1998-12-09 2001-06-12 Nec Corporation Semiconductor device and method of fabricating the same
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6399476B2 (en) * 1999-02-13 2002-06-04 Samsung Electronics Co., Ltd. Multilayer passivation process for forming air gaps within a dielectric between interconnections
US6313029B1 (en) * 1999-06-29 2001-11-06 Hyundai Electronics Industries Co., Ltd. Method for forming multi-layer interconnection of a semiconductor device
US6551924B1 (en) * 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
US6406994B1 (en) * 1999-12-03 2002-06-18 Chartered Semiconductor Manufacturing Ltd. Triple-layered low dielectric constant dielectric dual damascene approach
US6277705B1 (en) * 1999-12-20 2001-08-21 United Microelectronics Corp. Method for fabricating an air-gap with a hard mask
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6939812B2 (en) * 2000-03-13 2005-09-06 Koninklijke Philips Electronics N.V. Method of forming an etch stop layer in a semiconductor device
US6630396B2 (en) * 2000-04-03 2003-10-07 Sharp Laboratories Of America, Inc. Use of a silicon carbide adhesion promoter layer to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon
US6352919B1 (en) * 2000-04-17 2002-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a borderless via
US6794295B1 (en) * 2000-05-26 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve stability and reliability of CVD low K dielectric
US6503827B1 (en) * 2000-06-28 2003-01-07 International Business Machines Corporation Method of reducing planarization defects
US6406996B1 (en) * 2000-09-30 2002-06-18 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
US6515367B1 (en) * 2000-09-30 2003-02-04 Advanced Micro Devices, Inc. Sub-cap and method of manufacture therefor in integrated circuit capping layers
US6413846B1 (en) * 2000-11-14 2002-07-02 Advanced Micro Devices, Inc. Contact each methodology and integration scheme
US6350681B1 (en) * 2001-01-17 2002-02-26 United Microelectronics Corp. Method of forming dual damascene structure
US6403461B1 (en) 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US7091615B2 (en) 2001-08-31 2006-08-15 Intel Corporation Concentration graded carbon doped oxide
US20050161827A1 (en) * 2001-08-31 2005-07-28 Ebrahim Andideh Concentration graded carbon doped oxide
US6887780B2 (en) * 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6638878B2 (en) 2001-10-02 2003-10-28 International Business Machines Corporation Film planarization for low-k polymers used in semiconductor structures
US6864180B2 (en) 2001-10-02 2005-03-08 International Business Machines Corporation Method for reworking low-k polymers used in semiconductor structures
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US20040043555A1 (en) * 2001-10-05 2004-03-04 Ebrahim Andideh Carbon doped oxide deposition
US20040005773A1 (en) * 2001-11-26 2004-01-08 Advanced Micro Devices, Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US7696092B2 (en) * 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US7042095B2 (en) * 2002-03-29 2006-05-09 Renesas Technology Corp. Semiconductor device including an interconnect having copper as a main component
US20030183940A1 (en) * 2002-03-29 2003-10-02 Junji Noguchi Semiconductor device and a method of manufacturing the same
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
DE10246830A1 (en) * 2002-09-30 2004-02-12 Infineon Technologies Ag Production of a wiring surface on a semiconductor wafer for producing a circuit board comprises applying an insulating layer on a wafer, structuring to form strip conductor trenches, depositing a barrier layer, and further processing
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US20070076339A1 (en) * 2002-11-15 2007-04-05 Water Lur Air gap for tungsten/aluminum plug applications
US20040094821A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap for dual damascene applications
US20050263896A1 (en) * 2002-11-15 2005-12-01 Water Lur Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7449407B2 (en) 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US20040097065A1 (en) * 2002-11-15 2004-05-20 Water Lur Air gap for tungsten/aluminum plug applications
US7253095B2 (en) 2002-11-15 2007-08-07 United Microelectronics Corporation Air gap formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US20040232552A1 (en) * 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
WO2004053948A3 (en) * 2002-12-09 2004-08-19 Advanced Micro Devices Inc Air gap dual damascene process and structure
WO2004053948A2 (en) * 2002-12-09 2004-06-24 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
JP2004193431A (en) * 2002-12-12 2004-07-08 Renesas Technology Corp Semiconductor device and its manufacturing method
US7112526B2 (en) * 2003-01-17 2006-09-26 Nec Electronics Corporation Manufacturing of a semiconductor device with a reduced capacitance between wirings
US20040147106A1 (en) * 2003-01-17 2004-07-29 Nec Electronics Corporation Manufacturing of a semiconductor device with a reduced capacitance between wirings
US20060183317A1 (en) * 2003-03-14 2006-08-17 Junji Noguchi Semiconductor device and a method of manufacturing the same
US20050142853A1 (en) * 2003-12-12 2005-06-30 Jui-Neng Tu Dual damascene process for forming a multi-layer low-K dielectric interconnect
US7285489B2 (en) * 2003-12-12 2007-10-23 Macronix International Co., Ltd. Dual damascene process for forming a multi-layer low-k dielectric interconnect
US20100181672A1 (en) * 2004-12-31 2010-07-22 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
US20060148134A1 (en) * 2004-12-31 2006-07-06 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
US7579233B2 (en) * 2004-12-31 2009-08-25 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
US8022455B2 (en) 2004-12-31 2011-09-20 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
CN100429772C (en) * 2005-01-25 2008-10-29 台湾积体电路制造股份有限公司 Semiconductor device and its manufacture method
US20070090531A1 (en) * 2005-10-07 2007-04-26 Dirk Offenberg Method of forming an electrical isolation associated with a wiring level on a semiconductor wafer
TWI387049B (en) * 2005-11-16 2013-02-21 Hitachi Ltd Process for producing semiconductor integrated circuit device
US20070111508A1 (en) * 2005-11-16 2007-05-17 Hitachi, Ltd. Process for producing semiconductor integrated circuit device
US7553756B2 (en) * 2005-11-16 2009-06-30 Hitachi, Ltd. Process for producing semiconductor integrated circuit device
US7531382B1 (en) * 2006-02-14 2009-05-12 The United States Of America As Represented By The Director National Security Agency Method of fabricating a patterned device using sacrificial spacer layer
US7833828B1 (en) * 2006-02-14 2010-11-16 United States Of America As Represented By The Director, The National Security Agency Method of fabricating a patterned device using sacrificial spacer layer
US7595221B1 (en) * 2006-02-14 2009-09-29 The United States Of America As Represented By The Director, National Security Agency Method of fabricating a patterned device using sacrificial spacer layer
US7648921B2 (en) * 2006-09-22 2010-01-19 Macronix International Co., Ltd. Method of forming dielectric layer
US20080124940A1 (en) * 2006-09-22 2008-05-29 Macronix International Co., Ltd. Method of forming dielectric layer
TWI412104B (en) * 2007-01-22 2013-10-11 Ibm Hybrid interconnect structure for performance improvement and reliability enhancement
US8754526B2 (en) 2007-01-22 2014-06-17 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US8753979B2 (en) 2007-01-22 2014-06-17 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US8796854B2 (en) 2007-01-22 2014-08-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US7973409B2 (en) * 2007-01-22 2011-07-05 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US8456006B2 (en) * 2007-01-22 2013-06-04 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US20080174017A1 (en) * 2007-01-22 2008-07-24 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
US20110260323A1 (en) * 2007-01-22 2011-10-27 International Business Machines Corporation Hybrid interconnect structure for performance improvement and reliability enhancement
DE102007020269A1 (en) * 2007-04-30 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Semiconductor structure with an electrical connection and method for its production
US20080265426A1 (en) * 2007-04-30 2008-10-30 Robert Seidel Semiconductor structure comprising an electrical connection and method of forming the same
US20090181537A1 (en) * 2007-04-30 2009-07-16 Advanced Micro Devices, Inc. Semiconductor structure comprising an electrical connection and method of forming the same
US20110104891A1 (en) * 2007-10-09 2011-05-05 Amir Al-Bayati Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
JP2009152544A (en) * 2007-10-09 2009-07-09 Applied Materials Inc Method of forming void in multilevel interconnection structure
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20090093112A1 (en) * 2007-10-09 2009-04-09 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US7998855B2 (en) * 2007-11-01 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Solving via-misalignment issues in interconnect structures having air-gaps
US20110076831A1 (en) * 2007-11-01 2011-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Solving Via-Misalignment Issues in Interconnect Structures Having Air-Gaps
US20150200160A1 (en) * 2010-02-18 2015-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US10361152B2 (en) * 2010-02-18 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US9059251B2 (en) 2010-04-29 2015-06-16 International Business Machines Corporation Microelectronic structure including air gap
US9105693B2 (en) 2010-04-29 2015-08-11 International Business Machines Corporation Microelectronic structure including air gap
US8288268B2 (en) 2010-04-29 2012-10-16 International Business Machines Corporation Microelectronic structure including air gap
US9332628B2 (en) 2010-04-29 2016-05-03 Globalfoundries Inc. Microelectronic structure including air gap
US9030016B2 (en) 2011-07-19 2015-05-12 Sandisk Technologies Inc. Semiconductor device with copper interconnects separated by air gaps
US20130020708A1 (en) * 2011-07-19 2013-01-24 SanDisk Technologies, Inc Copper Interconnects Separated by Air Gaps and Method of Making Thereof
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
US11670545B2 (en) 2011-12-20 2023-06-06 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US11587827B2 (en) 2011-12-20 2023-02-21 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US11251076B2 (en) 2011-12-20 2022-02-15 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
CN107275309A (en) * 2011-12-20 2017-10-20 英特尔公司 Conformal cryogenic seal dielectric diffusion barrier
US10763161B2 (en) 2011-12-20 2020-09-01 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US10529619B2 (en) 2011-12-20 2020-01-07 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US10438844B2 (en) 2011-12-20 2019-10-08 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
EP3038149A1 (en) * 2014-12-22 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Method for producing a three-dimensional integrated circuit
US20160307842A1 (en) * 2015-04-14 2016-10-20 Jong-min Baek Semiconductor devices and methods of manufacturing the same
US9812450B2 (en) * 2015-04-14 2017-11-07 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
KR20210145711A (en) * 2015-04-14 2021-12-02 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9780027B2 (en) * 2015-11-18 2017-10-03 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9929099B2 (en) 2015-12-14 2018-03-27 Samsung Electronics Co., Ltd. Planarized interlayer dielectric with air gap isolation
US10186485B2 (en) 2015-12-14 2019-01-22 Samsung Electronics Co., Ltd. Planarized interlayer dielectric with air gap isolation
US10090381B2 (en) 2016-09-20 2018-10-02 Samsung Electronics Co., Ltd. Semiconductor device including air-gap
US10679893B2 (en) 2018-09-04 2020-06-09 United Microelectronics Corp. Interconnection structure and method of forming the same
US11373901B2 (en) 2018-09-04 2022-06-28 United Microelectronics Corp. Interconnection structure and method of forming the same
US20220336263A1 (en) * 2020-07-08 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with cavity structure
US20220399226A1 (en) * 2021-06-11 2022-12-15 United Semiconductor (Xiamen) Co., Ltd. Semiconductor structure and method for forming the same
US11804403B2 (en) * 2021-06-11 2023-10-31 United Semiconductor (Xiamen) Co., Ltd. Semiconductor structure and method for forming the same

Similar Documents

Publication Publication Date Title
US6159845A (en) Method for manufacturing dielectric layer
US6696222B2 (en) Dual damascene process using metal hard mask
US6744090B2 (en) Damascene capacitor formed in metal interconnection layer
US7651942B2 (en) Metal interconnect structure and method
US6939791B2 (en) Contact capping local interconnect
US6468894B1 (en) Metal interconnection structure with dummy vias
US6013581A (en) Method for preventing poisoned vias and trenches
US7425501B2 (en) Semiconductor structure implementing sacrificial material and methods for making and implementing the same
US6787911B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6037664A (en) Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6838355B1 (en) Damascene interconnect structures including etchback for low-k dielectric materials
US6893959B2 (en) Method to form selective cap layers on metal features with narrow spaces
US6011311A (en) Multilevel interconnect structure for integrated circuits
US20060205204A1 (en) Method of making a semiconductor interconnect with a metal cap
US20040232552A1 (en) Air gap dual damascene process and structure
US20030139034A1 (en) Dual damascene structure and method of making same
US7052990B2 (en) Sealed pores in low-k material damascene conductive structures
US6734097B2 (en) Liner with poor step coverage to improve contact resistance in W contacts
US6348734B1 (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
US20010001742A1 (en) Method of fabricating a dual -damascene structure in an integrated cirtcuit with multilevel-interconnect strcture
US5880030A (en) Unlanded via structure and method for making same
US6346474B1 (en) Dual damascene process
US6048796A (en) Method of manufacturing multilevel metal interconnect
US6465343B1 (en) Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
CN103515308A (en) Copper interconnect structure and method for fabricating thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YEW, TRI-RUNG;LUR, WATER;CHUNG, HSIEN-TA;REEL/FRAME:010259/0475;SIGNING DATES FROM 19990814 TO 19990817

AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UNITED SILICON INCORPORATED;REEL/FRAME:010557/0613

Effective date: 19991227

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12