US6821902B2 - Electroless plating liquid and semiconductor device - Google Patents

Electroless plating liquid and semiconductor device Download PDF

Info

Publication number
US6821902B2
US6821902B2 US10/774,488 US77448804A US6821902B2 US 6821902 B2 US6821902 B2 US 6821902B2 US 77448804 A US77448804 A US 77448804A US 6821902 B2 US6821902 B2 US 6821902B2
Authority
US
United States
Prior art keywords
semiconductor substrate
plating
plating liquid
electroless
alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/774,488
Other versions
US20040157441A1 (en
Inventor
Hiroaki Inoue
Kenji Nakamura
Moriji Matsumoto
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Priority to US10/774,488 priority Critical patent/US6821902B2/en
Publication of US20040157441A1 publication Critical patent/US20040157441A1/en
Application granted granted Critical
Publication of US6821902B2 publication Critical patent/US6821902B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1635Composition of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • C23C18/50Coating with alloys with alloys based on iron, cobalt or nickel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S428/00Stock material or miscellaneous articles
    • Y10S428/901Printed circuit
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Definitions

  • This invention relates to an electroless-plating liquid and a semiconductor device. More particularly, this invention relates to an electroless-plating liquid useful for forming a protective film for selectively protecting surfaces of exposed interconnects of a semiconductor device which has an embedded interconnect structure formed from an electric conductor, such as copper or silver, filled in fine recesses for interconnects formed in a surface of a substrate such as a semiconductor substrate. This invention also relates to a semiconductor device in which a surface of exposed interconnects is selectively protected with a protective film.
  • a so-called “damascene process” which comprises filling trenches for interconnects and contact holes with a metal (electric conductor), is coming into practical use.
  • a metal such as copper or silver
  • CMP chemical mechanical polishing
  • embedded interconnects In a case of interconnects formed by such a process, embedded interconnects have an exposed surface after flattening processing.
  • an additional embedded interconnect structure is formed on such an interconnects-exposing surface of a semiconductor substrate, the following problems may be encountered. For example, during formation of a new SiO 2 layer during a subsequent interlevel dielectric forming process, exposed surfaces of pre-formed interconnects are likely to be oxidized. Further, upon etching of the SiO 2 layer for formation of via holes, the pre-formed interconnects exposed on bottoms of the via holes can be contaminated with an etchant, a peeled resist, or the like.
  • a protective film of SiN or the like on the entire surface of a semiconductor substrate, in a semiconductor device having an embedded interconnect structure increases a dielectric constant of an interlevel dielectric, thus inducing interconnect delaying even when a low-resistance material such as copper or silver is employed for interconnects whereby performance of the semiconductor device may be impaired.
  • Provision of such a protective alloy film by performing electroless plating has the following problems associated with sodium hypophosphite, which is generally used as a reducing agent during electroless plating:
  • Inclusion of sodium in the reducing agent can cause alkali-metal contamination of the semiconductor device.
  • plated film is likely to be deposited also on an insulating film, thereby making it difficult to perform intended selective plating.
  • the present invention has been made in view of the above drawbacks in the related art. It is therefore an object of the present invention to provide an electroless-plating liquid which can form a plated film (protective film) that selectively covers only surfaces of interconnects and protects exposed interconnects, without causing any alkali-metal contamination or formation of voids in the interconnects, and to provide a semiconductor device in which the exposed interconnects are selectively protected with a protective film.
  • a plated film protecting film
  • the present invention provides an electroless-plating liquid for selectively forming a plated film on a surface of an exposed interconnect of a semiconductor device having an embedded interconnect structure, with the electroless-plating liquid comprising cobalt ions, a complexing agent, and a reducing agent free from alkali metal.
  • Use of the reducing agent free from alkali metal can prevent contamination of a semiconductor device with an alkali metal.
  • An alkylamine borane may be used as the reducing agent free from alkali metal.
  • Use of such a reducing agent makes it possible to apply an oxidizing electric current to copper or a copper alloy, or to silver or a silver alloy, thus enabling a direct electroless plating.
  • use of an alkylamine borane, which is free from sodium can prevent contamination of a semiconductor device with an alkali metal and, in addition, makes it possible to perform electroless plating without using a palladium catalyst.
  • alkylamine borane examples include dimethylamine borane, diethylamine borane and trimethylamine borane.
  • the electroless-plating liquid may further contain at least one of a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and a surfactant.
  • a pH of the electroless-plating liquid be adjusted to be within a range from 5 to 14 using a pH adjusting agent free from alkali metal.
  • a pH adjusting agent free from alkali metal.
  • an alkali metal-free pH adjusting agent such as ammonia water or quaternary ammonium hydroxide, can keep the plating liquid free from sodium.
  • the plating liquid preferably has a pH from 6 to 10.
  • the present invention also provides an electroless-plating liquid for selectively forming a plated film on a surface of an exposed interconnect of a semiconductor device having an embedded interconnect structure, with the electroless-plating liquid comprising cobalt ions, a complexing agent, a compound containing a refractory metal and a reducing agent free from alkali metal.
  • At least one of tungsten and molybdenum may be employed as the refractory metal.
  • the reducing agent may be an alkylamine borane.
  • the present invention also provides a semiconductor device having an embedded interconnect structure of copper, copper alloy, silver or silver alloy interconnects, wherein a surface of an exposed interconnect is selectively covered with a protective film, with the protective film being formed by performing an electroless-plating process with use of an electroless-plating liquid that comprises cobalt ions, a complexing agent, and a reducing agent free from alkali metal.
  • the present invention also provides a semiconductor device having an embedded interconnect structure, wherein a surface of an exposed interconnect is selectively covered with a protective film of a metal comprising cobalt.
  • the film preferably has a thickness within a range from 0.1 to 500 nm.
  • the present invention further provides a semiconductor device having an embedded interconnect structure, wherein a surface of an exposed interconnect is selectively covered with a protective film of an alloy comprising cobalt and a refractory metal.
  • the refractory metal may preferably be at least one of tungsten and molybdenum.
  • the alloy examples include Co—B alloy, Co—P alloy, Co—W—B alloy, CO—W—P alloy, Co—Mo—B alloy, Co—Mo—P alloy, Co—W—Mo—B alloy, Co—W—Mo—P alloy, Co—Ti—B alloy, Co—Ti—P alloy, Co—Ta—B alloy, Co—Ta—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ti—Ta—P alloy, Co—Ti—W—B alloy, Co—Ti—W—P alloy, Co—Ti—Mo—B alloy, Co—Ti—Mo—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ta—W—B alloy, Co—Ta—W—P alloy, Co—Ta—Mo—B alloy, Co—Ta—Mo—P alloy, Co—Ta—Mo—P alloy, Co—Ta—Mo—
  • FIGS. 1A through 1C illustrate, in a sequence of process steps, an example of forming copper interconnects in a semiconductor device in accordance with the present invention
  • FIG. 2 is a schematic view of an example of an electroless-plating device
  • FIG. 3 is a schematic view of another example of an electroless-plating device
  • FIG. 4 is a plan view of an example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention.
  • FIG. 5 is a plan view of another example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention.
  • FIG. 6 is a plan view of yet another example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention.
  • FIGS. 7A and 7B are diagrams of SEM photographs of a test sample obtained in an example.
  • FIGS. 8A and 8B are diagrams of SEM photographs of a test sample obtained in a comparative example.
  • FIGS. 1A through 1C illustrate, in a sequence of process steps, an example of forming copper interconnects in a semiconductor device of the present invention.
  • an insulating film 2 of SiO 2 is deposited on a conductive layer 1 a formed on a semiconductor base 1 bearing semiconductor devices.
  • a contact hole 3 and a trench 4 for interconnects are formed in the insulating film 2 by performing a lithography/etching technique.
  • a barrier layer 5 of TaN or the like is formed on exposed surfaces of the conductive layer 1 a and insulating film 2 , and a copper seed layer 6 as an electric supply layer for electroplating is formed on the barrier layer 5 by performing sputtering or the like.
  • Thickness of the protective film 9 is generally 0.1-500 nm, preferably 1-200 nm, more preferably 10-100 nm.
  • the protective film 9 is formed, for example, by using a plating liquid containing cobalt ions, a complexing agent, a pH buffer, a pH adjusting agent and an alkylamine borane as a reducing agent, or a plating liquid further containing a refractory (high-melting point) metal such as tungsten or molybdenum, and dipping the semiconductor substrate W in the plating liquid.
  • a plating liquid containing cobalt ions, a complexing agent, a pH buffer, a pH adjusting agent and an alkylamine borane
  • a plating liquid further containing a refractory (high-melting point) metal such as tungsten or molybdenum
  • the plating liquid may further contain at least one of a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and a surfactant. Further, the plating liquid is adjusted within a pH range of preferably 5-14, more preferably 6-10, by using a pH adjusting agent such as ammonia water or quaternary ammonium hydroxide. Temperature of the plating liquid is generally in a range of 30-90° C., preferably 40-80° C.
  • the protection of the interconnects 8 by provision of the protective film 9 can prevent, in forming thereon an additional embedded interconnect structure, oxidation of surfaces of the interconnects during formation of a new SiO 2 layer at a subsequent interlevel dielectric forming process and contamination of the interconnects with an etchant, a peeled resist or the like upon etching of the SiO 2 layer.
  • the plating liquid containing cobalt ions, a complexing agent, a pH buffer, a pH adjusting agent and an alkylamine borane as a reducing agent provides a protective film 9 of a Co—B alloy.
  • the cobalt ions contained in the plating liquid may be supplied from a cobalt salt, for example, cobalt sulfate, cobalt chloride or cobalt acetate.
  • An amount of the cobalt ions is generally in a range of 0.001-1 mol/L, preferably 0.01-0.3 mol/L.
  • the complexing agent may include carboxylic acids, such as acetic acid, and their salts; oxycarboxylic acids, such as tartaric acid and citric acid, and their salts; and aminocarboxylic acids, such as glycine, and their salts. These compounds may be used either singly or as a mixture of two or more.
  • a total amount of the complexing agent is generally 0.001-1.5 mol/L, preferably 0.01-1.0 mol/L.
  • any buffer may be used that does not contain sodium or any other alkali metal.
  • Ammonium sulfate, ammonium chloride and boric acid are mentioned as specific examples.
  • the pH buffer can be used generally in an amount of 0.01-1.5 mol/L, preferably 0.1-1 mol/L.
  • any agent may be used that does not contain sodium or any other alkali metal.
  • Ammonia water and tetramethylammonium hydroxide (TMAH) are mentioned as specific examples.
  • pH of the plating liquid is adjusted generally within a range of 5-14, preferably 6-10.
  • the reducing agent likewise should not contain sodium or any other alkali metal.
  • An alkylamine borane is preferably used.
  • alkylamine boranes dimethylamine borane (DMAB) and diethylamine borane, are mentioned.
  • the reducing agent is used generally in an amount of 0.01-1 mol/L, preferably 0.01-0.5 mol/L.
  • Examples of compounds containing a refractory metal include tangstic acid, molybdic acid and their salts; and heteropoly acids, such as tangstophosphoric acid (e.g. H3(PW12 P40)üEnH2O), and their salts.
  • Ti or Ta may also be used when formation of the protective film is not by performing electroless plating.
  • a compound containing a refractory metal point is used generally in an amount of 0.001-1 mol/L, preferably 0.01-0.1 mol/L.
  • cobalt/refractory metal alloys include Co—B alloy, Co—P alloy, Co—W—B alloy, Co—W—P alloy, Co—Mo—B alloy, Co—Mo—P alloy, Co—W—Mo—B alloy, Co—W—Mo—P alloy, Co—Ti—B alloy, Co—Ti—P alloy, Co—Ta—B alloy, Co—Ta—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ti—W—B alloy, Co—Ti—W—P alloy, Co—Ti—Mo—B alloy, Co—Ti—Mo—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Mo—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ta—W—B alloy, Co—Ta—W—P alloy, Co—Ta—Mo—B alloy, Co—Ta—Mo—P alloy, Co
  • alloys containing tungsten and/or molybdenum are especially preferred for use in the electroless plating according to the present invention.
  • Alloys containing boran or phosphor are usable insofar as they contain no alkali metal.
  • Alloys containing Ti or Ta may be used in a process other than electroless plating.
  • a bath stabilizer which may be a heavy metal compound such as a lead compound, a sulfur compound such as a thiocyanate, or a mixture thereof, and a surfactant of an anionic, cationic or nonionic type.
  • an alkylamine borane free from sodium As described above, it is preferred to use as a reducing agent an alkylamine borane free from sodium.
  • Use of an alkylamine borone makes it possible to apply an oxidizing current to copper, a copper alloy, silver, or a silver alloy to thereby avoid need for imparting a palladium catalyst, thus enabling a direct electroless plating, and also to prevent contamination of a semiconductor device with an alkali metal.
  • an electroless-plating liquid which utilizes an alkylamine borane as a reducing agent, makes it possible to carry out electroless plating by immersing a surface of a semiconductor device W in the plating liquid, without imparting a palladium catalyst. This can reduce requisite process steps and increase throughput, prevent formation of voids in copper interconnects that would otherwise be caused by palladium replacement, and avoid increase in interconnect resistance that would be caused by palladium diffusion.
  • FIG. 2 is a schematic constitution drawing of an electroless plating apparatus.
  • this electroless plating apparatus comprises a holding device 11 for holding a semiconductor substrate W on its upper surface, a dam member (plating liquid holding mechanism) 31 for contacting a peripheral edge portion of a surface to be plated (upper surface) of the semiconductor substrate W held by the holding device 11 to seal the peripheral edge portion, and a shower head (an electroless plating liquid (scattering) supply device) 41 for supplying a plating liquid (an electroless plating liquid) to the surface, to be plated, of the semiconductor substrate W having the peripheral edge portion sealed with the dam member 31 .
  • a dam member plating liquid holding mechanism
  • a shower head an electroless plating liquid (scattering) supply device) 41 for supplying a plating liquid (an electroless plating liquid) to the surface, to be plated, of the semiconductor substrate W having the peripheral edge portion sealed with the dam member 31 .
  • the electroless plating apparatus further comprises a cleaning liquid supply device 51 disposed near an upper outer periphery of the holding device 11 for supplying a cleaning liquid to the surface, to be plated, of the semiconductor substrate W, a recovery vessel 61 for recovering discharged cleaning liquid or the like (plating waste liquid), a plating liquid recovery nozzle 65 for sucking in and recovering plating liquid held on the semiconductor substrate W, and a motor (rotational drive device) M for rotationally driving the holding device 11 .
  • a cleaning liquid supply device 51 disposed near an upper outer periphery of the holding device 11 for supplying a cleaning liquid to the surface, to be plated, of the semiconductor substrate W
  • a recovery vessel 61 for recovering discharged cleaning liquid or the like (plating waste liquid)
  • a plating liquid recovery nozzle 65 for sucking in and recovering plating liquid held on the semiconductor substrate W
  • a motor (rotational drive device) M for rotationally driving the holding device 11 .
  • the holding device 11 has a substrate placing portion 13 on its upper surface for receiving and holding the semiconductor substrate W.
  • the substrate placing portion 13 is adapted to receive and fix the semiconductor substrate W.
  • the substrate placing portion 13 has a vacuum attracting mechanism (not shown) for attracting the semiconductor substrate W on a backside thereof by vacuum suction.
  • a backside heater (heating device) 15 which is planar and heats the surface, to be plated, of the semiconductor substrate W from underneath to keep it warm, is installed on a backside of the substrate placing portion 13 .
  • the backside heater 15 is composed of, for example, a rubber heater.
  • the holding device 11 is adapted to be rotated by the motor M and is movable vertically by a lifting device (not shown).
  • the dam member 31 is tubular, has a seal portion 33 provided at a lower portion thereof for sealing the outer peripheral edge of the semiconductor substrate W, and is installed so as not to move vertically from its illustrated position.
  • the shower head 41 is of a structure having many nozzles provided at a front end thereof for scattering supplied plating liquid in a shower form and supplying the liquid substantially uniformly to the surface, to be plated, of the semiconductor substrate W.
  • the cleaning liquid supply device 51 has a structure for ejecting a cleaning liquid from a nozzle 53 .
  • the plating liquid recovery nozzle 65 is adapted to be movable upwardly and downwardly and is swingable, and a front end of the plating liquid recovery nozzle 65 is adapted to be lowered inwardly of the dam member 31 located on the upper surface peripheral edge portion of the semiconductor substrate W so as to suck in plating liquid on the semiconductor substrate W.
  • the holding device 11 is lowered from its illustrated state to provide a gap of a predetermined dimension between the holding device 11 and the dam member 31 , and a semiconductor substrate W is placed on and fixed to the substrate placing portion 13 .
  • An 8 inch wafer, for example, is used as the semiconductor substrate W.
  • the holding device 11 is raised to bring its upper surface into contact with a lower surface of the dam member 31 as illustrated in FIG. 2, and an outer periphery of the semiconductor substrate W is sealed with the seal portion 33 of the dam member 31 .
  • a surface of the semiconductor substrate W is in an open state.
  • the semiconductor substrate W itself is directly heated by the backside heater 15 , while plating liquid is ejected from the shower head 41 to pour the plating liquid over substantially an entire surface of the semiconductor substrate W. Since the surface of the semiconductor substrate W is surrounded by the dam member 31 , supplied plating liquid in its entirety is held on the surface of the semiconductor substrate W. An amount of the supplied plating liquid may be small so as to become 1 mm thick (about 30 ml) on the surface of the semiconductor substrate W. Thickness of plating liquid held on the surface to be plated may be 10 mm or less, and may even be 1 mm as in this embodiment. If a small amount of the supplied plating liquid is sufficient, the heating apparatus for heating the plating liquid may be of a small size.
  • the semiconductor substrate W itself is adapted to be heated, temperature of the plating liquid, requiring great power consumption for being heated, need not be raised so high. This is preferred, because power consumption can be decreased, and a change in properties of the plating liquid can be prevented. Power consumption for heating of the semiconductor substrate W itself may be small, and the amount of the plating liquid stored on the semiconductor substrate W is also small. Thus, heat retention of the semiconductor substrate W by the backside heater 15 can be performed easily, and capacity of the backside heater 15 may be small, and the apparatus can be made compact. If a device for directly cooling the semiconductor substrate W itself is used, switching between heating and cooling may be performed during plating to change plating conditions. Since the plating liquid held on the semiconductor substrate is of a small amount, temperature control can be performed with good sensitivity.
  • the semiconductor substrate W is instantaneously rotated by the motor M to perform uniform liquid wetting of the surface to be plated, and then plating of the surface to be plated is performed in such a state that the semiconductor substrate W is in a stationary state. Specifically, the semiconductor substrate W is rotated at 100 rpm or less for only 1 second to uniformly wet the surface, to be plated, of the semiconductor substrate W with the plating liquid. Then, the semiconductor substrate W is kept stationary, and electroless plating is performed for 1 minute.
  • the instantaneous rotating time is at most 10 seconds or less.
  • the front end of the plating liquid recovery nozzle 65 is lowered to an area near an inside of the dam member 31 on the peripheral edge portion of the semiconductor substrate W to suck in plating liquid.
  • the semiconductor substrate W is rotated at a rotational speed of, for example, 100 rpm or less, the plating liquid remaining on the semiconductor substrate W can be gathered at a portion of the dam member 31 on the peripheral edge portion of the semiconductor substrate W via centrifugal force, so that recovery of the plating liquid can be performed with good efficiency and a high recovery rate.
  • the holding device 11 is lowered to separate the semiconductor substrate W from the dam member 31 .
  • the semiconductor substrate W is started to be rotated, and cleaning liquid (ultrapure water) is jetted at the plated surface of the semiconductor substrate W from the nozzle 53 of the cleaning liquid supply device 51 to cool the plated surface, and simultaneously perform dilution and cleaning, thereby stopping an electroless plating reaction.
  • cleaning liquid jetted from the nozzle 53 may be supplied to the dam member 31 to perform cleaning of the dam member 31 .
  • Plating waste liquid at this time is recovered into the recovery vessel 61 and discarded.
  • Plating liquid once used is not reused, and is discarded.
  • the amount of the plating liquid used in this apparatus can be made very small, compared with that in the prior art.
  • the amount of the plating liquid which is discarded is small, even without reuse.
  • the plating liquid recovery nozzle 65 may not be installed, and plating liquid which has been used may be recovered as a plating waste liquid into the recovery vessel 61 , together with the cleaning liquid.
  • the semiconductor substrate W is rotated at a high speed by the motor M for spin-drying, and then the semiconductor substrate W is removed from the holding device 11 .
  • FIG. 3 is a schematic constitution drawing of another electroless plating apparatus.
  • the embodiment of FIG. 3 is different from the aforementioned electroless plating apparatus shown in FIG. 2 in that instead of providing the backside heater 15 in the holding device 11 , lamp heaters (heating devices) 17 are disposed above holding device 11 , and the lamp heaters 17 and a shower head 41 - 2 are integrated.
  • a plurality of ring-shaped lamp heaters 17 having different radii are provided concentrically, and many nozzles 43 - 2 of the shower head 41 - 2 are open in a ring form from gaps between the lamp heaters 17 .
  • the lamp heaters 17 may be composed of a single spiral lamp heater, or may be composed of other lamp heaters of various structures and arrangements.
  • plating liquid can be supplied from each nozzle 43 - 2 to a surface, to be plated, of a semiconductor substrate W substantially uniformly in a shower form. Further, heating and heat retention of the semiconductor substrate W can be uniformly performed directly by the lamp heaters 17 .
  • the lamp heaters 17 heat not only the semiconductor substrate W and the plating liquid, but also ambient air, thus exhibiting a heat retention effect on the semiconductor substrate W.
  • Direct heating of the semiconductor substrate W by the lamp heaters 17 requires lamp heaters of relatively large power consumption.
  • lamp heaters with relatively small power consumption and the backside heater 15 shown in FIG. 2 may be used in combination to heat the semiconductor substrate W mainly with the backside heater and to perform heat retention of the plating liquid and ambient air mainly by the lamp heaters.
  • a device for directly or indirectly cooling the semiconductor substrate W may be provided to perform temperature control.
  • FIG. 4 is a plan view of an example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention.
  • the semiconductor producing apparatus includes a loading/unloading section 201 housing cassettes 201 - 1 , a first plating device 202 , a first robot 203 , reversing devices 205 and 206 , a second cleaning device 207 , a second robot 208 , a first cleaning device 209 , a second plating device 227 , a first polishing device 210 and a second polishing device 211 .
  • a before/after plating-film thickness-measuring device 212 for measuring thickness of a plated film before and after plating, and a dry state film thickness-measuring device 213 for measuring thickness of a dry-state film on the semiconductor substrate W after polishing, are provided.
  • the first polishing device 210 has a polishing table 210 - 1 , a top ring 210 - 2 , a top ring head 210 - 3 , a film thickness-measuring device 210 - 4 and a pusher 210 - 5 .
  • the second polishing device 211 has a polishing table 211 - 1 , a top ring 211 - 2 , a top ring head 211 - 3 , a film thickness-measuring device 211 - 4 and a pusher 211 - 5 .
  • a semiconductor substrate is removed by the first robot 203 , and a copper film 7 (see FIG. 1B) is formed by the first plating device 202 .
  • Formation of the copper film 7 is performed by carrying out hydrophilic treatment of a face of the semiconductor substrate W, and then performing copper plating. Then, rinsing or cleaning is performed. If there is some time to spare, drying may be performed.
  • film thickness of the plated copper film 7 is measured with the before/after plating-film thickness-measuring instrument 212 . Results of this measurement are recorded as record data of the semiconductor substrate W and are also used for judgment of an abnormality of the first plating-device 202 . After measurement of the film thickness, the first robot 203 transfers the semiconductor substrate W to the reversing device 205 by which the semiconductor substrate W is turned over.
  • the second robot 208 picks up the semiconductor substrate W from the reversing device 205 , and places the substrate on pusher 210 - 5 or 211 - 5 . Then, top ring 210 - 2 or 211 - 2 holds the semiconductor substrate W by suction, transfers the substrate onto polishing table 210 - 1 or 211 - 1 , and presses the substrate against a polishing surface on the polishing table 210 - 1 or 211 - 1 to perform polishing.
  • the top ring 210 - 2 or 211 - 2 returns the semiconductor substrate W to the pusher 210 - 5 or 211 - 5 .
  • the second robot 208 picks up the semiconductor substrate W, and carries the substrate into the first cleaning device 209 .
  • a chemical liquid may be ejected toward the face and backside of the semiconductor substrate W to, remove particles therefrom or make it difficult for particles to adhere thereto.
  • the face and the backside of the semiconductor substrate W are scrubbed and cleaned.
  • the face of the semiconductor substrate W is scrubbed and cleaned, mainly for removal of particles, with a PVA roll sponge using cleaning water comprising pure water to which a surface active agent, a chelating agent, or a pH adjusting agent is added.
  • a strong chemical liquid such as DHF is ejected toward the backside of the semiconductor substrate W to etch diffused copper. If there is no problem of copper diffusion, the backside of the semiconductor substrate W is scrubbed and cleaned with a PVA roll sponge using the same chemical liquid as that for the face.
  • the second robot 208 picks up the semiconductor substrate W, and transfers the substrate to the reversing device 206 where the semiconductor substrate W is reversed.
  • the second robot 208 again picks up the semiconductor substrate W and transports the substrate to the second plating device 227 which is constituted, for example, by the electroless-plating device as shown in FIG. 2 or FIG. 3 .
  • the second plating device 227 a surface of the semiconductor substrate W is immersed in a plating liquid, e.g. the above described electroless-plating liquid, and a protective film 9 of an alloy is selectively formed on exposed surfaces of interconnects 8 to protect the interconnects 8 (see FIG. 1 C).
  • the second robot 208 picks up the semiconductor substrate W, transfers the substrate to the reversing device 206 , where the semiconductor substrate W is reversed, and then transfers the substrate to the second cleaning device 207 .
  • the second cleaning device 207 megasonic water, to which ultrasonic vibrations are applied, is ejected toward a face of the semiconductor substrate W to clean the face.
  • the face may be cleaned with a pencil type sponge using a cleaning liquid comprising pure water to which a surface active agent, a chelating agent, or a pH adjusting agent is added.
  • the semiconductor substrate W is dried by spin-drying.
  • the second robot 208 picks up the semiconductor substrate W, and transfers the substrate to the reversing device 206 as it is.
  • the first robot 203 removes the semiconductor substrate W from the reversing device 206 .
  • film thickness measuring instrument 210 - 4 or 211 - 4 provided near the polishing table 210 - 1 or 211 - 1 the semiconductor substrate W is received by cassette 201 - 1 placed in an unload port of the loading/unloading section 201 .
  • measurement in a dry state needs to be performed.
  • film thickness is measured once with the dry state film thickness-measuring instrument 213 .
  • FIG. 5 is a plan view of another example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention. As with the substrate-processing apparatus of FIG. 4, this semiconductor producing apparatus performs substrate processing comprising steps of forming copper film 7 on semiconductor substrate W having thereon seed layer 6 , polishing the substrate, and selectively forming protective film 9 on interconnects 8 , thereby providing a circuit interconnection in which the interconnects 8 are selectively protected with the protective film 9 .
  • a pusher indexer 225 is disposed close to first polishing apparatus 210 and second polishing apparatus 211 , substrate placing tables 221 , 222 are disposed close to second cleaning device 207 and second plating device 227 , respectively, and a robot 223 (hereinafter referred to as second robot 223 ) is disposed close to the second plating device 227 and first plating device 202 . Further, a robot 224 (hereinafter referred to as third robot 224 ) is disposed close to first cleaning device 209 and the second cleaning device 207 , and dry state film thickness-measuring instrument 213 is disposed close to loading/unloading section 201 and first robot 203 .
  • the first robot 203 removes a semiconductor substrate W having a seed layer 6 thereon from a cassette 201 - 1 placed on a load port of the loading/unloading section 201 , and places the substrate on the substrate placing table 221 . Then, the second robot 223 transports the semiconductor substrate W to the first plating device 202 where a copper film 7 (see FIG. 1B) is formed. The second robot 223 transfers the semiconductor substrate having the copper film 7 formed thereon which is to be measured by the before/after plating-film thickness-measuring instrument 212 . After measurement of the film thickness, the semiconductor substrate is carried to and placed on the pusher indexer 225 .
  • Top ring 210 - 2 or 211 - 2 holds the semiconductor substrate W on the pusher indexer 225 by suction, and transfers the substrate to polishing table 210 - 1 or 211 - 1 to perform polishing. After polishing, the top ring 210 - 2 or 211 - 2 transfers the semiconductor substrate W to film thickness measuring instrument 210 - 4 or 211 - 4 to measure film thickness. Then, the top ring 210 - 2 or 211 - 2 transfers the semiconductor substrate W to the pusher indexer 225 , and places the substrate thereon.
  • the third robot 224 picks up the semiconductor substrate W from the pusher indexer 225 , and carries the substrate into the first cleaning device 209 .
  • the third robot 224 picks up the cleaned semiconductor substrate W, and carries the substrate into the second plating device 227 where protective film 9 is selectively formed on surfaces of interconnects 8 by e.g. electroless plating, thereby protecting the interconnects 8 (see FIG. 1 C).
  • the third robot 224 carries the semiconductor substrate W into the second cleaning device 207 for cleaning and drying, and places the cleaned semiconductor substrate W on the substrate placing table 222 .
  • the first robot 203 picks up the semiconductor substrate W and carries it to the dry state film thickness-measuring instrument 213 where film thickness is measured, and then puts the substrate into a cassette 201 - 1 placed on an unload port in the loading/unloading section 201 .
  • FIG. 6 is a plan view of yet another example of a semiconductor producing apparatus for producing a semiconductor device in according with the present invention.
  • a barrier layer forming unit 111 there are provided a barrier layer forming unit 111 , a seed layer forming unit 112 , a plated film forming unit 113 , an annealing unit 114 , a first cleaning unit 115 , a bevel and backside cleaning unit 116 , a cap plating unit 117 having e.g. an electroless-plating device shown in FIG. 2 or FIG.
  • a second cleaning unit 118 a first aligner and film thickness measuring instrument 141 , a second aligner and film thickness measuring instrument 142 , a first substrate reversing device 143 , a second substrate reversing device 144 , a substrate temporary placing table 145 , a third film thickness measuring instrument 146 , a loading/unloading unit 120 , a first polishing apparatus 121 , a second polishing apparatus 122 , a first robot 131 , a second robot 132 , a third robot 133 , and a fourth robot 134 .
  • the film thickness measuring instruments 141 , 142 , and 146 are units that have the same frontage dimension as that of other units (plating, cleaning, annealing units, and the like), and are thus interchangeable.
  • an electroless Ru plating apparatus can be used as the barrier layer forming unit 111
  • an electroless copper plating apparatus can be used as the seed layer forming unit 112
  • an electroplating apparatus can be used as the plated film forming unit 113 .
  • a semiconductor substrate removed by the first robot 131 from a cassette 120 a placed on the loading/unloading unit 120 is placed in the first aligner and film thickness measuring unit 141 , in such a state that its surface, to be plated, faces upwardly.
  • notch alignment for film thickness measurement is performed, and then film thickness data of the semiconductor substrate before formation of a copper film is obtained.
  • the barrier layer forming unit 111 is such an apparatus for forming a barrier layer on the semiconductor substrate by electroless Ru plating, and the barrier layer forming unit 111 forms an Ru film as a film for preventing copper from diffusing into an interlayer insulator film (e.g. SiO 2 ) of a semiconductor device.
  • the semiconductor substrate discharged after cleaning and drying steps is transported by the first robot 131 to the first aligner and film thickness measuring unit 141 , where film thickness of the semiconductor substrate, i.e., film thickness of the barrier layer is measured.
  • the semiconductor substrate after film thickness measurement is carried into the seed layer forming unit 112 by the second robot 132 , and a seed layer 6 (see FIG. 1A) is formed on the barrier layer by electroless Cu plating.
  • the semiconductor substrate discharged after cleaning and drying steps is transported by the second robot 132 to the second aligner and film thickness measuring instrument 142 for determination of a notch position, before the semiconductor substrate is transported to the plated film forming unit 113 , and then notch alignment for copper plating is performed. If necessary, film thickness of the semiconductor substrate before formation of a copper film may be measured again by the second aligner and film thickness measuring instrument 142 .
  • the semiconductor substrate which has completed notch alignment is transported by the third robot 133 to the plated film forming unit 113 where copper plating is applied to the semiconductor substrate.
  • the semiconductor substrate discharged after cleaning and drying steps is transported by the third robot 133 to the bevel and backside cleaning unit 116 where an unnecessary copper film (seed layer) at a peripheral portion of the semiconductor substrate is removed.
  • the bevel and backside cleaning unit 116 the bevel is etched for a preset time, and copper adhering to a backside of the semiconductor substrate is cleaned with a chemical liquid such as hydrofluoric acid.
  • film thickness measurement of the semiconductor substrate may be made by the second aligner and film thickness measuring instrument 142 to obtain a thickness value of a copper film formed by plating, and based on obtained results, a bevel etching time may be changed arbitrarily to carry out etching.
  • a region etched by performing bevel etching is a region which corresponds to a peripheral edge portion of the substrate and has no circuit formed therein, or a region which is not utilized finally as a chip although a circuit is formed therein.
  • a bevelled portion is included in this region.
  • the semiconductor substrate discharged after cleaning and drying steps in the bevel and backside cleaning unit 116 is transported by the third robot 133 to the substrate reversing device 143 .
  • the semiconductor substrate is introduced into the annealing unit 114 by the fourth robot 134 for thereby stabilizing an interconnect portion.
  • the semiconductor substrate is carried into the second aligner and film thickness measuring unit 142 where film thickness of copper film 7 (see FIG. 1B) formed on the semiconductor substrate is measured.
  • the semiconductor substrate is carried by the fourth robot 134 into the first polishing apparatus 121 in which the copper film 7 and the seed layer 6 (see FIG. 1A) of the semiconductor substrate are polished.
  • the semiconductor substrate is transported by the fourth robot 134 to the first cleaning unit 115 where the substrate is cleaned.
  • This cleaning is scrub-cleaning in which rolls having substantially the same length as the diameter of the semiconductor substrate are placed on the face and the backside of the semiconductor substrate, and the semiconductor substrate and the rolls are rotated, while pure water or deionized water is flowed, thereby performing cleaning of the semiconductor substrate.
  • the semiconductor substrate is transported by the fourth robot 134 to the second polishing apparatus 122 where the barrier layer 5 on the semiconductor substrate is polished.
  • desired abrasive grains or the like are used, but a fixed abrasive may be used in order to prevent dishing and enhance flatness of a face of the semiconductor substrate.
  • the semiconductor substrate is transported by the fourth robot 134 again to the first cleaning unit 115 where scrub-cleaning is performed.
  • the semiconductor substrate is transported by the fourth robot 134 to the second substrate reversing device 144 where the semiconductor substrate is reversed to cause the plated surface to be directed upwardly, and then the semiconductor substrate is placed on the substrate temporary placing table 145 by the third robot.
  • the semiconductor substrate is transported by the second robot 132 from the substrate temporary placing table 145 to the cap plating unit 117 where nickel-boron plating (cap plating), for example, is applied onto surfaces of interconnects 8 for a purpose of preventing oxidation of copper due to exposure to the atmosphere.
  • the semiconductor substrate in which protective film 9 (see FIG. 1C) has been formed on surfaces of the interconnects 8 by cap plating to protect the interconnects 8 , is transferred by the second robot 132 to the third film thickness measuring device 146 where thickness of the copper film is measured. Thereafter, the semiconductor substrate is transferred by the first robot 131 to the second cleaning unit 118 where the substrate is cleaned with pure water or deionized water. The cleaned semiconductor substrate is returned to the cassette 120 a in the loading/unloading unit 120 .
  • Holes having a size of 0.5 ⁇ m ⁇ 0.5 ⁇ m depth were formed at a predetermined pitch in insulating film.
  • a surface was flattened by a CMP treatment to prepare a sample (semiconductor wafer) having a size of 3 cm ⁇ 4 cm (with 6-pattern formation).
  • the sample was subjected to electroless plating at a bath load of 200 ml/chip using a plating liquid having a composition shown in the following Table 1.
  • FIGS. 7A and 7B are diagrams of SEM photographs of the sample. As shown in the Figures, there is no formation of voids within copper 14 embedded in hole 12 formed in insulating layer 10 . Further, only a surface of the copper 14 , i.e. a surface of interconnects, is covered with protective film 16 of plated Co—W—B, without deposition of the Co—W—B film on a surface of the insulating layer 10 , indicating high selectivity of plating.
  • the same sample as used in the Example was prepared. This sample was first immersed in a solution of PdCl 2 (0.005 g/L)+HCl(0.2 ml/L) at 25° C. for one minute to impart a palladium catalyst to the sample. Next, the palladium-imparted sample was immersed in a plating liquid at 90° C. having a composition shown in the following Table 2, and electroless plating was performed at a bath load of 200 ml/chip.
  • FIGS. 8A and 8B are diagrams of SEM photographs of the sample. As shown in the Figures, a void V is formed within copper 14 embedded in hole 12 formed in insulating layer 10 . Further, not only a surface of the copper 14 , i.e. a surface of interconnects, is covered with protective film 16 of a plated Co—W—P alloy, but alloy film 16 a is deposited also onto a surface of the insulating layer 10 around the hole 12 , i.e. a region of unnecessary protection, thus indicating poor selectivity of plating.
  • an alkylamine borane free from sodium makes it possible to apply an oxidizing current to e.g. copper, a copper alloy, silver, or a silver alloy to thereby avoid a need for imparting a palladium catalyst, thus enabling direct electroless plating, and also to prevent contamination of a semiconductor device with an alkali metal.
  • This can reduce requisite process steps and increase throughput, prevent formation of voids in interconnects, thereby enhancing reliability, and avoid increase in interconnect resistance caused by palladium diffusion.
  • a plating liquid containing an alkylamine borane as a reducing agent enables selective plating only onto an interconnect region.

Abstract

The present invention relates to an electroless-plating liquid useful for forming a protective film for selectively protecting surface of exposed interconnects of a semiconductor device which has an embedded interconnect structure formed by an electric conductor, such as copper or silver, embedded in fine recesses for interconnects formed in a surface of a semiconductor substrate, and also to a semiconductor device in which surfaces of exposed interconnects are selectively protected with a protective film. The electroless-plating liquid contains cobalt ions, a complexing agent and a reducing agent containing no alkali metal.

Description

This application is a Divisional application of Ser. No. 09/880,005, filed Jun. 14, 2001, now U.S. Pat. No. 6,717,189.
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates to an electroless-plating liquid and a semiconductor device. More particularly, this invention relates to an electroless-plating liquid useful for forming a protective film for selectively protecting surfaces of exposed interconnects of a semiconductor device which has an embedded interconnect structure formed from an electric conductor, such as copper or silver, filled in fine recesses for interconnects formed in a surface of a substrate such as a semiconductor substrate. This invention also relates to a semiconductor device in which a surface of exposed interconnects is selectively protected with a protective film.
2. Description of the Related Art
As a process for forming interconnects in a semiconductor device, a so-called “damascene process”, which comprises filling trenches for interconnects and contact holes with a metal (electric conductor), is coming into practical use. According to this process, aluminum, or more recently, a metal such as copper or silver, is embedded into trenches for interconnects and contact holes previously formed in an interlevel dielectric of a semiconductor substrate. Thereafter, an extra metal is removed by chemical mechanical polishing (CMP) so as to flatten a surface of the substrate.
In a case of interconnects formed by such a process, embedded interconnects have an exposed surface after flattening processing. When an additional embedded interconnect structure is formed on such an interconnects-exposing surface of a semiconductor substrate, the following problems may be encountered. For example, during formation of a new SiO2 layer during a subsequent interlevel dielectric forming process, exposed surfaces of pre-formed interconnects are likely to be oxidized. Further, upon etching of the SiO2 layer for formation of via holes, the pre-formed interconnects exposed on bottoms of the via holes can be contaminated with an etchant, a peeled resist, or the like.
In order to avoid such problems, it has been conventional to form a protective film of SiN or the like, not only on a surface region of a semiconductor substrate where interconnects are exposed, but on an entire surface of the substrate, thereby preventing contamination of exposed interconnects with an etchant, or the like.
However, provision of a protective film of SiN or the like on the entire surface of a semiconductor substrate, in a semiconductor device having an embedded interconnect structure, increases a dielectric constant of an interlevel dielectric, thus inducing interconnect delaying even when a low-resistance material such as copper or silver is employed for interconnects whereby performance of the semiconductor device may be impaired.
In view of this, it has been proposed to selectively cover surfaces of exposed interconnects to protect the interconnects with an alloy film having good adhesion to an interconnect material such as copper or silver, and also having a low resistivity (ρ). The alloy film, for example, is obtained by performing electroless plating.
Provision of such a protective alloy film by performing electroless plating, however, has the following problems associated with sodium hypophosphite, which is generally used as a reducing agent during electroless plating:
{circle around (1)} Inclusion of sodium in the reducing agent can cause alkali-metal contamination of the semiconductor device.
{circle around (2)} When sodium hypophosphite is used as a reducing agent, it is not possible to apply an oxidizing electric current to copper or the like. This necessitates imparting a palladium catalyst to copper or the like, thus increasing an amount of process steps and decreasing throughput.
{circle around (3)} Impartment of a palladium catalyst to copper or the like, in principle, substitutes underlying interconnects of copper or the like by palladium, and causes formation of voids in the interconnects, thus lowering reliability of the interconnects.
{circle around (4)} Since palladium diffuses into copper or the like, impartment of a palladium catalyst increases electric resistance of the interconnects.
{circle around (5)} Besides on an interconnect formed region, plated film is likely to be deposited also on an insulating film, thereby making it difficult to perform intended selective plating.
SUMMARY OF THE INVENTION
The present invention has been made in view of the above drawbacks in the related art. It is therefore an object of the present invention to provide an electroless-plating liquid which can form a plated film (protective film) that selectively covers only surfaces of interconnects and protects exposed interconnects, without causing any alkali-metal contamination or formation of voids in the interconnects, and to provide a semiconductor device in which the exposed interconnects are selectively protected with a protective film.
In order to achieve the above object, the present invention provides an electroless-plating liquid for selectively forming a plated film on a surface of an exposed interconnect of a semiconductor device having an embedded interconnect structure, with the electroless-plating liquid comprising cobalt ions, a complexing agent, and a reducing agent free from alkali metal.
Use of the reducing agent free from alkali metal can prevent contamination of a semiconductor device with an alkali metal.
An alkylamine borane may be used as the reducing agent free from alkali metal. Use of such a reducing agent makes it possible to apply an oxidizing electric current to copper or a copper alloy, or to silver or a silver alloy, thus enabling a direct electroless plating. Further, use of an alkylamine borane, which is free from sodium, can prevent contamination of a semiconductor device with an alkali metal and, in addition, makes it possible to perform electroless plating without using a palladium catalyst.
Specific examples of the alkylamine borane include dimethylamine borane, diethylamine borane and trimethylamine borane.
The electroless-plating liquid may further contain at least one of a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and a surfactant.
It is preferred that a pH of the electroless-plating liquid be adjusted to be within a range from 5 to 14 using a pH adjusting agent free from alkali metal. Use of an alkali metal-free pH adjusting agent, such as ammonia water or quaternary ammonium hydroxide, can keep the plating liquid free from sodium. The plating liquid preferably has a pH from 6 to 10.
The present invention also provides an electroless-plating liquid for selectively forming a plated film on a surface of an exposed interconnect of a semiconductor device having an embedded interconnect structure, with the electroless-plating liquid comprising cobalt ions, a complexing agent, a compound containing a refractory metal and a reducing agent free from alkali metal.
At least one of tungsten and molybdenum may be employed as the refractory metal. The reducing agent may be an alkylamine borane. By using such compounds, the electroless-plating liquid provides a protective film of a Co—W—B alloy, a Co—Mo—B alloy or a Co—Mo—W—B alloy to cover surfaces of exposed interconnects.
The present invention also provides a semiconductor device having an embedded interconnect structure of copper, copper alloy, silver or silver alloy interconnects, wherein a surface of an exposed interconnect is selectively covered with a protective film, with the protective film being formed by performing an electroless-plating process with use of an electroless-plating liquid that comprises cobalt ions, a complexing agent, and a reducing agent free from alkali metal.
By thus selectively covering surfaces of the interconnects and protecting the interconnects with the protective film of an alloy that has a high adhesion to silver or copper and has a low resistivity (ρ), increase in a dielectric constant of an interlevel dielectric of a semiconductor device having an embedded interconnect structure can be suppressed. Further, use of a low-resistance material as an interconnect material, such as silver or copper, can result in speedup and densification of a semiconductor.
The present invention also provides a semiconductor device having an embedded interconnect structure, wherein a surface of an exposed interconnect is selectively covered with a protective film of a metal comprising cobalt. The film preferably has a thickness within a range from 0.1 to 500 nm.
The present invention further provides a semiconductor device having an embedded interconnect structure, wherein a surface of an exposed interconnect is selectively covered with a protective film of an alloy comprising cobalt and a refractory metal. The refractory metal may preferably be at least one of tungsten and molybdenum.
Examples of the alloy include Co—B alloy, Co—P alloy, Co—W—B alloy, CO—W—P alloy, Co—Mo—B alloy, Co—Mo—P alloy, Co—W—Mo—B alloy, Co—W—Mo—P alloy, Co—Ti—B alloy, Co—Ti—P alloy, Co—Ta—B alloy, Co—Ta—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ti—W—B alloy, Co—Ti—W—P alloy, Co—Ti—Mo—B alloy, Co—Ti—Mo—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ta—W—B alloy, Co—Ta—W—P alloy, Co—Ta—Mo—B alloy, Co—Ta—Mo—P alloy, Co—Ti—W—Mo—B alloy, Co—Ti—W—Mo—P alloy, Co—Ta—W—Mo—B alloy, Co—Ta—W—Mo—P alloy, Co—Ti—Ta—W—Mo—B alloy and Co—Ti—Ta—W—Mo—P alloy.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A through 1C illustrate, in a sequence of process steps, an example of forming copper interconnects in a semiconductor device in accordance with the present invention;
FIG. 2 is a schematic view of an example of an electroless-plating device;
FIG. 3 is a schematic view of another example of an electroless-plating device;
FIG. 4 is a plan view of an example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention;
FIG. 5 is a plan view of another example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention;
FIG. 6 is a plan view of yet another example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention;
FIGS. 7A and 7B are diagrams of SEM photographs of a test sample obtained in an example; and
FIGS. 8A and 8B are diagrams of SEM photographs of a test sample obtained in a comparative example.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
Preferred embodiments of the present invention will now be described with reference to the drawings.
FIGS. 1A through 1C illustrate, in a sequence of process steps, an example of forming copper interconnects in a semiconductor device of the present invention. As shown in FIG. 1A, an insulating film 2 of SiO2 is deposited on a conductive layer 1 a formed on a semiconductor base 1 bearing semiconductor devices. A contact hole 3 and a trench 4 for interconnects are formed in the insulating film 2 by performing a lithography/etching technique. Thereafter, a barrier layer 5 of TaN or the like is formed on exposed surfaces of the conductive layer 1 a and insulating film 2, and a copper seed layer 6 as an electric supply layer for electroplating is formed on the barrier layer 5 by performing sputtering or the like.
Then, as shown in FIG. 1B, copper plating is performed on to fill the contact hole 3 and the trench 4 with copper and, at the same time, deposit a copper film 7 on the insulating film 2. Thereafter, the copper film 7 on the insulating layer 2 is removed by performing chemical mechanical polishing (CMP) so as to make a surface of the copper filled in the contact hole 3 and the trench 4 and a surface of the insulating film 2 lie substantially in the same plane. Interconnects 8 composed of the copper seed layer 6 and the copper, as shown in FIG. 1C, are thus formed in the insulating layer 2.
Next, electroless plating is performed to selectively form a protective film 9 composed of an alloy film on exposed surfaces of the interconnects 8, thereby protecting the interconnects 8. Thickness of the protective film 9 is generally 0.1-500 nm, preferably 1-200 nm, more preferably 10-100 nm.
The protective film 9 is formed, for example, by using a plating liquid containing cobalt ions, a complexing agent, a pH buffer, a pH adjusting agent and an alkylamine borane as a reducing agent, or a plating liquid further containing a refractory (high-melting point) metal such as tungsten or molybdenum, and dipping the semiconductor substrate W in the plating liquid.
If desired, the plating liquid may further contain at least one of a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and a surfactant. Further, the plating liquid is adjusted within a pH range of preferably 5-14, more preferably 6-10, by using a pH adjusting agent such as ammonia water or quaternary ammonium hydroxide. Temperature of the plating liquid is generally in a range of 30-90° C., preferably 40-80° C.
The protection of the interconnects 8 by provision of the protective film 9 can prevent, in forming thereon an additional embedded interconnect structure, oxidation of surfaces of the interconnects during formation of a new SiO2 layer at a subsequent interlevel dielectric forming process and contamination of the interconnects with an etchant, a peeled resist or the like upon etching of the SiO2 layer.
Use of the plating liquid containing cobalt ions, a complexing agent, a pH buffer, a pH adjusting agent and an alkylamine borane as a reducing agent, provides a protective film 9 of a Co—B alloy. Use of the plating liquid further containing a refractory metal, such as tungsten or molybdenum, provides a protective film 9 of a Co—W—B alloy, Co—Mo—B alloy or Co—Mo—W—B alloy.
By selectively covering the surfaces of the interconnects 8 and protecting the interconnects 8 with the protective film 9 of such an alloy that has a high adhesion to copper as an interconnect material and has a low resistivity (ρ), increase in a dielectric constant of an interlevel dielectric of a semiconductor device having an embedded interconnect structure can be suppressed. Further, use of copper as an interconnect material, which is a low-resistance material, can result in speedup and densification of the semiconductor.
Though this example shows use of copper as an interconnect material, a copper alloy, silver or a silver alloy may also be used.
The cobalt ions contained in the plating liquid may be supplied from a cobalt salt, for example, cobalt sulfate, cobalt chloride or cobalt acetate. An amount of the cobalt ions is generally in a range of 0.001-1 mol/L, preferably 0.01-0.3 mol/L.
Specific examples of the complexing agent may include carboxylic acids, such as acetic acid, and their salts; oxycarboxylic acids, such as tartaric acid and citric acid, and their salts; and aminocarboxylic acids, such as glycine, and their salts. These compounds may be used either singly or as a mixture of two or more. A total amount of the complexing agent is generally 0.001-1.5 mol/L, preferably 0.01-1.0 mol/L.
Regarding the pH buffer, any buffer may be used that does not contain sodium or any other alkali metal. Ammonium sulfate, ammonium chloride and boric acid are mentioned as specific examples. The pH buffer can be used generally in an amount of 0.01-1.5 mol/L, preferably 0.1-1 mol/L.
Regarding the pH adjusting agent, any agent may be used that does not contain sodium or any other alkali metal. Ammonia water and tetramethylammonium hydroxide (TMAH) are mentioned as specific examples. By using the pH adjusting agent, pH of the plating liquid is adjusted generally within a range of 5-14, preferably 6-10.
The reducing agent likewise should not contain sodium or any other alkali metal. An alkylamine borane is preferably used. As alkylamine boranes, dimethylamine borane (DMAB) and diethylamine borane, are mentioned. The reducing agent is used generally in an amount of 0.01-1 mol/L, preferably 0.01-0.5 mol/L.
Examples of compounds containing a refractory metal include tangstic acid, molybdic acid and their salts; and heteropoly acids, such as tangstophosphoric acid (e.g. H3(PW12 P40)üEnH2O), and their salts. Ti or Ta may also be used when formation of the protective film is not by performing electroless plating. A compound containing a refractory metal point is used generally in an amount of 0.001-1 mol/L, preferably 0.01-0.1 mol/L. Examples of cobalt/refractory metal alloys include Co—B alloy, Co—P alloy, Co—W—B alloy, Co—W—P alloy, Co—Mo—B alloy, Co—Mo—P alloy, Co—W—Mo—B alloy, Co—W—Mo—P alloy, Co—Ti—B alloy, Co—Ti—P alloy, Co—Ta—B alloy, Co—Ta—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ti—W—B alloy, Co—Ti—W—P alloy, Co—Ti—Mo—B alloy, Co—Ti—Mo—P alloy, Co—Ti—Ta—B alloy, Co—Ti—Ta—P alloy, Co—Ta—W—B alloy, Co—Ta—W—P alloy, Co—Ta—Mo—B alloy, Co—Ta—Mo—P alloy, Co—Ti—W—Mo—B alloy, Co—Ti—W—Mo—P alloy, Co—Ta—W—Mo—B alloy, Co—Ta—W—Mo—P alloy, Co—Ti—Ta—W—Mo—B alloy and Co—Ti—Ta—W—Mo—P alloy. Of these, alloys containing tungsten and/or molybdenum are especially preferred for use in the electroless plating according to the present invention. Alloys containing boran or phosphor are usable insofar as they contain no alkali metal. Alloys containing Ti or Ta may be used in a process other than electroless plating.
Besides the above described compounds, other known additives may be added to the plating liquid. Examples of usable additives include a bath stabilizer, which may be a heavy metal compound such as a lead compound, a sulfur compound such as a thiocyanate, or a mixture thereof, and a surfactant of an anionic, cationic or nonionic type.
As described above, it is preferred to use as a reducing agent an alkylamine borane free from sodium. Use of an alkylamine borone makes it possible to apply an oxidizing current to copper, a copper alloy, silver, or a silver alloy to thereby avoid need for imparting a palladium catalyst, thus enabling a direct electroless plating, and also to prevent contamination of a semiconductor device with an alkali metal. Thus, an electroless-plating liquid, which utilizes an alkylamine borane as a reducing agent, makes it possible to carry out electroless plating by immersing a surface of a semiconductor device W in the plating liquid, without imparting a palladium catalyst. This can reduce requisite process steps and increase throughput, prevent formation of voids in copper interconnects that would otherwise be caused by palladium replacement, and avoid increase in interconnect resistance that would be caused by palladium diffusion.
Further, it has been found that when electroless plating is performed by using a plating liquid containing the alkylamine borane as a reducing agent, a plating film is deposited selectively onto copper or silver. This enables a selective plating only onto interconnect regions.
FIG. 2 is a schematic constitution drawing of an electroless plating apparatus. As shown in FIG. 2, this electroless plating apparatus comprises a holding device 11 for holding a semiconductor substrate W on its upper surface, a dam member (plating liquid holding mechanism) 31 for contacting a peripheral edge portion of a surface to be plated (upper surface) of the semiconductor substrate W held by the holding device 11 to seal the peripheral edge portion, and a shower head (an electroless plating liquid (scattering) supply device) 41 for supplying a plating liquid (an electroless plating liquid) to the surface, to be plated, of the semiconductor substrate W having the peripheral edge portion sealed with the dam member 31. The electroless plating apparatus further comprises a cleaning liquid supply device 51 disposed near an upper outer periphery of the holding device 11 for supplying a cleaning liquid to the surface, to be plated, of the semiconductor substrate W, a recovery vessel 61 for recovering discharged cleaning liquid or the like (plating waste liquid), a plating liquid recovery nozzle 65 for sucking in and recovering plating liquid held on the semiconductor substrate W, and a motor (rotational drive device) M for rotationally driving the holding device 11.
The holding device 11 has a substrate placing portion 13 on its upper surface for receiving and holding the semiconductor substrate W. The substrate placing portion 13 is adapted to receive and fix the semiconductor substrate W. Specifically, the substrate placing portion 13 has a vacuum attracting mechanism (not shown) for attracting the semiconductor substrate W on a backside thereof by vacuum suction. A backside heater (heating device) 15, which is planar and heats the surface, to be plated, of the semiconductor substrate W from underneath to keep it warm, is installed on a backside of the substrate placing portion 13. The backside heater 15 is composed of, for example, a rubber heater. The holding device 11 is adapted to be rotated by the motor M and is movable vertically by a lifting device (not shown).
The dam member 31 is tubular, has a seal portion 33 provided at a lower portion thereof for sealing the outer peripheral edge of the semiconductor substrate W, and is installed so as not to move vertically from its illustrated position.
The shower head 41 is of a structure having many nozzles provided at a front end thereof for scattering supplied plating liquid in a shower form and supplying the liquid substantially uniformly to the surface, to be plated, of the semiconductor substrate W. The cleaning liquid supply device 51 has a structure for ejecting a cleaning liquid from a nozzle 53.
The plating liquid recovery nozzle 65 is adapted to be movable upwardly and downwardly and is swingable, and a front end of the plating liquid recovery nozzle 65 is adapted to be lowered inwardly of the dam member 31 located on the upper surface peripheral edge portion of the semiconductor substrate W so as to suck in plating liquid on the semiconductor substrate W.
Next, operation of the electroless plating apparatus will be described. First, the holding device 11 is lowered from its illustrated state to provide a gap of a predetermined dimension between the holding device 11 and the dam member 31, and a semiconductor substrate W is placed on and fixed to the substrate placing portion 13. An 8 inch wafer, for example, is used as the semiconductor substrate W.
Then, the holding device 11 is raised to bring its upper surface into contact with a lower surface of the dam member 31 as illustrated in FIG. 2, and an outer periphery of the semiconductor substrate W is sealed with the seal portion 33 of the dam member 31. At this time, a surface of the semiconductor substrate W is in an open state.
Then, the semiconductor substrate W itself is directly heated by the backside heater 15, while plating liquid is ejected from the shower head 41 to pour the plating liquid over substantially an entire surface of the semiconductor substrate W. Since the surface of the semiconductor substrate W is surrounded by the dam member 31, supplied plating liquid in its entirety is held on the surface of the semiconductor substrate W. An amount of the supplied plating liquid may be small so as to become 1 mm thick (about 30 ml) on the surface of the semiconductor substrate W. Thickness of plating liquid held on the surface to be plated may be 10 mm or less, and may even be 1 mm as in this embodiment. If a small amount of the supplied plating liquid is sufficient, the heating apparatus for heating the plating liquid may be of a small size.
If the semiconductor substrate W itself is adapted to be heated, temperature of the plating liquid, requiring great power consumption for being heated, need not be raised so high. This is preferred, because power consumption can be decreased, and a change in properties of the plating liquid can be prevented. Power consumption for heating of the semiconductor substrate W itself may be small, and the amount of the plating liquid stored on the semiconductor substrate W is also small. Thus, heat retention of the semiconductor substrate W by the backside heater 15 can be performed easily, and capacity of the backside heater 15 may be small, and the apparatus can be made compact. If a device for directly cooling the semiconductor substrate W itself is used, switching between heating and cooling may be performed during plating to change plating conditions. Since the plating liquid held on the semiconductor substrate is of a small amount, temperature control can be performed with good sensitivity.
The semiconductor substrate W is instantaneously rotated by the motor M to perform uniform liquid wetting of the surface to be plated, and then plating of the surface to be plated is performed in such a state that the semiconductor substrate W is in a stationary state. Specifically, the semiconductor substrate W is rotated at 100 rpm or less for only 1 second to uniformly wet the surface, to be plated, of the semiconductor substrate W with the plating liquid. Then, the semiconductor substrate W is kept stationary, and electroless plating is performed for 1 minute. The instantaneous rotating time is at most 10 seconds or less.
After completion of the plating, the front end of the plating liquid recovery nozzle 65 is lowered to an area near an inside of the dam member 31 on the peripheral edge portion of the semiconductor substrate W to suck in plating liquid. At this time, if the semiconductor substrate W is rotated at a rotational speed of, for example, 100 rpm or less, the plating liquid remaining on the semiconductor substrate W can be gathered at a portion of the dam member 31 on the peripheral edge portion of the semiconductor substrate W via centrifugal force, so that recovery of the plating liquid can be performed with good efficiency and a high recovery rate. The holding device 11 is lowered to separate the semiconductor substrate W from the dam member 31. The semiconductor substrate W is started to be rotated, and cleaning liquid (ultrapure water) is jetted at the plated surface of the semiconductor substrate W from the nozzle 53 of the cleaning liquid supply device 51 to cool the plated surface, and simultaneously perform dilution and cleaning, thereby stopping an electroless plating reaction. At this time, the cleaning liquid jetted from the nozzle 53 may be supplied to the dam member 31 to perform cleaning of the dam member 31. Plating waste liquid at this time is recovered into the recovery vessel 61 and discarded.
Plating liquid once used is not reused, and is discarded. As stated above, the amount of the plating liquid used in this apparatus can be made very small, compared with that in the prior art. Thus, the amount of the plating liquid which is discarded is small, even without reuse. In some cases, the plating liquid recovery nozzle 65 may not be installed, and plating liquid which has been used may be recovered as a plating waste liquid into the recovery vessel 61, together with the cleaning liquid.
Then, the semiconductor substrate W is rotated at a high speed by the motor M for spin-drying, and then the semiconductor substrate W is removed from the holding device 11.
FIG. 3 is a schematic constitution drawing of another electroless plating apparatus. The embodiment of FIG. 3 is different from the aforementioned electroless plating apparatus shown in FIG. 2 in that instead of providing the backside heater 15 in the holding device 11, lamp heaters (heating devices) 17 are disposed above holding device 11, and the lamp heaters 17 and a shower head 41-2 are integrated. For example, a plurality of ring-shaped lamp heaters 17 having different radii are provided concentrically, and many nozzles 43-2 of the shower head 41-2 are open in a ring form from gaps between the lamp heaters 17. The lamp heaters 17 may be composed of a single spiral lamp heater, or may be composed of other lamp heaters of various structures and arrangements.
Even with this constitution, plating liquid can be supplied from each nozzle 43-2 to a surface, to be plated, of a semiconductor substrate W substantially uniformly in a shower form. Further, heating and heat retention of the semiconductor substrate W can be uniformly performed directly by the lamp heaters 17. The lamp heaters 17 heat not only the semiconductor substrate W and the plating liquid, but also ambient air, thus exhibiting a heat retention effect on the semiconductor substrate W.
Direct heating of the semiconductor substrate W by the lamp heaters 17 requires lamp heaters of relatively large power consumption. In place of such lamp heaters, lamp heaters with relatively small power consumption and the backside heater 15 shown in FIG. 2 may be used in combination to heat the semiconductor substrate W mainly with the backside heater and to perform heat retention of the plating liquid and ambient air mainly by the lamp heaters. In the same manner as in the aforementioned embodiment, a device for directly or indirectly cooling the semiconductor substrate W may be provided to perform temperature control.
FIG. 4 is a plan view of an example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention. The semiconductor producing apparatus includes a loading/unloading section 201 housing cassettes 201-1, a first plating device 202, a first robot 203, reversing devices 205 and 206, a second cleaning device 207, a second robot 208, a first cleaning device 209, a second plating device 227, a first polishing device 210 and a second polishing device 211. Further, in the vicinity of the first robot 203, a before/after plating-film thickness-measuring device 212 for measuring thickness of a plated film before and after plating, and a dry state film thickness-measuring device 213 for measuring thickness of a dry-state film on the semiconductor substrate W after polishing, are provided.
The first polishing device 210 has a polishing table 210-1, a top ring 210-2, a top ring head 210-3, a film thickness-measuring device 210-4 and a pusher 210-5. The second polishing device 211 has a polishing table 211-1, a top ring 211-2, a top ring head 211-3, a film thickness-measuring device 211-4 and a pusher 211-5.
Process steps performed by the apparatus will now be described.
First, a cassette 201-1 housing semiconductor substrates W, on each of which is formed a copper seed layer 6 (see FIG. 1A), is placed on a loading port in the loading/unloading section 201. A semiconductor substrate is removed by the first robot 203, and a copper film 7 (see FIG. 1B) is formed by the first plating device 202. Formation of the copper film 7 is performed by carrying out hydrophilic treatment of a face of the semiconductor substrate W, and then performing copper plating. Then, rinsing or cleaning is performed. If there is some time to spare, drying may be performed. When the semiconductor substrate W is removed by the first robot 203, film thickness of the plated copper film 7 is measured with the before/after plating-film thickness-measuring instrument 212. Results of this measurement are recorded as record data of the semiconductor substrate W and are also used for judgment of an abnormality of the first plating-device 202. After measurement of the film thickness, the first robot 203 transfers the semiconductor substrate W to the reversing device 205 by which the semiconductor substrate W is turned over.
Then, the second robot 208 picks up the semiconductor substrate W from the reversing device 205, and places the substrate on pusher 210-5 or 211-5. Then, top ring 210-2 or 211-2 holds the semiconductor substrate W by suction, transfers the substrate onto polishing table 210-1 or 211-1, and presses the substrate against a polishing surface on the polishing table 210-1 or 211-1 to perform polishing.
After completion of polishing, the top ring 210-2 or 211-2 returns the semiconductor substrate W to the pusher 210-5 or 211-5. The second robot 208 picks up the semiconductor substrate W, and carries the substrate into the first cleaning device 209. At this time, a chemical liquid may be ejected toward the face and backside of the semiconductor substrate W to, remove particles therefrom or make it difficult for particles to adhere thereto.
In the first cleaning device 209, the face and the backside of the semiconductor substrate W are scrubbed and cleaned. The face of the semiconductor substrate W is scrubbed and cleaned, mainly for removal of particles, with a PVA roll sponge using cleaning water comprising pure water to which a surface active agent, a chelating agent, or a pH adjusting agent is added. A strong chemical liquid such as DHF is ejected toward the backside of the semiconductor substrate W to etch diffused copper. If there is no problem of copper diffusion, the backside of the semiconductor substrate W is scrubbed and cleaned with a PVA roll sponge using the same chemical liquid as that for the face.
After cleaning, the second robot 208 picks up the semiconductor substrate W, and transfers the substrate to the reversing device 206 where the semiconductor substrate W is reversed. The second robot 208 again picks up the semiconductor substrate W and transports the substrate to the second plating device 227 which is constituted, for example, by the electroless-plating device as shown in FIG. 2 or FIG. 3. In the second plating device 227, a surface of the semiconductor substrate W is immersed in a plating liquid, e.g. the above described electroless-plating liquid, and a protective film 9 of an alloy is selectively formed on exposed surfaces of interconnects 8 to protect the interconnects 8 (see FIG. 1C). Thereafter, the second robot 208 picks up the semiconductor substrate W, transfers the substrate to the reversing device 206, where the semiconductor substrate W is reversed, and then transfers the substrate to the second cleaning device 207. In the second cleaning device 207, megasonic water, to which ultrasonic vibrations are applied, is ejected toward a face of the semiconductor substrate W to clean the face. At this time, the face may be cleaned with a pencil type sponge using a cleaning liquid comprising pure water to which a surface active agent, a chelating agent, or a pH adjusting agent is added. Thereafter, the semiconductor substrate W is dried by spin-drying.
Then, the second robot 208 picks up the semiconductor substrate W, and transfers the substrate to the reversing device 206 as it is. The first robot 203 removes the semiconductor substrate W from the reversing device 206. In a case where film thickness has been measured with film thickness measuring instrument 210-4 or 211-4 provided near the polishing table 210-1 or 211-1 the semiconductor substrate W is received by cassette 201-1 placed in an unload port of the loading/unloading section 201. In a case where film thicknesses of multilayer films are to be measured, measurement in a dry state needs to be performed. Thus, film thickness is measured once with the dry state film thickness-measuring instrument 213.
FIG. 5 is a plan view of another example of a semiconductor producing apparatus for producing a semiconductor device in accordance with the present invention. As with the substrate-processing apparatus of FIG. 4, this semiconductor producing apparatus performs substrate processing comprising steps of forming copper film 7 on semiconductor substrate W having thereon seed layer 6, polishing the substrate, and selectively forming protective film 9 on interconnects 8, thereby providing a circuit interconnection in which the interconnects 8 are selectively protected with the protective film 9.
In the present semiconductor producing apparatus, a pusher indexer 225 is disposed close to first polishing apparatus 210 and second polishing apparatus 211, substrate placing tables 221, 222 are disposed close to second cleaning device 207 and second plating device 227, respectively, and a robot 223 (hereinafter referred to as second robot 223) is disposed close to the second plating device 227 and first plating device 202. Further, a robot 224 (hereinafter referred to as third robot 224) is disposed close to first cleaning device 209 and the second cleaning device 207, and dry state film thickness-measuring instrument 213 is disposed close to loading/unloading section 201 and first robot 203.
The first robot 203 removes a semiconductor substrate W having a seed layer 6 thereon from a cassette 201-1 placed on a load port of the loading/unloading section 201, and places the substrate on the substrate placing table 221. Then, the second robot 223 transports the semiconductor substrate W to the first plating device 202 where a copper film 7 (see FIG. 1B) is formed. The second robot 223 transfers the semiconductor substrate having the copper film 7 formed thereon which is to be measured by the before/after plating-film thickness-measuring instrument 212. After measurement of the film thickness, the semiconductor substrate is carried to and placed on the pusher indexer 225.
Top ring 210-2 or 211-2 holds the semiconductor substrate W on the pusher indexer 225 by suction, and transfers the substrate to polishing table 210-1 or 211-1 to perform polishing. After polishing, the top ring 210-2 or 211-2 transfers the semiconductor substrate W to film thickness measuring instrument 210-4 or 211-4 to measure film thickness. Then, the top ring 210-2 or 211-2 transfers the semiconductor substrate W to the pusher indexer 225, and places the substrate thereon.
Then, the third robot 224 picks up the semiconductor substrate W from the pusher indexer 225, and carries the substrate into the first cleaning device 209. After cleaning in the first cleaning unit 209, the third robot 224 picks up the cleaned semiconductor substrate W, and carries the substrate into the second plating device 227 where protective film 9 is selectively formed on surfaces of interconnects 8 by e.g. electroless plating, thereby protecting the interconnects 8 (see FIG. 1C). Thereafter, the third robot 224 carries the semiconductor substrate W into the second cleaning device 207 for cleaning and drying, and places the cleaned semiconductor substrate W on the substrate placing table 222. Next, the first robot 203 picks up the semiconductor substrate W and carries it to the dry state film thickness-measuring instrument 213 where film thickness is measured, and then puts the substrate into a cassette 201-1 placed on an unload port in the loading/unloading section 201.
FIG. 6 is a plan view of yet another example of a semiconductor producing apparatus for producing a semiconductor device in according with the present invention. In the present semiconductor producing apparatus, there are provided a barrier layer forming unit 111, a seed layer forming unit 112, a plated film forming unit 113, an annealing unit 114, a first cleaning unit 115, a bevel and backside cleaning unit 116, a cap plating unit 117 having e.g. an electroless-plating device shown in FIG. 2 or FIG. 3, a second cleaning unit 118, a first aligner and film thickness measuring instrument 141, a second aligner and film thickness measuring instrument 142, a first substrate reversing device 143, a second substrate reversing device 144, a substrate temporary placing table 145, a third film thickness measuring instrument 146, a loading/unloading unit 120, a first polishing apparatus 121, a second polishing apparatus 122, a first robot 131, a second robot 132, a third robot 133, and a fourth robot 134. The film thickness measuring instruments 141, 142, and 146 are units that have the same frontage dimension as that of other units (plating, cleaning, annealing units, and the like), and are thus interchangeable.
In this embodiment, an electroless Ru plating apparatus can be used as the barrier layer forming unit 111, an electroless copper plating apparatus can be used as the seed layer forming unit 112, and an electroplating apparatus can be used as the plated film forming unit 113.
Process steps performed by this apparatus will now be described.
First, a semiconductor substrate removed by the first robot 131 from a cassette 120 a placed on the loading/unloading unit 120 is placed in the first aligner and film thickness measuring unit 141, in such a state that its surface, to be plated, faces upwardly. In order to set a reference point for a position at which film thickness measurement is made, notch alignment for film thickness measurement is performed, and then film thickness data of the semiconductor substrate before formation of a copper film is obtained.
Then, the semiconductor substrate is transported to the barrier layer forming unit 111 by the first robot 131. The barrier layer forming unit 111 is such an apparatus for forming a barrier layer on the semiconductor substrate by electroless Ru plating, and the barrier layer forming unit 111 forms an Ru film as a film for preventing copper from diffusing into an interlayer insulator film (e.g. SiO2) of a semiconductor device. The semiconductor substrate discharged after cleaning and drying steps is transported by the first robot 131 to the first aligner and film thickness measuring unit 141, where film thickness of the semiconductor substrate, i.e., film thickness of the barrier layer is measured.
The semiconductor substrate after film thickness measurement is carried into the seed layer forming unit 112 by the second robot 132, and a seed layer 6 (see FIG. 1A) is formed on the barrier layer by electroless Cu plating. The semiconductor substrate discharged after cleaning and drying steps is transported by the second robot 132 to the second aligner and film thickness measuring instrument 142 for determination of a notch position, before the semiconductor substrate is transported to the plated film forming unit 113, and then notch alignment for copper plating is performed. If necessary, film thickness of the semiconductor substrate before formation of a copper film may be measured again by the second aligner and film thickness measuring instrument 142.
The semiconductor substrate which has completed notch alignment is transported by the third robot 133 to the plated film forming unit 113 where copper plating is applied to the semiconductor substrate. The semiconductor substrate discharged after cleaning and drying steps is transported by the third robot 133 to the bevel and backside cleaning unit 116 where an unnecessary copper film (seed layer) at a peripheral portion of the semiconductor substrate is removed. In the bevel and backside cleaning unit 116, the bevel is etched for a preset time, and copper adhering to a backside of the semiconductor substrate is cleaned with a chemical liquid such as hydrofluoric acid. At this time, before transporting the semiconductor substrate to the bevel and backside cleaning unit 116, film thickness measurement of the semiconductor substrate may be made by the second aligner and film thickness measuring instrument 142 to obtain a thickness value of a copper film formed by plating, and based on obtained results, a bevel etching time may be changed arbitrarily to carry out etching. A region etched by performing bevel etching is a region which corresponds to a peripheral edge portion of the substrate and has no circuit formed therein, or a region which is not utilized finally as a chip although a circuit is formed therein. A bevelled portion is included in this region.
The semiconductor substrate discharged after cleaning and drying steps in the bevel and backside cleaning unit 116 is transported by the third robot 133 to the substrate reversing device 143. After the semiconductor substrate is turned over by the substrate reversing device 143 to cause the plated surface to be directed downwardly, the semiconductor substrate is introduced into the annealing unit 114 by the fourth robot 134 for thereby stabilizing an interconnect portion. Before and/or after performing an annealing treatment, the semiconductor substrate is carried into the second aligner and film thickness measuring unit 142 where film thickness of copper film 7 (see FIG. 1B) formed on the semiconductor substrate is measured. Then, the semiconductor substrate is carried by the fourth robot 134 into the first polishing apparatus 121 in which the copper film 7 and the seed layer 6 (see FIG. 1A) of the semiconductor substrate are polished.
At this time, desired abrasive grains or the like are used, but a fixed abrasive may be used in order to prevent dishing and enhance flatness of a face of the semiconductor substrate. After completion of this primary polishing, the semiconductor substrate is transported by the fourth robot 134 to the first cleaning unit 115 where the substrate is cleaned. This cleaning is scrub-cleaning in which rolls having substantially the same length as the diameter of the semiconductor substrate are placed on the face and the backside of the semiconductor substrate, and the semiconductor substrate and the rolls are rotated, while pure water or deionized water is flowed, thereby performing cleaning of the semiconductor substrate.
After completion of this primary cleaning, the semiconductor substrate is transported by the fourth robot 134 to the second polishing apparatus 122 where the barrier layer 5 on the semiconductor substrate is polished. At this time, desired abrasive grains or the like are used, but a fixed abrasive may be used in order to prevent dishing and enhance flatness of a face of the semiconductor substrate. After completion of this secondary polishing, the semiconductor substrate is transported by the fourth robot 134 again to the first cleaning unit 115 where scrub-cleaning is performed. After completion of this cleaning, the semiconductor substrate is transported by the fourth robot 134 to the second substrate reversing device 144 where the semiconductor substrate is reversed to cause the plated surface to be directed upwardly, and then the semiconductor substrate is placed on the substrate temporary placing table 145 by the third robot.
The semiconductor substrate is transported by the second robot 132 from the substrate temporary placing table 145 to the cap plating unit 117 where nickel-boron plating (cap plating), for example, is applied onto surfaces of interconnects 8 for a purpose of preventing oxidation of copper due to exposure to the atmosphere. The semiconductor substrate, in which protective film 9 (see FIG. 1C) has been formed on surfaces of the interconnects 8 by cap plating to protect the interconnects 8, is transferred by the second robot 132 to the third film thickness measuring device 146 where thickness of the copper film is measured. Thereafter, the semiconductor substrate is transferred by the first robot 131 to the second cleaning unit 118 where the substrate is cleaned with pure water or deionized water. The cleaned semiconductor substrate is returned to the cassette 120 a in the loading/unloading unit 120.
EXAMPLE
Holes having a size of 0.5 μm×0.5 μm depth (aspect ratio: 1.0) were formed at a predetermined pitch in insulating film. After filling the holes with copper, a surface was flattened by a CMP treatment to prepare a sample (semiconductor wafer) having a size of 3 cm×4 cm (with 6-pattern formation). The sample was subjected to electroless plating at a bath load of 200 ml/chip using a plating liquid having a composition shown in the following Table 1.
TABLE 1
CoSO4.7H2O 28.1 g/L
L-tartaric acid 82.5 g/L
(NH4)2SO4 39.6 g/L
DMAB 1.5 g/L
TMAH 455 ml/L
H2WO4 5.0 g/L
PH 9.0
Temperature 80° C.
After completion of electroless plating, the sample was washed and dried. When the sample was observed under an SEM, it was found that a plated Co—W—B film grew selectively in a pattern formation region. A growth rate of plated film was about 100 nm/min; and the plated film was analyzed as follows:
Co: about 98.4 atomic %, W: about 1.0 atomic %, B: about 0.6 atomic %
FIGS. 7A and 7B are diagrams of SEM photographs of the sample. As shown in the Figures, there is no formation of voids within copper 14 embedded in hole 12 formed in insulating layer 10. Further, only a surface of the copper 14, i.e. a surface of interconnects, is covered with protective film 16 of plated Co—W—B, without deposition of the Co—W—B film on a surface of the insulating layer 10, indicating high selectivity of plating.
Comparative Example
The same sample as used in the Example was prepared. This sample was first immersed in a solution of PdCl2 (0.005 g/L)+HCl(0.2 ml/L) at 25° C. for one minute to impart a palladium catalyst to the sample. Next, the palladium-imparted sample was immersed in a plating liquid at 90° C. having a composition shown in the following Table 2, and electroless plating was performed at a bath load of 200 ml/chip.
TABLE 2
CoCl2.6H2O (g/L) 30
(NH4)2.WO4 (g/L) 10
Na3C6H5O7.2H2O (g/L) 80
NaH2PO2.H2O (g/L) 20
PH pH = 10 with NaOH
After completion of electroless plating, the sample was washed and dried. When the sample was observed under an SEM, it was found that a plated Co—W—P film grew selectively in a pattern formation region. A growth rate of the plated film was about 70 nm/min; and the plated film was analyzed as follows:
Co: about 89 atomic %, W: about 5 atomic %, P: about 6 atomic %
FIGS. 8A and 8B are diagrams of SEM photographs of the sample. As shown in the Figures, a void V is formed within copper 14 embedded in hole 12 formed in insulating layer 10. Further, not only a surface of the copper 14, i.e. a surface of interconnects, is covered with protective film 16 of a plated Co—W—P alloy, but alloy film 16 a is deposited also onto a surface of the insulating layer 10 around the hole 12, i.e. a region of unnecessary protection, thus indicating poor selectivity of plating.
According to the present invention, as described hereinabove, use of an alkylamine borane free from sodium as a reducing agent makes it possible to apply an oxidizing current to e.g. copper, a copper alloy, silver, or a silver alloy to thereby avoid a need for imparting a palladium catalyst, thus enabling direct electroless plating, and also to prevent contamination of a semiconductor device with an alkali metal. This can reduce requisite process steps and increase throughput, prevent formation of voids in interconnects, thereby enhancing reliability, and avoid increase in interconnect resistance caused by palladium diffusion.
Moreover, use of a plating liquid containing an alkylamine borane as a reducing agent enables selective plating only onto an interconnect region.

Claims (11)

What is claimed is:
1. A method of forming a semiconductor device, comprising:
providing a trench in a surface of an insulating film on a substrate, wherein said trench is covered by a barrier layer and a seed layer on said barrier layer;
depositing a metal film in said trench so as to form an embedded interconnect; and
while rotating said substrate, electrolessly plating a protective film having a thickness in a range of from 0.1 nm to 500 nm onto a surface of said embedded interconnect using an electroless-plating liquid without using palladium, said electroless-plating liquid comprising:
(i) cobalt ions;
(ii) a complexing agent; and
(iii) an alkylamine borane that is free from alkali metal.
2. The method according to claim 1, further comprising polishing a surface of said metal film before said electrolessly plating.
3. The method according to claim 1, wherein said electroless-plating liquid further comprises at least one of
(i) a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and
(ii) a surfactant.
4. The method according to claim 1, wherein said electroless-plating liquid has a pH adjusting agent that is free from alkali metal.
5. The method according to claim 1, wherein said protective film has a thickness within a range of from 10 nm to 100 nm.
6. A method of forming a semiconductor device, comprising:
providing a trench in a surface of an insulating film on a substrate, wherein said trench is covered by a barrier layer and a seed layer on said barrier layer;
depositing a metal film in said trench so as to form an embedded interconnect; and
while rotating said substrate, electrolessly plating a protective film having a thickness in a range of from 0.1 nm to 500 nm onto a surface of said embedded interconnect using an electroless-plating liquid without using palladium, said electroless-plating liquid comprising:
(i) cobalt ions;
(ii) a complexing agent;
(iii) a compound containing a refractory metal; and
(iv) an alkylamine borane that is free from alkali metal.
7. The method according to claim 6, further comprising polishing a surface of said metal film before said electrolessly plating.
8. The method according to claim 6, wherein said refractory compound comprises at least one of tungsten and molybdenum.
9. The method according to claim 6, wherein said electroless-plating liquid further comprises at least one of
(i) a stabilizer selected from one or more kinds of heavy metal compounds and sulfur compounds, and
(ii) a surfactant.
10. The method according to claim 6, wherein said electroless-plating liquid has a pH adjusting agent that is free from alkali metal.
11. The method according to claim 6, wherein said protective film has a thickness within a range of from 10 nm to 100 nm.
US10/774,488 2001-06-01 2004-02-10 Electroless plating liquid and semiconductor device Expired - Lifetime US6821902B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/774,488 US6821902B2 (en) 2001-06-01 2004-02-10 Electroless plating liquid and semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2001167355 2001-06-01
JP2001-167355 2001-06-01
US09/880,005 US6717189B2 (en) 2001-06-01 2001-06-14 Electroless plating liquid and semiconductor device
US10/774,488 US6821902B2 (en) 2001-06-01 2004-02-10 Electroless plating liquid and semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/880,005 Division US6717189B2 (en) 2001-06-01 2001-06-14 Electroless plating liquid and semiconductor device

Publications (2)

Publication Number Publication Date
US20040157441A1 US20040157441A1 (en) 2004-08-12
US6821902B2 true US6821902B2 (en) 2004-11-23

Family

ID=19009751

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/880,005 Expired - Lifetime US6717189B2 (en) 2001-06-01 2001-06-14 Electroless plating liquid and semiconductor device
US10/774,488 Expired - Lifetime US6821902B2 (en) 2001-06-01 2004-02-10 Electroless plating liquid and semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/880,005 Expired - Lifetime US6717189B2 (en) 2001-06-01 2001-06-14 Electroless plating liquid and semiconductor device

Country Status (2)

Country Link
US (2) US6717189B2 (en)
CN (1) CN1933143A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040043593A1 (en) * 2002-08-30 2004-03-04 Fujitsu Limited Method for fabricating semiconductor device
US20070269978A1 (en) * 2006-05-18 2007-11-22 Chien-Hsueh Shih Process for improving copper line cap formation
US20100159208A1 (en) * 2004-08-09 2010-06-24 Lam Research Barrier Layer Configurations and Methods for Processing Microelectronic Topographies Having Barrier Layers
US20110014489A1 (en) * 2003-06-16 2011-01-20 Lam Research Corporation Method for Strengthening Adhesion Between Dielectric Layers Formed Adjacent to Metal Layers

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
JP2002226974A (en) * 2000-11-28 2002-08-14 Ebara Corp ELECTROLESS Ni-B PLATING SOLUTION, ELECTRONIC DEVICE, AND MANUFACTURING METHOD THEREOF
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US6645567B2 (en) * 2001-12-19 2003-11-11 Intel Corporation Electroless plating bath composition and method of using
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
JP4052868B2 (en) * 2002-04-26 2008-02-27 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
CN1720490B (en) 2002-11-15 2010-12-08 应用材料有限公司 Method and system for controlling manufacture process having multivariate input parameters
US6911067B2 (en) * 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
JP4286025B2 (en) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 Method of reclaiming quartz jig, method of reusing and using semiconductor device
US6902605B2 (en) * 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
JP5074025B2 (en) * 2003-05-09 2012-11-14 ビーエーエスエフ ソシエタス・ヨーロピア Composition for electroless plating of ternary materials for use in the semiconductor industry
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
US20050048768A1 (en) * 2003-08-26 2005-03-03 Hiroaki Inoue Apparatus and method for forming interconnects
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7268074B2 (en) * 2004-06-14 2007-09-11 Enthone, Inc. Capping of metal interconnects in integrated circuit electronic devices
US7176133B2 (en) * 2004-11-22 2007-02-13 Freescale Semiconductor, Inc. Controlled electroless plating
WO2006073140A1 (en) * 2005-01-06 2006-07-13 Ebara Corporation Substrate processing method and apparatus
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060188659A1 (en) * 2005-02-23 2006-08-24 Enthone Inc. Cobalt self-initiated electroless via fill for stacked memory cells
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060280860A1 (en) * 2005-06-09 2006-12-14 Enthone Inc. Cobalt electroless plating in microelectronic devices
US7686874B2 (en) * 2005-06-28 2010-03-30 Micron Technology, Inc. Electroless plating bath composition and method of use
US7410899B2 (en) * 2005-09-20 2008-08-12 Enthone, Inc. Defectivity and process control of electroless deposition in microelectronics applications
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
KR100859259B1 (en) * 2005-12-29 2008-09-18 주식회사 엘지화학 Cobalt-base alloy electroless-plating solution and electroless-plating by using the same
WO2008001697A1 (en) * 2006-06-26 2008-01-03 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20080121276A1 (en) * 2006-11-29 2008-05-29 Applied Materials, Inc. Selective electroless deposition for solar cells
US20080254205A1 (en) * 2007-04-13 2008-10-16 Enthone Inc. Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys
US7709400B2 (en) * 2007-05-08 2010-05-04 Lam Research Corporation Thermal methods for cleaning post-CMP wafers
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
WO2009078254A1 (en) * 2007-12-17 2009-06-25 Nippon Mining & Metals Co., Ltd. Substrate and method for manufacturing the same
US9048088B2 (en) * 2008-03-28 2015-06-02 Lam Research Corporation Processes and solutions for substrate cleaning and electroless deposition
KR101028327B1 (en) 2010-04-15 2011-04-12 엘지이노텍 주식회사 Light emitting device, fabrication method of light emitting device, and light emitting device package
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8632628B2 (en) * 2010-10-29 2014-01-21 Lam Research Corporation Solutions and methods for metal deposition
US8779589B2 (en) 2010-12-20 2014-07-15 Intel Corporation Liner layers for metal interconnects
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3917464A (en) 1973-07-20 1975-11-04 Us Army Electroless deposition of cobalt boron
US3929483A (en) 1971-10-22 1975-12-30 Horizons Inc Metal-plated images formed by bleaching silver images with alkali metal hypochlorite prior to metal plating
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4659587A (en) * 1984-10-11 1987-04-21 Hitachi, Ltd. Electroless plating process and process for producing multilayer wiring board
USH325H (en) 1980-07-30 1987-09-01 Richardson Chemical Company Electroless deposition of transition metals
EP0525282A2 (en) 1991-06-24 1993-02-03 Shipley Company Inc. Controlled electroless plating
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5624479A (en) 1993-04-02 1997-04-29 International Business Machines Corporation Solution for providing catalytically active platinum metal layers
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5855959A (en) 1992-04-06 1999-01-05 International Business Machines Corporation Process for providing catalytically active platinum metal layers
US6191530B1 (en) * 1997-08-13 2001-02-20 Fujitsu Limited Electrode for a display device and method for manufacturing the same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3929483A (en) 1971-10-22 1975-12-30 Horizons Inc Metal-plated images formed by bleaching silver images with alkali metal hypochlorite prior to metal plating
US3917464A (en) 1973-07-20 1975-11-04 Us Army Electroless deposition of cobalt boron
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
USH325H (en) 1980-07-30 1987-09-01 Richardson Chemical Company Electroless deposition of transition metals
US4659587A (en) * 1984-10-11 1987-04-21 Hitachi, Ltd. Electroless plating process and process for producing multilayer wiring board
EP0525282A2 (en) 1991-06-24 1993-02-03 Shipley Company Inc. Controlled electroless plating
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5855959A (en) 1992-04-06 1999-01-05 International Business Machines Corporation Process for providing catalytically active platinum metal layers
US5624479A (en) 1993-04-02 1997-04-29 International Business Machines Corporation Solution for providing catalytically active platinum metal layers
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6191530B1 (en) * 1997-08-13 2001-02-20 Fujitsu Limited Electrode for a display device and method for manufacturing the same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040043593A1 (en) * 2002-08-30 2004-03-04 Fujitsu Limited Method for fabricating semiconductor device
US6930045B2 (en) * 2002-08-30 2005-08-16 Fujitsu Limited Cross reference to related application
US20110014489A1 (en) * 2003-06-16 2011-01-20 Lam Research Corporation Method for Strengthening Adhesion Between Dielectric Layers Formed Adjacent to Metal Layers
US8586133B2 (en) 2003-06-16 2013-11-19 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US20100159208A1 (en) * 2004-08-09 2010-06-24 Lam Research Barrier Layer Configurations and Methods for Processing Microelectronic Topographies Having Barrier Layers
US7897507B2 (en) * 2004-08-09 2011-03-01 Lam Research Corporation Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
US20070269978A1 (en) * 2006-05-18 2007-11-22 Chien-Hsueh Shih Process for improving copper line cap formation
US8193087B2 (en) 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
US8623760B2 (en) 2006-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Process for improving copper line cap formation

Also Published As

Publication number Publication date
US20040157441A1 (en) 2004-08-12
US6717189B2 (en) 2004-04-06
US20020185658A1 (en) 2002-12-12
CN1933143A (en) 2007-03-21

Similar Documents

Publication Publication Date Title
US6821902B2 (en) Electroless plating liquid and semiconductor device
US7279408B2 (en) Semiconductor device, method for manufacturing the same, and plating solution
JP3979464B2 (en) Electroless plating pretreatment apparatus and method
US6936302B2 (en) Electroless Ni-B plating liquid, electronic device and method for manufacturing the same
US20040234696A1 (en) Plating device and method
US20040235237A1 (en) Semiconductor device and method for manufacturing the same
KR100891344B1 (en) Electroless-plating solution and semiconductor device
US7344986B2 (en) Plating solution, semiconductor device and method for manufacturing the same
US20060003570A1 (en) Method and apparatus for electroless capping with vapor drying
US20040170766A1 (en) Electroless plating method and device, and substrate processing method and apparatus
US20050048768A1 (en) Apparatus and method for forming interconnects
JP3821709B2 (en) Pretreatment method of electroless plating
JP2002285343A (en) Electroless plating apparatus
JP4139124B2 (en) Plating apparatus and method
US20040186008A1 (en) Catalyst-imparting treatment solution and electroless plating method
JP4076335B2 (en) Semiconductor device and manufacturing method thereof
JP3886383B2 (en) Plating apparatus and plating method
JP2003034876A (en) Catalytic treatment liquid and method for electroless plating

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12