US7157366B2 - Method of forming metal interconnection layer of semiconductor device - Google Patents

Method of forming metal interconnection layer of semiconductor device Download PDF

Info

Publication number
US7157366B2
US7157366B2 US10/888,577 US88857704A US7157366B2 US 7157366 B2 US7157366 B2 US 7157366B2 US 88857704 A US88857704 A US 88857704A US 7157366 B2 US7157366 B2 US 7157366B2
Authority
US
United States
Prior art keywords
layer
hard mask
etching
interlayer dielectric
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US10/888,577
Other versions
US20050037605A1 (en
Inventor
Il-Goo Kim
Sang-rok Hah
Sae-il Son
Kyoung-Woo Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/114,274 external-priority patent/US6861347B2/en
Priority claimed from US10/392,710 external-priority patent/US6815331B2/en
Priority claimed from KR10-2003-0047006A external-priority patent/KR100532446B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US10/888,577 priority Critical patent/US7157366B2/en
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HAH, SANG-ROK, SON, SAE-IL, LEE, KYOUNG-WOO, KIM, IL-GOO
Publication of US20050037605A1 publication Critical patent/US20050037605A1/en
Application granted granted Critical
Publication of US7157366B2 publication Critical patent/US7157366B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Definitions

  • the present invention relates to methods for manufacturing semiconductor devices, and more particularly, to improved methods for forming metal interconnection layers of semiconductor devices using a dual damascene process.
  • parasitic resistance and capacitance components in a metal interconnection framework may cause, e.g., signal delay, which can deteriorate the electrical performance of a semiconductor device.
  • parasitic resistance and capacitance components can increase the total power consumption of a semiconductor chip and increase the amount of signal leakage from the semiconductor chip. Therefore, it is important to develop a multi-layer interconnection framework that can provide smaller parasitic resistance and capacitance in a highly integrated semiconductor device.
  • an interconnection layer should be formed using a metal having a low specific resistance, such as copper (Cu), and/or using an insulation layer having a small dielectric constant.
  • a metal having a low specific resistance such as copper (Cu)
  • Cu copper
  • FIGS. 1 through 3 are cross-sectional schematic views illustrating a conventional method for forming a metal interconnection layer of a semiconductor device.
  • a first stopper layer 104 , a first interlayer dielectric layer 105 , a second stopper layer 106 , and a second interlayer dielectric layer 107 are sequentially formed on a semiconductor substrate 100 having a first conductive layer 102 .
  • a full via hole 112 is formed having a first width W 1 by sequentially etching the second interlayer dielectric layer 107 , the second stopper layer 106 , and the first interlayer dielectric layer 105 using a photolithography process.
  • a photoresist layer is deposited on the entire surface of the substrate, filling the full via hole 112 with photoresist material.
  • a photoresist pattern 110 is formed by light-exposing and developing the photoresist layer, to thereby provide an opening having a second width W 2 which exposes part of the second interlayer dielectric layer 107 and part of the full via hole 112 .
  • the second width W 2 is larger than the first width W 1 .
  • a portion of the photoresist layer remains in the full via hole 112 .
  • the second interlayer dielectric layer 107 is dry-etched using the photoresist pattern 110 as an etching mask until the top surface of the second stopper layer 106 is exposed.
  • a trench interconnection area 114 of width W 2 is formed in the second interlayer dielectric layer 107 .
  • the dry etch process results in further etching of the photoresist layer in the full via hole 112 .
  • the photoresist layer remaining in the full via hole 112 and the photoresist pattern remaining on the second interlayer dielectric layer 107 are removed using a conventional ashing process. Thereafter, the portion of the first stopper layer 104 that is exposed at the bottom of the full via hole 112 is removed, and a second conductive layer (not shown) is formed in the full via hole 112 and the trench interconnection area 114 , thereby forming a dual damascene structure.
  • FIGS. 4 , 5 and 6 are cross-sectional schematic views illustrating another conventional method for forming a metal interconnection layer of a semiconductor device.
  • a first stopper layer 104 , a first interlayer dielectric layer 105 , and a second interlayer dielectric layer 107 are sequentially formed on a semiconductor substrate 100 having a first conductive layer 102 .
  • a full via hole 112 is formed having a first width W 1 by sequentially etching the second interlayer dielectric layer 107 and the first interlayer dielectric layer 105 using a photolithography process.
  • a sacrificial layer 116 which comprises an organic or inorganic material, is deposited to fill a least a portion of the full via hole 112
  • a photoresist layer is then deposited on the entire surface of the substrate, filling the remaining portion of the full via hole 112 .
  • a photoresist pattern 110 is formed by light-exposing and developing the photoresist layer, to thereby provide an opening having a second width W 2 which exposes part of the second interlayer dielectric layer 107 and part of the full via hole 112 .
  • the second width W 2 is larger than the first width W 1 .
  • a portion of the photoresist layer remains in the full via hole 112 .
  • the second interlayer dielectric layer 107 is partially etched using the photoresist pattern 110 as an etching mask. As a result of the etching, a trench interconnection area 114 having the second width W 2 is formed in the second interlayer dielectric layer 107 .
  • the dry etch process results in further etching of the photoresist layer in the full via hole 112 according to its etching selectivity with respect to the second interlayer dielectric layer 107 . After the dry etch process, the photoresist layer 110 and the sacrificial layer 116 still remain in the full via hole 112 .
  • the photoresist pattern 110 is removed, and then the sacrificial layer 116 remaining in the full via hole 112 is removed. Thereafter, the portion of the first stopper layer 104 exposed at the bottom of the full via hole 112 is removed, and then a second conductive layer (not shown) is formed in the trench interconnection area 114 , thereby forming a dual damascene structure.
  • FIGS. 4–6 There are various disadvantages associated with the conventional method illustrated by FIGS. 4–6 . Although the method can, to some extent, resolve the problem of varying thickness of the photoresist layer from region to region due to variation in the density of via holes 112 , such method may still result in generation of the fence 116 along the via hole 112 (see FIG. 6 ) when the sacrificial layer 116 is formed of a bottom anti-reflection coating (BARC) material or is formed of the same organic material used to form the photoresist layer 116 .
  • BARC bottom anti-reflection coating
  • the sacrificial layer 116 is formed of an inorganic material, such as hydrogen silsesquioxane (HSQ), there is a need to further perform a stripping process to remove the sacrificial layer 116 , which is a very complicated and difficult process.
  • HSQ hydrogen silsesquioxane
  • Exemplary embodiments of the invention generally include improved methods for forming a metal interconnection layer of a semiconductor device, which are capable of eliminating problems and disadvantages associated with conventional methods such as described above.
  • a method of forming a metal interconnection layer of a semiconductor device includes: forming an interlayer dielectric layer on a substrate; forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer; depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer; forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask; removing the first photoresist pattern; depositing a second photoresist layer to fill the partial via hole with photoresist material and patterning the second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least portion of the partial via hole; etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern; completely removing the second photoresist
  • a method of forming a metal interconnection layer of a semiconductor device comprises forming an interlayer dielectric layer on a substrate; forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer; depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer; forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask; removing the first photo resist pattern; depositing a layer of sacrificial material to completely or partially bury the partial via hole; depositing and patterning a second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least a portion of the partial via hole; etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern; completely removing the second photo
  • a metal interconnection layer of a semiconductor device there are various advantages associated with methods according to the invention for forming a metal interconnection layer of a semiconductor device. For example, by initially forming a partial via hole (as opposed to a full via hole in the conventional methods described above) and filling the partial via hole with a photoresist layer, or a sacrificial layer before depositing the photoresist, it possible to prevent variations in the thickness of the photoresist layer in different regions of the semiconductor substrate, which can adversely affect the formation of a photoresist pattern. Moreover, methods according to the invention can prevent formation of a “fence” in the trench interconnection area near a via hole, as which occurs with conventional methods.
  • methods of the invention can eliminate problems associated with an unopened via hole due to photoresist material remaining in a partial via hole in the process of forming a photoresist pattern.
  • methods of the invention for forming a metal interconnection layer of a semiconductor device are also capable of preventing profile defects of the via hole even when the photoresist pattern is misaligned.
  • FIGS. 1 , 2 and 3 are cross-sectional schematic views illustrating a conventional method for forming a metal interconnection layer of a semiconductor device.
  • FIGS. 4 , 5 and 6 are cross-sectional schematic views illustrating another conventional method for forming a metal interconnection layer of a semiconductor device.
  • FIGS. 7 through 14 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to an exemplary embodiment of the present invention.
  • FIGS. 15 through 20 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to another exemplary embodiment of the present invention.
  • FIGS. 7 through 14 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to an exemplary embodiment of the present invention.
  • a first stopper layer 404 is formed on a semiconductor substrate 400 having a first conductive layer 402 .
  • a first interlayer dielectric layer 405 is then formed on the first stopper layer 404 .
  • the first conductive layer 402 may be an impurity-doped region formed on the semiconductor substrate 400 or another metal interconnection layer, such as a copper interconnection layer or a tungsten interconnection layer.
  • the first stopper layer 404 may be formed of a material having a high etching selectivity with respect to the first interlayer dielectric layer 405 formed thereon.
  • the first stopper layer 404 may be formed of a carbide-based dielectric material or a nitride-based dielectric material, more specifically, SiC, SiCN, BN, or SiN.
  • the first interlayer dielectric layer 405 may be formed of either an organic oxide-based material or an inorganic oxide-based material.
  • the first interlayer dielectric layer 405 may be formed of a low dielectric material layer, such as an SiOC layer, a porous SiO 2 layer, a phosphorous silicate glass (PSG) layer, a boron phosphorous silicate glass (BPSG) layer, an undoped silicate glass (USG) layer, a fluorine doped silicate glass (FSG) layer, a high density plasma (HDP) layer, a plasma enhanced-tetra ethyl ortho silicate (PE-TEOS) layer, or a spin on glass (SOG) layer.
  • the first interlayer dielectric layer 405 can be formed of a material having a high etching selectivity with respect to the first stopper layer 404 .
  • a second stopper layer 406 is formed on the first interlayer dielectric layer 405 and a second interlayer dielectric layer 407 is formed on the second stopper layer 406 .
  • the second stopper layer 406 is formed of a material having a high etching selectivity with respect to the second interlayer dielectric layer 407 formed thereon, for example, a carbide-based dielectric material or a nitride-based dielectric material. More specifically, the second stopper layer 406 may be formed of SiC, SiCN, BN, or SiN.
  • the second interlayer dielectric layer 407 may be formed of an inorganic oxide-based material or an organic oxide-based material.
  • the second interlayer dielectric layer 407 can be formed of a low dielectric material layer, such as a SiOC layer, a porous SiO 2 layer, a PSG layer, a BPSG layer, a USG layer, a FSG layer, an HDP layer, a PE-TEOS layer, or an SOG layer.
  • the second interlayer dielectric layer 407 can be formed of a material having a high etching selectivity with respect to the second stopper layer 406 .
  • the second interlayer dielectric layer 407 may be formed of a different material than the first interlayer dielectric layer 406 or more preferably, the second interlayer dielectric layer 407 is formed of the same material as that of the first interlayer dielectric layer 405 .
  • a hard mask layer 408 is formed on the second interlayer dielectric layer 407 .
  • the hard mask layer 408 is formed of a material which has a high etching selectivity with respect to the second interlayer dielectric layer 407 and which enables the hard mask layer 408 to serve as an anti-reflection layer (ARL) in a subsequent photolithography process.
  • ARL anti-reflection layer
  • the hard mask layer 408 may be formed of (i) a carbon nitride-based dielectric material such as an SiCN-based dielectric material, (ii) an oxynitride-based dielectric material such as an SiON-based dielectric material, (iii) a carbon oxynitride-based dielectric material such as an SiCON-based dielectric material, or (iv) a metal material such as TaN, TiN, TiON, or TaON.
  • the hard mask layer 408 may be formed of AlN or AlON, which provides relatively weak anti-reflection functions.
  • the hard mask layer 408 may be formed of a single layer that can serve as an ARL as well as a hard mask layer, or formed of a stack of anti-reflection layers, or formed of a first layer that serves as an ARL and a second layer that does not serve as an ARL. Further, the hard mask layer 408 may be formed of a metal oxide such as AlO, TaO, or TiO, in which case the hard mask layer 408 does not serve as an ARL but provides a superior etching selectivity to the first and second interlayer dielectric layers 405 and 407 .
  • the hard mask layer 408 may have a thickness of about 1000 ⁇ . In another embodiment where the hard mask layer 408 is formed of a stacked layer comprising two ARLs, such layer may have a thickness of about 1000 ⁇ . In yet another embodiment where the hard mask layer 408 is formed of a stacked layer comprising a non-ARL (lower layer) formed on the interlayer dielectric layer 407 and an ARL (upper layer) formed on the non-ARL, the upper layer may have a thickness of about 600 ⁇ and the lower layer may have a thickness in the range of about 100 ⁇ to about 200 ⁇ . The non-ARL (lower layer) does not serve as an ARL but has a high etching selectivity to the first and second interlayer dielectric layers 405 and 407 .
  • a first photoresist pattern 410 is formed on the hard mask layer 408 , wherein the photoresist pattern comprises an opening of a first width W 1 that exposes a portion of a top surface of the hard mask layer 408 . More specifically, the hard mask layer 408 is coated with photoresist, and the photoresist is light-exposed and developed, thus forming the first photoresist pattern 410 .
  • the hard mask layer 408 , the second interlayer dielectric layer 407 , and the second stopper layer 406 are sequentially etched using the first photoresist pattern 410 as an etching mask, to thereby form a partial via hole 412 of width W 1 in the second interlayer dielectric layer 407 , followed by removal of the first photoresist pattern 410 using, e.g., an ashing process.
  • the etching process is performed by etching the hard mask layer 408 using the first photoresist pattern 410 as an etching mask to form a first hard mask pattern 408 a , removing the first photoresist pattern 410 using a typical method such as ashing, and then etching the second interlayer dielectric layer 407 and the second stopper layer 406 using the first hard mask pattern 408 a as an etching mask to form the partial via hole 412 of width W 1 in the second interlayer dielectric layer 407 .
  • a second photoresist layer is deposited over the semiconductor device to fill the partial via hole 412 with the photoresist material.
  • the hard mask layer 408 serves as an ARL
  • no additional step is needed to form an ARL layer.
  • an ARL layer could be formed before deposition of the second photoresist layer.
  • the second photoresist layer is exposed and developed to form a second photoresist pattern 416 having an opening of a second width W 2 through which a portion of the hard mask pattern 408 a is exposed.
  • the second photoresist pattern 416 defines a trench interconnection area 418 , which overlaps at least part of the partial via hole 412 .
  • a second hard mask pattern 408 b is formed by dry-etching the exposed first hard mask pattern 408 a on the second interlayer dielectric layer 407 using the second photoresist pattern 416 as an etching mask.
  • the photoresist material in the partial via hole 412 may be etched to a depth below the bottom surface of the hard mask layer 408 , such as depicted in FIG. 10 .
  • the hard mask layer 408 can be etched using an etching gas having a low etching ratio of the hard mask layer 408 to the photoresist layer 416 , for example, lower than 2:1.
  • a fluorine-based gas such as CF 4 , CH 2 F 2 , CHF 3 , CH 3 F, NF 3 , or SF 6 , can be used as t etching gas.
  • an oxygen-based gas such as O 2 , CO, or CO 2
  • a nitrogen-based gas such as N 2 or N 2 O
  • an inert gas such as Ar, He, or Xe
  • the process of forming the second hard mask pattern 408 b may be performed by first etching the photoresist pattern 416 to etch the photoresist material in the partial via hole 412 down below the bottom surface of the hard mask pattern 408 a by using a mixture of two or more of an oxygen-based gas, a nitride-based gas, and a hydrogen-based gas, followed by etching the hard mask pattern 408 a using the second photoresist pattern 416 as an etching mask.
  • the hard mask layer 408 is formed of metal nitride, such as AlN, TaN, or TiN, metal oxide, such as AlO, TaO, or TiO, or a combination thereof, a chloride-based gas, such as Cl 2 or BCl 3 , can be used for etching the hard mask layer 408 .
  • the second photoresist pattern 416 is completely removed through a method such as ashing, using a mixed gas of oxygen, nitrogen, or hydrogen. Then, an etching process is performed using the second hard mask pattern 408 b as an etching mask. As depicted in FIG. 12 , during the etching process, the second interlayer dielectric layer 407 and the second stopper layer 406 in the trench interconnection area 418 are etched away, and the first interlayer dielectric layer 405 exposed by the partial via hole 412 is etched away, thus forming a full via hole 412 a .
  • a C x F y -based gas such as C 4 F 8 , C 4 F 6 , or C 5 F 8
  • a CH x F y -based gas such as CH 2 F 2 or CH 3 F
  • an oxygen-based gas such as O 2 , CO, or CO 2
  • a nitrogen-based gas such as N 2 or N 2 O
  • an inert gas such as He, Ar, or Xe
  • the portion of the first stopper layer 404 exposed through the full via hole 412 a is etched away.
  • a mixture of at least one of a fluorine-based gas, such as CF 4 , CH 2 F 2 , or CHF 3 , an oxygen-based gas, such as O 2 , CO, or CO 2 , a nitrogen-based gas, such as N 2 or N 2 O, and a hydrogen-based gas can be used as an etching gas.
  • a layer of conductive material comprising copper or tungsten is deposited to fill the trench interconnection area 418 and the full via hole 412 a , and the layer of conductive material is then planarized to form a second conductive layer 420 which is in electrical contact with the first conductive layer 402 .
  • the second hard mask pattern 408 b can be etched away or left behind, and then subsequent processes are carried out.
  • FIGS. 15 through 20 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to another exemplary embodiment of the present invention.
  • a first stopper layer 404 is formed on a semiconductor substrate 400 having a first conductive layer 402 .
  • a first interlayer dielectric layer 405 is then formed on the first stopper layer 404 and a second interlayer dielectric layer 407 is formed on the first interlayer dielectric layer 405 .
  • the first conductive layer 402 may be an impurity-doped region formed on the semiconductor substrate 400 or another metal interconnection layer, such as a copper interconnection layer or a tungsten interconnection layer.
  • the first stopper layer 404 may be formed of a material having a high etching selectivity with respect to the first interlayer dielectric layer 405 .
  • the first stopper layer 404 may be formed of a carbide-based dielectric material or a nitride-based dielectric material, more specifically, SiC, SiCN, BN, or SiN.
  • the first interlayer dielectric layer 405 may be formed of either an organic oxide-based material or an inorganic oxide-based material.
  • the second interlayer dielectric layer 407 like the first interlayer dielectric layer 405 , may be formed of an inorganic oxide-based material or an organic oxide-based material.
  • the second interlayer dielectric layer 407 may be formed of a different material than the first interlayer dielectric layer 406 or more preferably, the second interlayer dielectric layer 407 is formed of the same material as that of the first interlayer dielectric layer 405 .
  • a hard mask layer 408 is deposited on the second interlayer dielectric layer 407 .
  • the hard mask layer 408 is formed of a material which has a high etching selectivity with respect to the second interlayer dielectric layer 407 and which enables the hard mask layer 408 to serve as an anti-reflection layer (ARL) in a subsequent photolithography process.
  • ARL anti-reflection layer
  • the hard mask layer 408 may be formed of (i) a carbon nitride-based dielectric material such as an SiCN-based dielectric material, (ii) an oxynitride-based dielectric material such as an SiON-based dielectric material, (iii) a carbon oxynitride-based dielectric material such as an SiCON-based dielectric material, or (iv) a metal material such as TaN, TiN, TiON, or TaON.
  • the hard mask layer 408 may be formed of AlN or AlON, which provides relatively weak anti-reflection functions.
  • the hard mask layer 408 may be formed of a single layer that can serve as an ARL as well as a hard mask, or a multiple layer of various ARL materials, or a double layer comprising a material layer that can serve as an ARL and another material layer that does not serve as an ARL.
  • the hard mask layer 408 may be formed of, for example, metal oxide, such as AlO, TaO, or TiO, in which case the hard mask layer 408 does not serve as an ARL but provides a superior etching selectivity to the first and second interlayer dielectric layers 405 and 407 .
  • the hard mask layer 408 may have a thickness of about 1000 ⁇ . In another embodiment where the hard mask layer 408 is formed of a double layer comprising two ARLs, such layer may have a thickness of about 1000 ⁇ . In another embodiment where the hard mask layer 408 is formed of a double layer comprising an ARL as an upper layer and a non-ARL as a lower layer, the upper and lower layers may have a thickness of about 600 ⁇ and a thickness of about 100–200 ⁇ , respectively.
  • a first photoresist pattern (not shown) having an opening of a first width W 1 is formed on the hard mask layer 408 to expose a portion of the top surface of the hard mask layer 408 .
  • a partial via hole 412 having the first width W 1 is formed in the second interlayer dielectric layer 407 by etching the hard mask layer 408 (to form first hard mask pattern 408 a ) and the second interlayer dielectric layer 407 using the first photoresist pattern 416 as an etching mask. Thereafter, the first photoresist pattern is removed.
  • a sacrificial layer 411 is formed over the entire surface of the semiconductor device, filling the partial via hole 412 with sacrificial material and forming the sacrificial layer 411 on the first hard mask pattern 408 a having a uniform thickness.
  • the sacrificial layer 411 is formed of a BARC material, which is a carbide-based organic material, through SOG or CVD process.
  • the sacrificial layer 411 may be formed to partially or entirely fill the partial via hole 412 .
  • a photoresist layer is deposited on the sacrificial layer 411 and then patterned to form a second photoresist pattern 416 having an opening of a second width W 2 to expose a portion of the first hard mask pattern 408 a adjacent the partial via hole 412 .
  • the second width W 2 is larger than the first width W 1 .
  • the sacrificial layer 411 and the first hard mask pattern 408 a are dry-etched using the second photoresist pattern 416 as an etching mask, thus forming a second hard mask pattern 408 b that defines a trench interconnection area 418 which overlaps at least part of the partial via hole 412 .
  • the sacrificial layer 411 in the partial via hole 412 may be etched to a depth below the bottom surface of the hard mask layer 408 .
  • the hard mask layer 408 can be etched using an etching gas having a low etching ratio of the hard mask layer 408 to the photoresist layer 416 , for example, lower than 2:1.
  • a fluorine-based gas such as CF 4 , CH 2 F 2 , CHF 3 , CH 3 F, NF 3 , or SF 6 , can be used as the etching gas.
  • an oxygen-based gas such as O 2 , CO, or CO 2
  • a nitrogen-based gas such as N 2 or N 2 O
  • an inert gas such as Ar, He, or Xe
  • the process of forming the second hard mask pattern 408 b using the second photoresist pattern 416 as an etching mask may include first etching the sacrificial layer 411 in the partial via hole 412 to a depth below the bottom surface of the hard mask layer 408 , followed by etching the hard mask pattern 408 a by using at least one of an oxygen-based gas, a nitride-based gas, and a hydrogen-based gas.
  • the hard mask layer 408 is formed of metal nitride, such as AlN, TaN, or TiN, metal oxide, such as AlO, TaO, or TiO, or a combination thereof, a chloride-based gas, such as Cl 2 or BCl 3 , can be used for etching the hard mask pattern 408 a.
  • the second photoresist pattern 416 and the sacrificial layer 411 are removed using, for example, an ashing process using a mixed gas of oxygen, nitrogen, or hydrogen.
  • An etching process is then performed using the second hard mask pattern 408 b as an etching mask.
  • the second interlayer dielectric layer 407 in the trench interconnection area 418 is etched away, and the first interlayer dielectric layer 405 exposed by the partial via hole 412 is etched away, thus forming a full via hole 412 a .
  • a C x F y -based gas such as C 4 F 8 , C 4 F 6 , or C 5 F 8
  • a CH x F y -based gas such as CH 2 F 2 or CH 3 F
  • an oxygen-based gas such as O 2 , CO, or CO 2
  • a nitrogen-based gas such as N 2 or N 2 O
  • an inert gas such as He, Ar, or Xe
  • the portion of the first stopper layer 404 exposed through the full via hole 412 a is etched away using, for example, an etching gas comprising a mixture of at least one of a fluorine-based gas such as CF 4 , CH 2 F 2 , or CHF 3 , an oxygen-based gas such as O 2 , CO, or CO 2 , a nitrogen-based gas such as N 2 or N 2 O, or a hydrogen-based gas.
  • a layer of conductive material comprising copper or tungsten is deposited to fill in the trench interconnection area 418 and the full via hole 412 a , and then planarized to form a second conductive layer 420 that electrically contacts the first conductive layer 402 .
  • the second hard mask pattern 408 b can be etched away or left behind, and then subsequent processes are performed.
  • methods according to the invention which comprise initially forming a partial via hole, can prevent formation of a “fence” when forming the trench interconnection area, which can occurs with conventional methods as discussed above.
  • Another advantage associated with methods according to the present invention is that the use of a hard mask layer as an anti-reflection layer simplifies the entire process for forming a metal interconnection layer.
  • the present invention can prevent damage to the interlayer dielectric layer that can be caused by an ashing process to remove the photoresist pattern, even when a metal interconnection layer is formed of a low dielectric material.
  • a further advantage of the present invention is that the stopper layer (which covers the first conductive layer) is etched after the interconnection area and via hole are formed by etching the interlayer dielectric layers.
  • the first conductive layer is minimally exposed to the environment, which prevents, e.g., formation of a metal oxide layer on the first conductive layer during removal of photoresist patterns.
  • Another advantage associated with the present invention is that since the partial via hole is buried with an organic or inorganic material before forming the second photoresist pattern, it is possible to prevent an unopened via hole caused by photoresist remaining at the bottom of the partial via hole when forming the second photoresist pattern. Furthermore, since the partial via hole is buried with an organic or inorganic material before forming the second photoresist pattern, it is possible to prevent the profile of the via hole from deteriorating even when the second photoresist pattern is misaligned with other elements of a semiconductor device.

Abstract

Various methods are provided for forming metal interconnection layers of semiconductor devices. One exemplary method for forming a metal interconnection layer of a semiconductor device includes forming an interlayer dielectric layer on a substrate, forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer, depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer, forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask, removing the first photoresist pattern, depositing a second photoresist layer to fill the partial via hole with photoresist material and patterning the second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least portion of the partial via hole, etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern, completely removing the second photoresist pattern and the photoresist material in the partial via hole, etching the interlayer dielectric layer using the hard mask pattern as an etching mask to form the trench interconnection area and to extend the partial via hole to form a full via hole, and filling the full via hole and the trench interconnection area with a conductive material.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a Continuation-in-Part of U.S. patent application Ser. No. 10/392,710, filed on Mar. 20, 2003, now U.S. Pat. No. 6,815,331 which claims priority to Korean Patent Application No. 02-27442, filed on May 17, 2002, and which is Continuation-in-Part of U.S. patent application Ser. No. 10/114,274, filed on Apr. 2, 2002, now U.S. Pat. No. 6,861,347 which claims priority to Korean Patent Application No. 01-26966 filed on May 17, 2001, all of which are fully incorporated herein by reference.
In addition, this application claims priority to Korean Patent Application No. 03-47006, filed on Jul. 10, 2003, which is fully incorporated herein by reference.
TECHNICAL FIELD OF THE INVENTION
The present invention relates to methods for manufacturing semiconductor devices, and more particularly, to improved methods for forming metal interconnection layers of semiconductor devices using a dual damascene process.
BACKGROUND
Due to the continuing increase in integration density of semiconductor devices, it has been increasingly necessary to fabricate semiconductor devices having metal interconnection layers with a multi-layer structure and with smaller distances between the metal interconnection layers. In accordance with these trends, parasitic resistance (R) and capacitance (C) components, which exist between horizontally or vertically adjacent metal interconnection layers, have become primary factors that are considered in the design and manufacture of semiconductor devices due to the adverse effects that such components can have on operation of semiconductor devices.
Indeed, parasitic resistance and capacitance components in a metal interconnection framework may cause, e.g., signal delay, which can deteriorate the electrical performance of a semiconductor device. In addition, parasitic resistance and capacitance components can increase the total power consumption of a semiconductor chip and increase the amount of signal leakage from the semiconductor chip. Therefore, it is important to develop a multi-layer interconnection framework that can provide smaller parasitic resistance and capacitance in a highly integrated semiconductor device.
To form a multi-layer interconnection structure having smaller parasitic resistance and capacitance, an interconnection layer should be formed using a metal having a low specific resistance, such as copper (Cu), and/or using an insulation layer having a small dielectric constant. However, it has proven difficult to form a Cu interconnection layer using a typical photolithography-based patterning process. Therefore, dual damascene processes have generally been implemented for forming Cu interconnection layers.
FIGS. 1 through 3 are cross-sectional schematic views illustrating a conventional method for forming a metal interconnection layer of a semiconductor device. Referring to FIG. 1, a first stopper layer 104, a first interlayer dielectric layer 105, a second stopper layer 106, and a second interlayer dielectric layer 107 are sequentially formed on a semiconductor substrate 100 having a first conductive layer 102. A full via hole 112 is formed having a first width W1 by sequentially etching the second interlayer dielectric layer 107, the second stopper layer 106, and the first interlayer dielectric layer 105 using a photolithography process.
Thereafter, a photoresist layer is deposited on the entire surface of the substrate, filling the full via hole 112 with photoresist material. Then, a photoresist pattern 110 is formed by light-exposing and developing the photoresist layer, to thereby provide an opening having a second width W2 which exposes part of the second interlayer dielectric layer 107 and part of the full via hole 112. Here, the second width W2 is larger than the first width W1. As depicted in FIG. 1, a portion of the photoresist layer remains in the full via hole 112.
Referring now to FIG. 2, the second interlayer dielectric layer 107 is dry-etched using the photoresist pattern 110 as an etching mask until the top surface of the second stopper layer 106 is exposed. As a result of the dry etching, a trench interconnection area 114 of width W2 is formed in the second interlayer dielectric layer 107. In addition, the dry etch process results in further etching of the photoresist layer in the full via hole 112.
Referring now to FIG. 3, the photoresist layer remaining in the full via hole 112 and the photoresist pattern remaining on the second interlayer dielectric layer 107 are removed using a conventional ashing process. Thereafter, the portion of the first stopper layer 104 that is exposed at the bottom of the full via hole 112 is removed, and a second conductive layer (not shown) is formed in the full via hole 112 and the trench interconnection area 114, thereby forming a dual damascene structure.
There are various disadvantages associated with the conventional method depicted in FIGS. 1–3. For example, because of variations in the thickness of the photoresist layer from region to region of the substrate, which is due to filling the full via holes 112 and different density of the via holes 112 in between the regions, it is very difficult to control the critical dimensions (CD) and the profile of the first photoresist pattern 110 using a photolithography process. In addition, the photoresist material in the full via hole 112 may not be successfully developed, which can prevent the second interlayer dielectric layer 107 from being fully etched away, resulting in formation of the fence 116 along the via hole 112 in the trench interconnection area 114. The fence 116 can result in a poor electrical connection between the first conductive layer 102 and the second conductive layer that fills the via hole and trench interconnection area.
FIGS. 4, 5 and 6 are cross-sectional schematic views illustrating another conventional method for forming a metal interconnection layer of a semiconductor device. Referring initially to FIG. 4, a first stopper layer 104, a first interlayer dielectric layer 105, and a second interlayer dielectric layer 107 are sequentially formed on a semiconductor substrate 100 having a first conductive layer 102. Thereafter, a full via hole 112 is formed having a first width W1 by sequentially etching the second interlayer dielectric layer 107 and the first interlayer dielectric layer 105 using a photolithography process. Then, a sacrificial layer 116, which comprises an organic or inorganic material, is deposited to fill a least a portion of the full via hole 112 A photoresist layer is then deposited on the entire surface of the substrate, filling the remaining portion of the full via hole 112. Then, a photoresist pattern 110 is formed by light-exposing and developing the photoresist layer, to thereby provide an opening having a second width W2 which exposes part of the second interlayer dielectric layer 107 and part of the full via hole 112. Here, the second width W2 is larger than the first width W1. As depicted in FIG. 4, a portion of the photoresist layer remains in the full via hole 112.
Referring now to FIG. 5, the second interlayer dielectric layer 107 is partially etched using the photoresist pattern 110 as an etching mask. As a result of the etching, a trench interconnection area 114 having the second width W2 is formed in the second interlayer dielectric layer 107. In addition, the dry etch process results in further etching of the photoresist layer in the full via hole 112 according to its etching selectivity with respect to the second interlayer dielectric layer 107. After the dry etch process, the photoresist layer 110 and the sacrificial layer 116 still remain in the full via hole 112.
Referring now to FIG. 6, the photoresist pattern 110 is removed, and then the sacrificial layer 116 remaining in the full via hole 112 is removed. Thereafter, the portion of the first stopper layer 104 exposed at the bottom of the full via hole 112 is removed, and then a second conductive layer (not shown) is formed in the trench interconnection area 114, thereby forming a dual damascene structure.
There are various disadvantages associated with the conventional method illustrated by FIGS. 4–6. Although the method can, to some extent, resolve the problem of varying thickness of the photoresist layer from region to region due to variation in the density of via holes 112, such method may still result in generation of the fence 116 along the via hole 112 (see FIG. 6) when the sacrificial layer 116 is formed of a bottom anti-reflection coating (BARC) material or is formed of the same organic material used to form the photoresist layer 116. Furthermore, when the sacrificial layer 116 is formed of an inorganic material, such as hydrogen silsesquioxane (HSQ), there is a need to further perform a stripping process to remove the sacrificial layer 116, which is a very complicated and difficult process.
SUMMARY OF THE INVENTION
Exemplary embodiments of the invention generally include improved methods for forming a metal interconnection layer of a semiconductor device, which are capable of eliminating problems and disadvantages associated with conventional methods such as described above.
In one exemplary embodiment of the invention, a method of forming a metal interconnection layer of a semiconductor device includes: forming an interlayer dielectric layer on a substrate; forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer; depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer; forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask; removing the first photoresist pattern; depositing a second photoresist layer to fill the partial via hole with photoresist material and patterning the second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least portion of the partial via hole; etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern; completely removing the second photoresist pattern and the photoresist material in the partial via hole; etching the interlayer dielectric layer using the hard mask pattern as an etching mask to form the trench interconnection area and to extend the partial via hole to form a full via hole; and filling the full via hole and the trench interconnection area with a conductive material.
In another exemplary embodiment of the invention, a method of forming a metal interconnection layer of a semiconductor device comprises forming an interlayer dielectric layer on a substrate; forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer; depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer; forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask; removing the first photo resist pattern; depositing a layer of sacrificial material to completely or partially bury the partial via hole; depositing and patterning a second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least a portion of the partial via hole; etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern; completely removing the second photoresist pattern and the layer of sacrificial material; etching the interlayer dielectric layer using the hard mask pattern as an etching mask to form the trench interconnection area and to extend the partial via hole to form a full via hole; and filling the full via hole and the trench interconnection area with a conductive material.
There are various advantages associated with methods according to the invention for forming a metal interconnection layer of a semiconductor device. For example, by initially forming a partial via hole (as opposed to a full via hole in the conventional methods described above) and filling the partial via hole with a photoresist layer, or a sacrificial layer before depositing the photoresist, it possible to prevent variations in the thickness of the photoresist layer in different regions of the semiconductor substrate, which can adversely affect the formation of a photoresist pattern. Moreover, methods according to the invention can prevent formation of a “fence” in the trench interconnection area near a via hole, as which occurs with conventional methods. Further, by removing second photoresist pattern and using a hard mask pattern to etch the interlayer dielectric layer to form the full via hole, damage to the interlayer dielectric layer caused by removal of the photoresist using an ashing process after the formation of the via hole (as done conventionally) can be eliminated. Moreover, methods of the invention can eliminate problems associated with an unopened via hole due to photoresist material remaining in a partial via hole in the process of forming a photoresist pattern. In addition, methods of the invention for forming a metal interconnection layer of a semiconductor device are also capable of preventing profile defects of the via hole even when the photoresist pattern is misaligned.
These and other exemplary embodiments, aspects, objects, features and advantages of the present invention will be described or become apparent from the following detailed description of exemplary embodiments thereof, which is to be read in connection with the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1, 2 and 3 are cross-sectional schematic views illustrating a conventional method for forming a metal interconnection layer of a semiconductor device.
FIGS. 4, 5 and 6 are cross-sectional schematic views illustrating another conventional method for forming a metal interconnection layer of a semiconductor device.
FIGS. 7 through 14 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to an exemplary embodiment of the present invention.
FIGS. 15 through 20 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to another exemplary embodiment of the present invention.
DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
Hereinafter, exemplary embodiments of the present invention will be described more fully with reference to the accompanying drawings. These exemplary embodiments are provided so that this disclosure will be thorough and complete, and will fully convey concepts of the invention to one of ordinary skill in the art. However, one of ordinary skill in the art could readily envision other embodiments of the invention and nothing herein should be construed as limiting the scope of the invention. Further, it is to be understood that the drawings are schematic representations where the thickness of layers and regions are exaggerated for clarity. Moreover, the same reference numerals throughout the drawings may represent the same or similar elements, and thus their description may be omitted.
FIGS. 7 through 14 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to an exemplary embodiment of the present invention. Referring initially to FIG. 7, a first stopper layer 404 is formed on a semiconductor substrate 400 having a first conductive layer 402. A first interlayer dielectric layer 405 is then formed on the first stopper layer 404. In various exemplary embodiments of the invention, the first conductive layer 402 may be an impurity-doped region formed on the semiconductor substrate 400 or another metal interconnection layer, such as a copper interconnection layer or a tungsten interconnection layer. The first stopper layer 404 may be formed of a material having a high etching selectivity with respect to the first interlayer dielectric layer 405 formed thereon. For example, the first stopper layer 404 may be formed of a carbide-based dielectric material or a nitride-based dielectric material, more specifically, SiC, SiCN, BN, or SiN.
Moreover, the first interlayer dielectric layer 405 may be formed of either an organic oxide-based material or an inorganic oxide-based material. For example, the first interlayer dielectric layer 405 may be formed of a low dielectric material layer, such as an SiOC layer, a porous SiO2 layer, a phosphorous silicate glass (PSG) layer, a boron phosphorous silicate glass (BPSG) layer, an undoped silicate glass (USG) layer, a fluorine doped silicate glass (FSG) layer, a high density plasma (HDP) layer, a plasma enhanced-tetra ethyl ortho silicate (PE-TEOS) layer, or a spin on glass (SOG) layer. The first interlayer dielectric layer 405 can be formed of a material having a high etching selectivity with respect to the first stopper layer 404.
As further depicted in FIG. 7, a second stopper layer 406 is formed on the first interlayer dielectric layer 405 and a second interlayer dielectric layer 407 is formed on the second stopper layer 406. In one exemplary embodiment, the second stopper layer 406 is formed of a material having a high etching selectivity with respect to the second interlayer dielectric layer 407 formed thereon, for example, a carbide-based dielectric material or a nitride-based dielectric material. More specifically, the second stopper layer 406 may be formed of SiC, SiCN, BN, or SiN. Further, the second interlayer dielectric layer 407, like the first interlayer dielectric layer 405, may be formed of an inorganic oxide-based material or an organic oxide-based material. For example, the second interlayer dielectric layer 407 can be formed of a low dielectric material layer, such as a SiOC layer, a porous SiO2 layer, a PSG layer, a BPSG layer, a USG layer, a FSG layer, an HDP layer, a PE-TEOS layer, or an SOG layer. The second interlayer dielectric layer 407 can be formed of a material having a high etching selectivity with respect to the second stopper layer 406. The second interlayer dielectric layer 407 may be formed of a different material than the first interlayer dielectric layer 406 or more preferably, the second interlayer dielectric layer 407 is formed of the same material as that of the first interlayer dielectric layer 405.
As further depicted in FIG. 7, a hard mask layer 408 is formed on the second interlayer dielectric layer 407. In one embodiment, the hard mask layer 408 is formed of a material which has a high etching selectivity with respect to the second interlayer dielectric layer 407 and which enables the hard mask layer 408 to serve as an anti-reflection layer (ARL) in a subsequent photolithography process. For example, to form an ARL that provides robust anti-reflection functions, the hard mask layer 408 may be formed of (i) a carbon nitride-based dielectric material such as an SiCN-based dielectric material, (ii) an oxynitride-based dielectric material such as an SiON-based dielectric material, (iii) a carbon oxynitride-based dielectric material such as an SiCON-based dielectric material, or (iv) a metal material such as TaN, TiN, TiON, or TaON. Alternatively, the hard mask layer 408 may be formed of AlN or AlON, which provides relatively weak anti-reflection functions.
In various exemplary embodiments of the invention, the hard mask layer 408 may be formed of a single layer that can serve as an ARL as well as a hard mask layer, or formed of a stack of anti-reflection layers, or formed of a first layer that serves as an ARL and a second layer that does not serve as an ARL. Further, the hard mask layer 408 may be formed of a metal oxide such as AlO, TaO, or TiO, in which case the hard mask layer 408 does not serve as an ARL but provides a superior etching selectivity to the first and second interlayer dielectric layers 405 and 407.
In one exemplary embodiment where the hard mask layer 408 is formed of a single ARL, such layer may have a thickness of about 1000 Å. In another embodiment where the hard mask layer 408 is formed of a stacked layer comprising two ARLs, such layer may have a thickness of about 1000 Å. In yet another embodiment where the hard mask layer 408 is formed of a stacked layer comprising a non-ARL (lower layer) formed on the interlayer dielectric layer 407 and an ARL (upper layer) formed on the non-ARL, the upper layer may have a thickness of about 600 Åand the lower layer may have a thickness in the range of about 100 Åto about 200 Å. The non-ARL (lower layer) does not serve as an ARL but has a high etching selectivity to the first and second interlayer dielectric layers 405 and 407.
Referring again to FIG. 7, a first photoresist pattern 410 is formed on the hard mask layer 408, wherein the photoresist pattern comprises an opening of a first width W1 that exposes a portion of a top surface of the hard mask layer 408. More specifically, the hard mask layer 408 is coated with photoresist, and the photoresist is light-exposed and developed, thus forming the first photoresist pattern 410.
Referring now to FIG. 8, in one exemplary embodiment, the hard mask layer 408, the second interlayer dielectric layer 407, and the second stopper layer 406 are sequentially etched using the first photoresist pattern 410 as an etching mask, to thereby form a partial via hole 412 of width W1 in the second interlayer dielectric layer 407, followed by removal of the first photoresist pattern 410 using, e.g., an ashing process. In another exemplary embodiment of the invention, the etching process is performed by etching the hard mask layer 408 using the first photoresist pattern 410 as an etching mask to form a first hard mask pattern 408 a, removing the first photoresist pattern 410 using a typical method such as ashing, and then etching the second interlayer dielectric layer 407 and the second stopper layer 406 using the first hard mask pattern 408 a as an etching mask to form the partial via hole 412 of width W1 in the second interlayer dielectric layer 407.
Referring now to FIG. 9, a second photoresist layer is deposited over the semiconductor device to fill the partial via hole 412 with the photoresist material. In one embodiment where the hard mask layer 408 serves as an ARL, no additional step is needed to form an ARL layer. In another embodiment of the invention where the hard mask layer 408 does not serve and an ARL, an ARL layer could be formed before deposition of the second photoresist layer. The second photoresist layer is exposed and developed to form a second photoresist pattern 416 having an opening of a second width W2 through which a portion of the hard mask pattern 408 a is exposed. The second photoresist pattern 416 defines a trench interconnection area 418, which overlaps at least part of the partial via hole 412.
Referring now to FIG. 10, a second hard mask pattern 408 b is formed by dry-etching the exposed first hard mask pattern 408 a on the second interlayer dielectric layer 407 using the second photoresist pattern 416 as an etching mask. In the process of etching the first hard mask pattern 408 a to form the second hard mask pattern 408 b, the photoresist material in the partial via hole 412 may be etched to a depth below the bottom surface of the hard mask layer 408, such as depicted in FIG. 10. The hard mask layer 408 can be etched using an etching gas having a low etching ratio of the hard mask layer 408 to the photoresist layer 416, for example, lower than 2:1. A fluorine-based gas, such as CF4, CH2F2, CHF3, CH3F, NF3, or SF6, can be used as t etching gas. Alternatively, an oxygen-based gas, such as O2, CO, or CO2, a nitrogen-based gas, such as N2 or N2O, or an inert gas, such as Ar, He, or Xe, can be used as the etching gas.
In another embodiment of the invention, the process of forming the second hard mask pattern 408 b may be performed by first etching the photoresist pattern 416 to etch the photoresist material in the partial via hole 412 down below the bottom surface of the hard mask pattern 408 a by using a mixture of two or more of an oxygen-based gas, a nitride-based gas, and a hydrogen-based gas, followed by etching the hard mask pattern 408 a using the second photoresist pattern 416 as an etching mask.
In another exemplary embodiment where the hard mask layer 408 is formed of metal nitride, such as AlN, TaN, or TiN, metal oxide, such as AlO, TaO, or TiO, or a combination thereof, a chloride-based gas, such as Cl2 or BCl3, can be used for etching the hard mask layer 408.
Referring now to FIGS. 11 and 12, the second photoresist pattern 416 is completely removed through a method such as ashing, using a mixed gas of oxygen, nitrogen, or hydrogen. Then, an etching process is performed using the second hard mask pattern 408 b as an etching mask. As depicted in FIG. 12, during the etching process, the second interlayer dielectric layer 407 and the second stopper layer 406 in the trench interconnection area 418 are etched away, and the first interlayer dielectric layer 405 exposed by the partial via hole 412 is etched away, thus forming a full via hole 412 a. For etching the first and second interlayer dielectric layers 405 and 407 using the second hard mask pattern 408 b as an etching mask, a CxFy-based gas, such as C4F8, C4F6, or C5F8, a CHxFy-based gas, such as CH2F2 or CH3F, an oxygen-based gas, such as O2, CO, or CO2, a nitrogen-based gas, such as N2 or N2O, or an inert gas, such as He, Ar, or Xe can be used as an etching gas.
Referring now to FIG. 13, the portion of the first stopper layer 404 exposed through the full via hole 412 a is etched away. In the process of removing the first stopper layer 404, a mixture of at least one of a fluorine-based gas, such as CF4, CH2F2, or CHF3, an oxygen-based gas, such as O2, CO, or CO2, a nitrogen-based gas, such as N2 or N2O, and a hydrogen-based gas can be used as an etching gas.
Referring to FIG. 14, a layer of conductive material comprising copper or tungsten is deposited to fill the trench interconnection area 418 and the full via hole 412 a, and the layer of conductive material is then planarized to form a second conductive layer 420 which is in electrical contact with the first conductive layer 402. In the process of forming the second conductive layer 420, the second hard mask pattern 408 b can be etched away or left behind, and then subsequent processes are carried out.
FIGS. 15 through 20 are cross-sectional schematic views illustrating a method for forming a metal interconnection layer of a semiconductor device according to another exemplary embodiment of the present invention. Referring initially to FIG. 15, a first stopper layer 404 is formed on a semiconductor substrate 400 having a first conductive layer 402. A first interlayer dielectric layer 405 is then formed on the first stopper layer 404 and a second interlayer dielectric layer 407 is formed on the first interlayer dielectric layer 405.
In various exemplary embodiments of the invention, the first conductive layer 402 may be an impurity-doped region formed on the semiconductor substrate 400 or another metal interconnection layer, such as a copper interconnection layer or a tungsten interconnection layer. The first stopper layer 404 may be formed of a material having a high etching selectivity with respect to the first interlayer dielectric layer 405. For example, the first stopper layer 404 may be formed of a carbide-based dielectric material or a nitride-based dielectric material, more specifically, SiC, SiCN, BN, or SiN.
Moreover, the first interlayer dielectric layer 405 may be formed of either an organic oxide-based material or an inorganic oxide-based material. The second interlayer dielectric layer 407, like the first interlayer dielectric layer 405, may be formed of an inorganic oxide-based material or an organic oxide-based material. The second interlayer dielectric layer 407 may be formed of a different material than the first interlayer dielectric layer 406 or more preferably, the second interlayer dielectric layer 407 is formed of the same material as that of the first interlayer dielectric layer 405.
Next, as with the exemplary method described above with reference to FIG. 7, for example, a hard mask layer 408 is deposited on the second interlayer dielectric layer 407. In one exemplary embodiment, the hard mask layer 408 is formed of a material which has a high etching selectivity with respect to the second interlayer dielectric layer 407 and which enables the hard mask layer 408 to serve as an anti-reflection layer (ARL) in a subsequent photolithography process. For example, to form an ARL that provides robust anti-reflection functions, the hard mask layer 408 may be formed of (i) a carbon nitride-based dielectric material such as an SiCN-based dielectric material, (ii) an oxynitride-based dielectric material such as an SiON-based dielectric material, (iii) a carbon oxynitride-based dielectric material such as an SiCON-based dielectric material, or (iv) a metal material such as TaN, TiN, TiON, or TaON. Alternatively, the hard mask layer 408 may be formed of AlN or AlON, which provides relatively weak anti-reflection functions.
In various exemplary embodiments of the invention, the hard mask layer 408 may be formed of a single layer that can serve as an ARL as well as a hard mask, or a multiple layer of various ARL materials, or a double layer comprising a material layer that can serve as an ARL and another material layer that does not serve as an ARL. The hard mask layer 408 may be formed of, for example, metal oxide, such as AlO, TaO, or TiO, in which case the hard mask layer 408 does not serve as an ARL but provides a superior etching selectivity to the first and second interlayer dielectric layers 405 and 407.
In one exemplary embodiment where the hard mask layer 408 is formed of a single ARL layer, such layer may have a thickness of about 1000 Å. In another embodiment where the hard mask layer 408 is formed of a double layer comprising two ARLs, such layer may have a thickness of about 1000 Å. In another embodiment where the hard mask layer 408 is formed of a double layer comprising an ARL as an upper layer and a non-ARL as a lower layer, the upper and lower layers may have a thickness of about 600 Å and a thickness of about 100–200 Å, respectively.
Referring again to FIG. 15, a first photoresist pattern (not shown) having an opening of a first width W1 is formed on the hard mask layer 408 to expose a portion of the top surface of the hard mask layer 408. Thereafter, a partial via hole 412 having the first width W1 is formed in the second interlayer dielectric layer 407 by etching the hard mask layer 408 (to form first hard mask pattern 408 a) and the second interlayer dielectric layer 407 using the first photoresist pattern 416 as an etching mask. Thereafter, the first photoresist pattern is removed.
Referring to FIG. 16, in one exemplary embodiment of the invention, a sacrificial layer 411 is formed over the entire surface of the semiconductor device, filling the partial via hole 412 with sacrificial material and forming the sacrificial layer 411 on the first hard mask pattern 408 a having a uniform thickness. In one exemplary embodiment, the sacrificial layer 411 is formed of a BARC material, which is a carbide-based organic material, through SOG or CVD process. The sacrificial layer 411 may be formed to partially or entirely fill the partial via hole 412. Thereafter, a photoresist layer is deposited on the sacrificial layer 411 and then patterned to form a second photoresist pattern 416 having an opening of a second width W2 to expose a portion of the first hard mask pattern 408 a adjacent the partial via hole 412. The second width W2 is larger than the first width W1.
Referring now to FIG. 17, the sacrificial layer 411 and the first hard mask pattern 408 a are dry-etched using the second photoresist pattern 416 as an etching mask, thus forming a second hard mask pattern 408 b that defines a trench interconnection area 418 which overlaps at least part of the partial via hole 412. In this etch process, the sacrificial layer 411 in the partial via hole 412 may be etched to a depth below the bottom surface of the hard mask layer 408. The hard mask layer 408 can be etched using an etching gas having a low etching ratio of the hard mask layer 408 to the photoresist layer 416, for example, lower than 2:1. A fluorine-based gas, such as CF4, CH2F2, CHF3, CH3F, NF3, or SF6, can be used as the etching gas. Alternatively, an oxygen-based gas, such as O2, CO, or CO2, a nitrogen-based gas, such as N2 or N2O, or an inert gas, such as Ar, He, or Xe, can be used as the etching gas.
In another embodiment of the invention, the process of forming the second hard mask pattern 408 b using the second photoresist pattern 416 as an etching mask may include first etching the sacrificial layer 411 in the partial via hole 412 to a depth below the bottom surface of the hard mask layer 408, followed by etching the hard mask pattern 408 a by using at least one of an oxygen-based gas, a nitride-based gas, and a hydrogen-based gas.
In another embodiment where the hard mask layer 408 is formed of metal nitride, such as AlN, TaN, or TiN, metal oxide, such as AlO, TaO, or TiO, or a combination thereof, a chloride-based gas, such as Cl2 or BCl3, can be used for etching the hard mask pattern 408 a.
Referring now to FIGS. 18 and 19, the second photoresist pattern 416 and the sacrificial layer 411 are removed using, for example, an ashing process using a mixed gas of oxygen, nitrogen, or hydrogen. An etching process is then performed using the second hard mask pattern 408 b as an etching mask. During the etching, the second interlayer dielectric layer 407 in the trench interconnection area 418 is etched away, and the first interlayer dielectric layer 405 exposed by the partial via hole 412 is etched away, thus forming a full via hole 412 a. In the process of etching the first and second interlayer dielectric layers 405 and 407 using the second hard mask pattern 408 b as an etching mask, a CxFy-based gas such as C4F8, C4F6, or C5F8, a CHxFy-based gas such as CH2F2 or CH3F, an oxygen-based gas such as O2, CO, or CO2, a nitrogen-based gas such as N2 or N2O, or an inert gas, such as He, Ar, or Xe can be used as an etching gas.
Referring now to FIG. 20, the portion of the first stopper layer 404 exposed through the full via hole 412 a is etched away using, for example, an etching gas comprising a mixture of at least one of a fluorine-based gas such as CF4, CH2F2, or CHF3, an oxygen-based gas such as O2, CO, or CO2, a nitrogen-based gas such as N2 or N2O, or a hydrogen-based gas. Thereafter, a layer of conductive material comprising copper or tungsten is deposited to fill in the trench interconnection area 418 and the full via hole 412 a, and then planarized to form a second conductive layer 420 that electrically contacts the first conductive layer 402. In the process of forming the second conductive layer 420, the second hard mask pattern 408 b can be etched away or left behind, and then subsequent processes are performed.
There are various advantages associated with methods for forming metal interconnection layers according to the invention. For example, by initially forming a partial via hole (as opposed to a full via hole in the conventional methods described above) and filling the partial via hole with a photoresist layer, or a sacrificial layer before depositing the photoresist, it is possible to prevent variations in the thickness of the photoresist layer in different regions of the semiconductor substrate, which can adversely affect the formation of a photoresist pattern. Moreover, the present invention can be readily applied to next-generation photoresists, such as ArF photoresists, having no etching tolerance.
Moreover, methods according to the invention, which comprise initially forming a partial via hole, can prevent formation of a “fence” when forming the trench interconnection area, which can occurs with conventional methods as discussed above.
Another advantage associated with methods according to the present invention is that the use of a hard mask layer as an anti-reflection layer simplifies the entire process for forming a metal interconnection layer.
In addition, by completely removing the second phototresist pattern 416 and using the hard mask pattern 408 b to etch the full via hole 412 a (as opposed to using a photoresist pattern to etch the full via hole 412 a) the present invention can prevent damage to the interlayer dielectric layer that can be caused by an ashing process to remove the photoresist pattern, even when a metal interconnection layer is formed of a low dielectric material.
A further advantage of the present invention is that the stopper layer (which covers the first conductive layer) is etched after the interconnection area and via hole are formed by etching the interlayer dielectric layers. Thus, the first conductive layer is minimally exposed to the environment, which prevents, e.g., formation of a metal oxide layer on the first conductive layer during removal of photoresist patterns.
Another advantage associated with the present invention is that since the partial via hole is buried with an organic or inorganic material before forming the second photoresist pattern, it is possible to prevent an unopened via hole caused by photoresist remaining at the bottom of the partial via hole when forming the second photoresist pattern. Furthermore, since the partial via hole is buried with an organic or inorganic material before forming the second photoresist pattern, it is possible to prevent the profile of the via hole from deteriorating even when the second photoresist pattern is misaligned with other elements of a semiconductor device.
While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present invention as defined by the following claims.

Claims (38)

1. A method for forming a metal interconnection layer of a semiconductor device, comprising:
forming an interlayer dielectric layer on a substrate;
forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer;
depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer;
forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask;
removing the first photoresist pattern;
depositing a second photoresist layer to fill the partial via hole with photoresist material and patterning the second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least portion of the partial via hole;
etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern;
completely removing the second photoresist pattern and the photoresist material in the partial via hole;
etching the interlayer dielectric layer using the hard mask pattern as an etching mask to form the trench interconnection area and to extend the partial via hole to form a full via hole; and
filling the full via hole and the trench interconnection area with a conductive material.
2. The method of claim 1, wherein forming the interlayer dielectric layer on the substrate comprises forming a first stopper layer on the substrate and forming the interlayer dielectric layer on the first stopper layer, and wherein the method further comprises removing a portion of the first stopper layer that is exposed through the full via hole before filling the full via hole and the trench interconnection area with the conductive material.
3. The method of claim 2, wherein forming the interlayer dielectric layer on the substrate comprises forming a first interlayer dielectric layer on the first stopper layer and forming a second interlayer dielectric layer on the first interlayer dielectric layer.
4. The method of claim 3, further comprising forming a second stopper layer on the first interlayer dielectric layer before forming the second interlayer dielectric layer.
5. The method of claim 1, wherein the hard mask layer is formed of SiCN, SiON, SiCON, TaN, TiN, TiON, TaON, AlN, or AlON, or any combination thereof.
6. The method of claim 1, wherein the hard mask layer has a thickness of about 1000 Å.
7. The method of claim 1, wherein forming the hard mask layer comprises forming a first hard mask layer on the interlayer dielectric layer and forming a second hard mask layer on the first hard mask layer.
8. The method of claim 7, wherein the first and second hard mask layers are anti-reflection layers.
9. The method of claim 8, wherein the hard mask layer has a thickness of about 1000 Å.
10. The method of claim 7, wherein the second hard mask layer is an anti-reflection layer and wherein the first hard mask layer is a non anti-reflection layer having an etching selectivity to the interlayer dielectric layer.
11. The method of claim 10, wherein the first hard mask layer is formed of AlO, TaO, or TiO.
12. The method of claim 10, wherein the second hard mask layer has a thickness of about 600 Å, and wherein the first hard mask layer has a thickness in a range of about 100 Å to about 200 Å.
13. The method of claim 1, further comprising etching the photoresist material in the partial via hole to a depth below a bottom surface of the hard mask layer while etching the hard mask layer using the second photoresist pattern as an etching mask.
14. The method of claim 1, wherein etching the hard mask layer using the second photoresist pattern as an etching mask is performed using a fluorine-based gas such as CF4, CH2F2, CHF3, CH3F, NF3, or SF6.
15. The method of claim 1, wherein etching the hard mask layer using the second photoresist pattern as an etching mask is performed using a chloride-based gas such as Cl2 or BCl3.
16. The method of claim 1, further comprising etching the photoresist material in the partial via hole to a depth below a bottom surface of the hard mask layer before etching the hard mask layer using the second photoresist pattern as an etching mask.
17. The method of claim 1, wherein etching the interlayer dielectric layer using the hard mask pattern as an etching mask is performed using an etching gas comprising (i) an oxygen-based gas such as O2, CO, or CO2, (ii) a nitrogen-based gas such as N2 or N2O, or (iii) an inert gas such as Ar, He or Xe.
18. The method of claim 1, wherein etching the interlayer dielectric layer using the hard mask pattern as an etching mask is performed using a CF-based etching gas.
19. The method of claim 1, wherein etching the interlayer dielectric layer using the hard mask pattern as an etching mask is performed using an etching gas comprising (i) a CHxFy-based gas such as CH2F2 or CH3F, (ii) an oxygen-based gas such as O2, CO or CO2, (iii) a nitrogen-based gas such as N2 or N2O or (iv) an inert gas such as He, Ar, or Xe.
20. A method of forming a metal interconnection layer of a semiconductor device, comprising:
forming an interlayer dielectric layer on a substrate;
forming a hard mask layer on the interlayer dielectric layer, wherein the hard mask layer serves as an anti-reflection layer;
depositing and patterning a first photoresist layer to form a first photoresist pattern on the hard mask layer;
forming a partial via hole in the interlayer dielectric layer by etching the hard mask layer and the interlayer dielectric layer using the first photoresist pattern as an etching mask;
removing the first photo resist pattern;
depositing a layer of sacrificial material to completely or partially bury the partial via hole;
depositing and patterning a second photoresist layer to form a second photoresist pattern that defines a trench interconnection area which overlaps at least a portion of the partial via hole;
etching the hard mask layer using the second photoresist pattern as an etching mask to form a hard mask pattern;
completely removing the second photoresist pattern and the layer of sacrificial material;
etching the interlayer dielectric layer using the hard mask pattern as an etching mask to form the trench interconnection area and to extend the partial via hole to form a full via hole; and
filling the full via hole and the trench interconnection area with a conductive material.
21. The method of claim 20, wherein forming the interlayer dielectric layer on the substrate comprises forming a first stopper layer on the substrate and forming the interlayer dielectric layer on the first stopper layer, and wherein the method further comprises removing a portion of the first stopper layer that is exposed through the full via hole before filling the full via hole and the trench interconnection area with the conductive material.
22. The method of claim 21, wherein forming the interlayer dielectric layer on the substrate comprises forming a first interlayer dielectric layer on the first stopper layer and forming a second interlayer dielectric layer on the first interlayer dielectric layer.
23. The method of claim 22, further comprising forming a second stopper layer on the first interlayer dielectric layer before forming the second interlayer dielectric layer.
24. The method of claim 20, wherein the hard mask layer is formed of SiCN, SiON, SiCON, TaN, TiN, TiON, TaON, AlN, or AlON, or any combination thereof.
25. The method of claim 20, wherein the hard mask layer has a thickness of about 1000 Å.
26. The method of claim 20, wherein forming the hard mask layer comprises forming a first hard mask layer on the interlayer dielectric layer and forming a second hard mask layer on the first hard mask layer.
27. The method of claim 26, wherein the first and second hard mask layers are anti-reflection layers.
28. The method of claim 27, wherein the hard mask layer has a thickness of about 1000 Å.
29. The method of claim 26, wherein the second hard mask layer is an anti-reflection layer and wherein the first hard mask layer is a non anti-reflection layer having an etching selectivity to the interlayer dielectric layer.
30. The method of claim 29, wherein the first hard mask layer is formed of AlO, TaO, or TiO.
31. The method of claim 29, wherein the second hard mask layer has a thickness of about 600 Å, and wherein the first hard mask layer has a thickness in a range of about 100 Å to about 200 Å.
32. The method of claim 20, wherein etching the interlayer dielectric layer using the hard mask pattern as an etching mask is performed using a CF-based gas.
33. The method of claim 20, wherein etching the interlayer dielectric layer using the hard mask pattern as an etching mask is performed using an etching gas comprising (i) a CHxFy-based gas such as CH2F2 and CH3F, (ii) an oxygen-based gas such as O2, CO, or CO2, (iii) a nitrogen-based gas such as N2 or N2O, or (iv) an inert gas such as He, Ar or Xe.
34. The method of claim 20, wherein depositing a layer of sacrificial material to completely bury the partial via hole includes forming a uniformly thick layer of sacrificial material over the hard mask layer.
35. The method of claim 20, further comprising etching the sacrificial material in the partial via hole to a depth below a bottom surface of the hard mask layer while etching the hard mask layer using the second photoresist pattern as an etching mask.
36. The method of claim 20, wherein etching the hard mask layer using the second photoresist pattern as an etching mask is performed using a fluorine-based gas such as CF4, CH2F2, CHF3, CH3F, NF3 or SF6.
37. The method of claim 20, wherein etching the hard mask layer using the second photoresist pattern as an etching mask is performed using a chloride-based gas such as Cl2 or BCl3.
38. The method of claim 20, further comprising etching the sacrificial material in the partial via hole to a depth below a bottom surface of the hard mask layer before etching the hard mask layer using the second photoresist pattern as an etching mask.
US10/888,577 2002-04-02 2004-07-09 Method of forming metal interconnection layer of semiconductor device Expired - Lifetime US7157366B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/888,577 US7157366B2 (en) 2002-04-02 2004-07-09 Method of forming metal interconnection layer of semiconductor device

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
KR01-26966 2001-05-17
US10/114,274 US6861347B2 (en) 2001-05-17 2002-04-02 Method for forming metal wiring layer of semiconductor device
KR02-27442 2002-05-17
US10/392,710 US6815331B2 (en) 2001-05-17 2003-03-20 Method for forming metal wiring layer of semiconductor device
KR10-2003-0047006A KR100532446B1 (en) 2003-07-10 2003-07-10 Method for forming metal interconnection layer of semiconductor device
KR2003-47006 2003-07-10
US10/888,577 US7157366B2 (en) 2002-04-02 2004-07-09 Method of forming metal interconnection layer of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/392,710 Continuation-In-Part US6815331B2 (en) 2001-05-17 2003-03-20 Method for forming metal wiring layer of semiconductor device

Publications (2)

Publication Number Publication Date
US20050037605A1 US20050037605A1 (en) 2005-02-17
US7157366B2 true US7157366B2 (en) 2007-01-02

Family

ID=34139876

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/888,577 Expired - Lifetime US7157366B2 (en) 2002-04-02 2004-07-09 Method of forming metal interconnection layer of semiconductor device

Country Status (1)

Country Link
US (1) US7157366B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060141766A1 (en) * 2004-12-29 2006-06-29 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US20070128850A1 (en) * 2005-12-07 2007-06-07 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US20070218698A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, and computer-readable storage medium
US20100001406A1 (en) * 2008-07-02 2010-01-07 Hyeoksang Oh Artificially tilted via connection
US20150214068A1 (en) * 2014-01-24 2015-07-30 United Microelectronics Corp. Method of performing etching process
US10636797B2 (en) 2018-04-12 2020-04-28 United Microelectronics Corp. Semiconductor device and method for fabricating the same

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
TWI335615B (en) * 2002-12-27 2011-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using arf photolithography capable of protecting tapered profile of hard mask
US20040127198A1 (en) * 2002-12-30 2004-07-01 Roskind James A. Automatically changing a mobile device configuration based on environmental condition
KR100519795B1 (en) * 2003-02-07 2005-10-10 삼성전자주식회사 Photo mask set for forming multi-layered interconnection lines and semiconductor device fabricated using the same
US7129171B2 (en) * 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
KR100545220B1 (en) * 2003-12-31 2006-01-24 동부아남반도체 주식회사 Method for fabricating the dual damascene interconnection in semiconductor device
TWI413152B (en) * 2005-03-01 2013-10-21 Semiconductor Energy Lab Manufacturing method of semiconductor device
JP4516450B2 (en) * 2005-03-02 2010-08-04 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US20070054486A1 (en) * 2005-09-05 2007-03-08 Ta-Hung Yang Method for forming opening
US20070134917A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
US7972957B2 (en) * 2006-02-27 2011-07-05 Taiwan Semiconductor Manufacturing Company Method of making openings in a layer of a semiconductor device
US7550377B2 (en) * 2006-06-22 2009-06-23 United Microelectronics Corp. Method for fabricating single-damascene structure, dual damascene structure, and opening thereof
US7767578B2 (en) * 2007-01-11 2010-08-03 United Microelectronics Corp. Damascene interconnection structure and dual damascene process thereof
US20090087992A1 (en) * 2007-09-28 2009-04-02 Chartered Semiconductor Manufacturing Ltd. Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme
TW200921845A (en) * 2007-11-08 2009-05-16 Nanya Technology Corp Method for fabricating conductive plug
GB0805328D0 (en) * 2008-03-25 2008-04-30 Aviza Technologies Ltd Deposition of an amorphous layer
DE102008016425B4 (en) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. A method of patterning a metallization layer by reducing degradation of the dielectric material caused by resist removal
JP6272830B2 (en) 2012-04-24 2018-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated PVDALN film with oxygen doping for hard mask film with low etching rate
US20140057439A1 (en) * 2012-08-21 2014-02-27 Jiandong Zhang Method of Forming Interlayer Dielectrics
KR102014197B1 (en) 2012-10-25 2019-08-26 삼성전자주식회사 Semiconductor structure and method of manufacturing the same
JP6599853B2 (en) * 2013-06-21 2019-10-30 サンミナ コーポレーション Method of forming a laminated structure having plated through holes using a removable cover layer
US9218972B1 (en) * 2014-07-07 2015-12-22 Kabushiki Kaisha Toshiba Pattern forming method for manufacturing semiconductor device
JP2017055055A (en) * 2015-09-11 2017-03-16 株式会社東芝 Method of manufacturing semiconductor device
US10157775B2 (en) * 2017-04-10 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
CN112447514A (en) * 2019-08-28 2021-03-05 芯恩(青岛)集成电路有限公司 Metal hard mask, multilayer interconnection structure and preparation method thereof
CN114446931A (en) * 2020-11-04 2022-05-06 联华电子股份有限公司 Transistor structure with air gap and manufacturing method thereof

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025259A (en) 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6037255A (en) 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6319820B1 (en) * 2000-03-21 2001-11-20 Winbond Electronics Corp. Fabrication method for dual damascene structure
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US20020081834A1 (en) 2000-12-26 2002-06-27 Honeywell International Inc. Method for eliminating reaction between photoresist and OSG
KR20020058289A (en) 2000-12-29 2002-07-12 박종섭 Manufacturing method for semiconductor device
US6448177B1 (en) 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
KR20020088399A (en) 2001-05-17 2002-11-27 삼성전자 주식회사 Method for forming metal interconnection layer of semiconductor device
US20030001273A1 (en) 2001-06-28 2003-01-02 Steiner Kurt G. Structure and method for isolating porous low-k dielectric films

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025259A (en) 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US6037255A (en) 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6319820B1 (en) * 2000-03-21 2001-11-20 Winbond Electronics Corp. Fabrication method for dual damascene structure
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6323123B1 (en) 2000-09-06 2001-11-27 United Microelectronics Corp. Low-K dual damascene integration process
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6455416B1 (en) * 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US20020081834A1 (en) 2000-12-26 2002-06-27 Honeywell International Inc. Method for eliminating reaction between photoresist and OSG
KR20020058289A (en) 2000-12-29 2002-07-12 박종섭 Manufacturing method for semiconductor device
US6448177B1 (en) 2001-03-27 2002-09-10 Intle Corporation Method of making a semiconductor device having a dual damascene interconnect spaced from a support structure
KR20020088399A (en) 2001-05-17 2002-11-27 삼성전자 주식회사 Method for forming metal interconnection layer of semiconductor device
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US20030001273A1 (en) 2001-06-28 2003-01-02 Steiner Kurt G. Structure and method for isolating porous low-k dielectric films

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Korean Patent Abstracts for Publication No. 2002-0058289.

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060141766A1 (en) * 2004-12-29 2006-06-29 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US20070128850A1 (en) * 2005-12-07 2007-06-07 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US7422981B2 (en) 2005-12-07 2008-09-09 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US20080293237A1 (en) * 2005-12-07 2008-11-27 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US7598172B2 (en) 2005-12-07 2009-10-06 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US20070218698A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, and computer-readable storage medium
US20100001406A1 (en) * 2008-07-02 2010-01-07 Hyeoksang Oh Artificially tilted via connection
US7863185B2 (en) * 2008-07-02 2011-01-04 Samsung Electronics Co., Ltd. Artificially tilted via connection
US20150214068A1 (en) * 2014-01-24 2015-07-30 United Microelectronics Corp. Method of performing etching process
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process
US10636797B2 (en) 2018-04-12 2020-04-28 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US11411009B2 (en) 2018-04-12 2022-08-09 United Microelectronics Corp. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US20050037605A1 (en) 2005-02-17

Similar Documents

Publication Publication Date Title
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
US6861347B2 (en) Method for forming metal wiring layer of semiconductor device
US6800550B2 (en) Method for forming t-shaped conductive wires of semiconductor device utilizing notching phenomenon
US6352917B1 (en) Reversed damascene process for multiple level metal interconnects
US6617232B2 (en) Method of forming wiring using a dual damascene process
US6815331B2 (en) Method for forming metal wiring layer of semiconductor device
TW200522203A (en) Method for fabricating semiconductor device
KR100532446B1 (en) Method for forming metal interconnection layer of semiconductor device
KR101192410B1 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
CN1661799B (en) Semiconductor device
KR20020025237A (en) Method for producing an integrated circuit having at least one metallized surface
US6465346B2 (en) Conducting line of semiconductor device and manufacturing method thereof using aluminum oxide layer as hard mask
US20230011792A1 (en) Self-Aligned Interconnect Structure And Method Of Forming The Same
KR20030049132A (en) Method for fabricating semiconductor device
KR100607323B1 (en) A method for forming a metal line in semiconductor device
KR100440080B1 (en) Method for forming metal line of semiconductor device
KR100587602B1 (en) Method for forming MIM capacitor of semiconductor device
KR101138075B1 (en) Method for Forming Dual Damascene Pattern
KR20040058955A (en) Method of forming a dual damascene pattern
KR100439111B1 (en) Method for forming metal line in semiconductor device
KR101024871B1 (en) Method of forming a dual damascene pattern
KR100483838B1 (en) Dual damascene process of metal wire
KR100587140B1 (en) Method for forming a dual damascene pattern in semiconductor device
KR100447322B1 (en) Method of forming a metal line in semiconductor device
KR101005738B1 (en) Method for forming a dual damascene pattern in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, IL-GOO;HAH, SANG-ROK;SON, SAE-IL;AND OTHERS;REEL/FRAME:015938/0511;SIGNING DATES FROM 20040922 TO 20040925

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553)

Year of fee payment: 12