US7235462B2 - Methods for fabricating a substrate - Google Patents

Methods for fabricating a substrate Download PDF

Info

Publication number
US7235462B2
US7235462B2 US10/922,997 US92299704A US7235462B2 US 7235462 B2 US7235462 B2 US 7235462B2 US 92299704 A US92299704 A US 92299704A US 7235462 B2 US7235462 B2 US 7235462B2
Authority
US
United States
Prior art keywords
layer
seed layer
substrate
support substrate
working
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US10/922,997
Other versions
US20050026394A1 (en
Inventor
Fabrice Letertre
Bruno Ghyselen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soitec SA filed Critical Soitec SA
Priority to US10/922,997 priority Critical patent/US7235462B2/en
Publication of US20050026394A1 publication Critical patent/US20050026394A1/en
Priority to US11/165,895 priority patent/US7288430B2/en
Application granted granted Critical
Publication of US7235462B2 publication Critical patent/US7235462B2/en
Priority to US11/852,562 priority patent/US7646038B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • C30B29/406Gallium nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide

Definitions

  • the invention relates to methods for fabricating substrates, in particular for optics, electronics, or optoelectronics, and also to substrates obtained by such methods. More particularly, the substrates are also suitable for use in fabricating light-emitting and laser diodes.
  • One method includes transferring a working layer from a source substrate onto a support substrate.
  • the other method includes depositing a working layer onto a support substrate by deposition techniques such as molecular beam epitaxy (MBE), metal organic chemical vapor deposition (MOVCD), and the like.
  • MBE molecular beam epitaxy
  • MOVCD metal organic chemical vapor deposition
  • Source substrate materials from which a working layer can be transferred are very difficult to obtain or are otherwise not available. This applies in particular to monocrystalline gallium nitride, which is not available in the form of a solid single crystal of quality, nor is it available with a diameter that is satisfactory, and not available at a reasonable price. Consequently, monocrystalline gallium nitride is typically grown only by a heteroepitaxial technique.
  • CMO complex transition metal oxide
  • sapphire, silicon carbide and ⁇ 111 ⁇ silicon can be used as seed substrates for the deposition of gallium nitride by heteroepitaxy.
  • sapphire is an electrical insulator, which is a disadvantage in certain applications, and monocrystalline silicon carbide presents the drawbacks of being expensive and difficult to obtain in large diameters.
  • sapphire is an electrical insulator, if it is retained in the form of a solid support, then it becomes necessary for any electrodes needed for the intended application of the working layer to be provided solely on the working layer itself, which can give rise to problems of available space (for example, if two electrical contacts are to be made on a front face, i.e. on the free surface of the working layer).
  • ⁇ 111 ⁇ silicon may be ideal for use as a substrate due to its very widespread use, the fact that it is inexpensive and available in large diameters, problems have arisen during attempts to deposit gallium nitride on ⁇ 111 ⁇ silicon using the standard technique of MOCVD at about 1000° C. to 1100° C. Such problems include dislocations forming in he thin layer of gallium nitride at a concentration in excess of 10 8 per square centimeter (cm 2 ). Moreover, if ⁇ 111 ⁇ silicon is used as a support, i.e. in thick form, then cracking is observed in the working layer because of poor matching in terms of thermal expansion.
  • the invention provides new ways for fabricating substrates for use in the fields of optics, electronics, or optoelectronics.
  • a method for fabricating a substrate which generally comprises implanting atomic species into a face of a source substrate to form a weakened zone therein corresponding to the depth of penetration of the atomic species; transferring the seed layer on to a support substrate by bonding a face of the support substrate to the face of the source substrate and detaching the seed layer from the source substrate; depositing a working layer on the seed layer to form a composite substrate comprising the support substrate, seed layer and working layer; and detaching the seed layer and the working layer from the support substrate to form a substrate.
  • the support substrate comprises a material having a thermal expansion value of about 0.7 to 3 times the coefficient value of the working layer
  • the seed layer includes a crystal lattice parameter sufficient for the epitaxial growth of the working layer onto the seed layer such that the working layer has a dislocation concentration of less than about 10 7 /cm 2 .
  • the material between the face of the substrate and the weakened zone defines the seed layer.
  • the seed layer is preferably adhered to the support substrate by molecular adhesion in an adhesion interface.
  • the method further comprises detaching the seed layer and the working layer from the composite substrate by the application of stress at the adhesion interface, wherein the stress is selected from the group consisting of mechanical stress, thermal stress, chemical etching, electrostatic stress and laser irradiation stress, or any combination thereof.
  • working layer can be deposited on the seed layer by chemical vapor deposition, high temperature chemical vapor deposition, hydride vapor phase epitaxy, epitaxy, metal organic chemical vapor deposition, or molecular beam epitaxy.
  • the seed layer preferably comprises a material from the group consisting of sapphire, silicon carbide, zinc oxide, silicon, gallium nitride, neodymium gallate, and lithium gallate, and the working layer is gallium nitride.
  • the support substrate preferably comprises a material from the group consisting of silicon carbide, aluminum nitride, silicon, and sapphire. In an advantageous embodiment, the seed layer and the support substrate have substantially the same composition.
  • the method further comprises applying at least one intermediate layer between the seed layer and the support substrate.
  • This intermediate layer can be a bonding layer or an insulating layer.
  • one intermediate layer is present upon the seed layer prior to transfer and forms the face of the source substrate that is bonded to the support substrate, while another intermediate layer forms the face of the support substrate that is bonded to the face of the source substrate.
  • the support substrate may be polycrystalline SiC, monocrystalline SiC, sapphire, polycrystalline AlN or polycrystalline GaN
  • the seed layer may be Si, monocrystalline SiC, sapphire, monocrystalline GaN, NdGaO 2 , or LaGaO 2
  • each bonding layer may be SiO 2 or Si 3 N 4
  • the working layer may be GaN, AlN, AlGaN, GaInN, or SiC.
  • the method also can include applying a further layer upon the working layer on a face opposite that of the seed layer, wherein the further layer is polycrystalline SiC, polycrystalline AlN, polycrystalline GaN, boron nitride, diamond or a metal.
  • FIG. 1 is a diagram showing the steps in an implementation of the method in accordance with the invention.
  • FIG. 2 is a diagram of the steps in another implementation of the method in accordance with the invention.
  • FIG. 3 is a diagram of the steps in yet another implementation of the method of the invention.
  • FIG. 4 is a diagrammatic perspective view of an intermediate support with four seed layers, of the kind that can be used in a variant of the method of the invention.
  • the method comprises transferring a seed layer onto a support substrate, depositing a working layer on the seed layer to form a composite substrate; and detaching the seed layer and the working layer from the composite substrate.
  • the seed layer in accordance with the invention may comprise material such as sapphire, silicon carbide, zinc oxide, silicon, gallium nitride, neodymium gallate, lithium gallate, or any combination thereof. Also included are other materials commonly known in the art.
  • the seed layer includes a crystal lattice parameter that is sufficient for growing a working layer onto the seed layer that has, wherein the working layer has a dislocation concentration less than about 10 7 /cm 2 .
  • the method comprises a source substrate including a seed layer and a weakened zone.
  • the seed layer is detached from the source substrate at the weakened zone, and transferred onto a support substrate.
  • the detached seed layer is transferred onto the support substrate, for example, by molecular adhesion at an adhesion interface.
  • a working layer is deposited onto the transferred seed layer to form a composite substrate. The seed layer and the working layer are detached from the composite substrate at the adhesion interface.
  • the depositing step may include depositing the seed layer onto the support substrate by epitaxy. However, other methods as known in the art may be used.
  • the seed layer and the working layer are detached from the composite substrate by the application of stress, for example.
  • the invention may further include applying a first bonding layer onto the source substrate, and applying a second bonding layer onto the support substrate.
  • the source substrate includes implanted atomic species to a predetermined depth to form a weakened zone.
  • the first and second bonding layers may be contacted to attach the source and support substrates, and then the seed layer can be detached from the source layer at the weakened zone and then transferred onto the support substrate. Thereafter, the working layer may be deposited onto the seed layer, which has been transferred to the support substrate.
  • the detaching step comprises application of stress, such as mechanical stresses, thermal stresses, electrostatic stresses, laser irradiation stresses, or a combination thereof.
  • stress such as mechanical stresses, thermal stresses, electrostatic stresses, laser irradiation stresses, or a combination thereof.
  • the support may be recycled and reused.
  • the support substrate preferably comprises a material having a coefficient of thermal expansion of about 0.7 to 3 times the coefficient of the working layer, and the seed layer is suitable for accommodating the thermal expansion of the support and of the working layer.
  • the values of the thermal expansion coefficients herein relate to those in a plane parallel to the plane of the working layer.
  • the material selected to form the support presents thermal expansion coefficients that reduce or even eliminate significant tension or compression stresses of the kind that arise during the variations in temperature that are inherent to growing the working layer, or that occur on returning the substrate formed in this way to ambient temperature.
  • the thermal expansion coefficient of the support material can be several times greater than that of the working layer.
  • the thermal expansion coefficient of the support material is preferably not less than 0.7 times the coefficient of the working layer.
  • the seed layer is suitable for adapting to the thermal expansions imposed by the support and/or the working layer.
  • the seed layer has a thickness that is small enough to enable it to be deformed so as to accommodate the dimensional variations due to thermal expansion of the support and/or of the working layer. This thickness depends on the material constituting the seed layer and on the respective materials of the support and of the working layer.
  • a monocrystalline silicon carbide seed layer should have thickness that is less than 0.5 ⁇ m, and that is preferably less than 1000 angstroms ( ⁇ ).
  • the material comprising the seed layer also presents lattice parameters such that the working layer can be grown epitaxially on the seed layer with dislocations in the working layer at a concentration of less than 10 7 /cm 2 .
  • the person skilled in the art knows how to perform such epitaxial growth by selecting parameters and orientations both for the seed layer and for the working layer.
  • the method provides, as shown in FIG. 1 , a final substrate 14 comprising a working layer 16 on a seed layer 2 .
  • the method comprises applying a bonding layer 10 on a surface of a source substrate 6 , which is subjected to implantation of atomic species.
  • a second bonding layer 11 is preferably applied to a surface of a support 12 .
  • the implanted atomic species are implanted to a predetermined depth in the source substrate 6 so that a zone of weakness 8 is formed.
  • the bonding layers are preferably contacted 100 . and the seed layer is detached from the source substrate 6 via the zone of weakness 8 , and a working layer is deposited 16 on the surface of the seed layer 2 corresponding to the zone of weakness 8 .
  • the steps of forming the bonding layer 10 and of implanting the atomic species can be performed in the order specified above or in another order.
  • atomic implantation is used to cover any bombardment using atomic or ionic species and suitable for introducing these species into a material so as to achieve a concentration maximum of these species in the material, said maximum being situated at a determined depth relative to the bombarded surface.
  • the atomic or ionic species are introduced into the material with energy distributed around a maximum.
  • Atomic species can be implanted in the material using an ion beam implanter, a plasma immersion implanter, etc.
  • atomic or ionic species is used to mean an atom in ionic, neutral, or molecular form, or molecules in ionic or neutral form, or indeed a combination of different atoms or molecules in ionic or neutral form.
  • an intermediate layer such as an insulating layer may be applied to form a substrate comprising a semiconductor on insulation.
  • the intermediate layer can be made of diamond, of fine oxide (500 ⁇ thick), and the like, and may be applied between the working layer 16 and/or the seed layer 2 (if it is conserved) and the support 12 , or the thick layer 4 as described below.
  • a first step comprises implanting ions through the face of the wafer to create, in the volume of the wafer at a depth close to the average penetration depth of the ions, a weakened layer that includes gaseous microbubbles defining in the volume of the wafer an upper region constituting the thin film to be transferred.
  • the ions are chosen from among hydrogen gas ions, rare gas ions or mixtures thereof, and the temperature of the wafer during implantation is preferably kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion.
  • a second step comprises intimately contacting the planar face of the wafer with a stiffener constituted by at least one rigid material layer to form an assembly.
  • a third step includes thermally treating the assembly of the wafer and stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer and a pressure effect in the microbubbles, to cause a separation between the thin film and the rest of the wafer.
  • the stiffener and the planar face of the wafer are kept in intimate contact at this time, the thin layer is transferred from the wafer to the stiffener.
  • the selection of the stiffener is a function of the envisaged application for the transfer layer.
  • the stiffener can advantageously be a silicon wafer covered by at least one dielectric layer, such as an oxide or a nitride layer, with the dielectric layer of the stiffener being intimately in contact with the wafer from which the film is to be transferred.
  • the wafer also may have an encapsulating layer of dielectric material, e.g. silicon oxide.
  • the steps of forming the bonding layers 10 and 11 correspond, by way of example, to forming a layer of amorphous material using any of the methods known to the person skilled in the art.
  • An optional step of preparing the surface of the seed layer 2 that is to receive the working layer 16 may be performed.
  • Such preparation of the surface include but are not limited to polishing operations, annealing operations, smoothing annealing operations (e.g., under hydrogen), annealing operations for reinforcing the adhesive interface between the bonding layers 10 and 11 , sacrificial oxidation operations (oxidation followed by elimination of the oxidized material), etching operations, and the like.
  • Table 1 summarizes the lattice parameters and the thermal expansion coefficients of various materials that are suitable for use in implementing the method of the invention, either as a material for the working layer or as a material for the seed layer or as a material for the support substrate.
  • Table 1 summarizes the lattice parameters and the thermal expansion coefficients of various materials that are suitable for use in implementing the method of the invention, either as a material for the working layer or as a material for the seed layer or as a material for the support substrate.
  • Table 1 summarizes the lattice parameters and the thermal expansion coefficients of various materials that are suitable for use in implementing the method of the invention, either as a material for the working layer or as a material for the seed layer or as a material for the support substrate.
  • these examples are illustrative and should not be construed as limiting the features of the invention.
  • the working layer comprises material such as gallium nitride, aluminum nitride, and gallium and aluminum nitride (and in general manner, semi conductive nitrides having a large band gap).
  • the seed layer preferably comprises materials such as sapphire, silicon carbide, zinc oxide, and ⁇ 111 ⁇ silicon.
  • the seed layer may also be selected to obtain a precise crystal structure and orientation, for example to obtain hexagonal or cubic gallium nitride, or if an Si face or a C face is selected to deposit the working layer on a seed layer of silicon carbide; the seed layer is made of gallium nitride of very high quality, i.e. having fewer than 10 6 dislocations per square centimeter, e.g. gallium nitride obtained by the epitaxial lateral overgrowth (ELOG) technique.
  • ELOG epitaxial lateral overgrowth
  • the support substrate material preferably comprises amorphous materials, polycrystalline materials, and sintered materials. More preferably the support comprises materials such as polycrystalline silicon carbide, monocrystalline silicon carbide, polycrystalline aluminum nitride, polycrystalline gallium nitride, and monocrystalline gallium nitride having a high concentration of dislocations (greater than 10 7 /cm 2 ).
  • the materials for both the seed layer and the support may be have the same chemical composition.
  • the seed layer may be dissociated from a source substrate at a weakened zone.
  • the seed layer taken from the source substrate may be assembled to the support and then eroded via its free face so as to obtain a seed layer of desired thickness (for this purpose it is possible to use a technique taken from the technique used for obtaining substrates and of the type known in the art as bond and etch back silicon on insulator (BESOI)).
  • BESOI bond and etch back silicon on insulator
  • the dissociation of the seed layer may be accomplished at least in part by heat treatment, applying mechanical stresses, chemical etching, or a combination of at least two of these operations. Alternatively, other known methods in the art may be used.
  • an embodiment of the invention relates to a substrate for optics, electronics, or opto-electronics, which comprises a seed layer of Si, monocrystalline SiC, sapphire, monocrystalline GaN, NdGaO 2 , or LaGaO 2 , a bonding layer of SiO 2 or Si 3 N 4 on the seed layer, and a working layer of GaN, AlN, AlGaN, GaInN, or SiC on the bonding layer.
  • Table 2 summarizes examples of materials that are suitable for use in implementing the methods of the invention described above. These are illustrative and should not be construed as limiting the features of the invention.
  • Seed layer 2 (typically Bonding layers Support 12 1000 ⁇ 10, 11 (typically (typically 300 ⁇ m Working layer 16 thick) 1 ⁇ m thick) thick) GaN or AlN or Mono SiC SiO 2 or Si 3 N 4 Poly SiC or mono AlGaN or GaInN or SiC or sapphire or SiC or other poly AlN or poly GaN GaN or AlN or ⁇ 111 ⁇ Si SiO 2 or Si 3 N 4 Poly SiC or mono AlGaN or GaInN or SiC or sapphire or SiC or other poly AlN or poly GaN GaN or AlN or Sapphire SiO 2 or Si 3 N 4 Poly SiC or mono AlGaN or GaInN or SiC or sapphire or SiC or other poly AlN or poly GaN GaN or AlN or Mono GaN SiO 2 or Si 3 N 4 Poly SiC or mono AlGaN or GaInN or SiC or sapphire or SiC or other poly AlN or poly GaN GaN or AlN or Mono GaN SiO 2 or Si 3 N 4 Poly Si
  • a working layer 16 is made of gallium nitride on a seed layer 2 constituted by monocrystalline silicon carbide, itself on a polycrystalline silicon carbide support 12 , with bonding layers 10 and 11 of silicon carbide being interposed between the support 12 and the seed layer 2 .
  • the seed layer 2 is 1000 ⁇ thick.
  • the support 12 is 300 ⁇ m thick, for example.
  • the structure comprising a stack of the seed layer 2 of monocrystalline silicon carbide, the two bonding layers 10 and 11 of silicon oxide, and the support 12 of polycrystalline silicon carbide is made by a layer transfer method known to the person skilled in the art (e.g. see an application of the Smart-Cut® method in French patent No FR 2,681,472 or U.S. Pat. No. 5,374,564, each of which is expressly incorporated herein by reference thereto).
  • the working layer 16 can be made by chemical vapor deposition (CVD), by high temperature chemical vapor deposition (HTCVD), by MOCVD, by MBE, or by hydride vapor phase epitaxy (HVPE), see for example the documents “GaN bulk substrates for GaN-based LEDs and LDs”, by O. Oda et al., Phys. Stat. Sol. (a), No. 180, p.51 (2000), or other equivalent techniques.
  • CVD chemical vapor deposition
  • HTCVD high temperature chemical vapor deposition
  • MOCVD metal-organic chemical vapor deposition
  • MBE hydride vapor phase epitaxy
  • the use of silicon oxide for the bonding layer 10 makes it easier to take the seed layer 2 from the source substrate 6 .
  • the planarized deposit of silicon oxide makes it possible to eliminate surface irregularities and to perform a polishing step, a planarizing step, a cleaning step, a chemical preparation step, and a step of bonding said silicon oxide onto the silicon oxide of the bonding layer 11 formed on the support 12 using conventional techniques that are easy to implement.
  • the set of bonding layers 10 and 11 is one micron thick.
  • the thickness of the ⁇ 111 ⁇ silicon is preferably limited to less than 3000 ⁇ so as to enable it to adapt without cracking to the thermal expansion that will take place during the various operations mentioned above.
  • Sapphire is another material that is known for permitting good epitaxy of gallium nitride.
  • the silicon oxide in one of the bonding layers 10 and 11 can be substituted by some other material, for example silicon nitride (Si 3 N 4 ).
  • This material can withstand higher temperatures than silicon oxide.
  • This advantage is particularly advantageous in the context of optimizing deposition of the working layer 16 in order to form a monocrystalline layer of good quality or indeed when it is desired to increase the rate of deposition.
  • Silicon nitride also has the advantage of limiting or even avoiding diffusion of gallium into the support 12 .
  • the working layer 16 of gallium nitride is substituted by a working layer 16 of aluminum nitride, of silicon carbide, an alloy of aluminum and of gallium, and alloy of gallium and indium, or some other compound.
  • the working layer 16 of gallium nitride can also be substituted by a multilayer structure constituting a stack of layers of the gallium nitride, aluminum nitride, gallium and indium nitride, etc. types, possibly having different kinds of doping, etc.
  • the polycrystalline silicon carbide support 12 is substituted by monocrystalline silicon carbide (in particular when the support 12 can be recycled as mentioned below), sapphire, polycrystalline aluminum nitride, or polycrystalline gallium nitride.
  • the support 12 is removed, possibly after reinforcing the structure as a whole, where necessary for questions of strength, by means of some other support either by direct adhesion, or by forming said other support by depositing it on the working layer, etc.
  • the support 12 must then be capable not only of withstanding the conditions under which the working layer 16 is grown, but is advantaged by being suitable for removal.
  • the technique selected for withdrawing the intermediate support 12 can determine the material selected for constituting it. If it is to be sacrificed by etching or by mechanical or chemical removal, then the etching and removal steps and also the intermediate support 12 itself must be as low cost as possible. Under such circumstances, the support 12 should be made of polycrystalline aluminum nitride.
  • stresses such as mechanical, thermal, electrostatic, laser irradiation, etc. are used to cause the composite substrate to split into two portions situated on either side of the adhesion interface.
  • the support 12 can be made of monocrystalline silicon carbide since it is not consumed and can be reused.
  • all or some of the components on the working layer 16 are made either before or after removing the support 12 .
  • the method of the invention provides a structure comprising a working layer 16 on a seed layer 2 itself on a support 12 with bonding layers 10 and 11 interposed between the seed layer 2 and the support 12 .
  • a thick layer 4 is deposited on the free surface of the working layer 16 and the support 12 is removed, possibly together with the seed layer 2 .
  • the thick layer 4 then serves in particular to form a support for the working layer 16 , after the support 12 has been removed.
  • the further layer of the substrate is present upon the working layer on a face opposite that of the seed layer, wherein the further layer preferably is polycrystalline SiC, polycrystalline AlN, polycrystalline GaN, boron nitride, diamond or a metal such a copper.
  • Table 3 summarizes examples of materials that can be used in the context of this second implementation of the method of the invention. These examples are purely illustrative and should not be construed as limiting.
  • a seed layer 2 of monocrystalline ⁇ 111 ⁇ silicon is made on a polycrystalline silicon carbide support 12 with silicon oxide bonding layers 10 and 11 between them. Thereafter a working layer 16 of monocrystalline gallium nitride is deposited by MOCVD and a thick layer 4 of diamond is deposited on the free face of the monocrystalline gallium nitride of the working layer 16 .
  • This treatment comprises using mechanical, thermal, electrostatic, etc. stresses to cause two portions to become separated on either side of the adhesion interface.
  • This example presents the advantage of making it possible to use a support 12 whose surface that is to receive the seed layer 2 is poorly finished, but once the working layer 16 of GaN has been formed by virtue of the seed layer 2 of ⁇ 111 ⁇ silicon, it is possible to make a final support (the thick layer 4 ) for the working layer 16 that has properties adapted to using said working layer 16 (in this case, with diamond, it is the good thermal conductivity and electrical insulating properties that are desired, e.g. for microwave applications), and it is also possible to ensure a high quality interface between the thick layer 4 and the working layer 16 , e.g. to obtain better heat conduction.
  • This embodiment may have variants such as the seed layer 2 of ⁇ 111 ⁇ silicon can be replaced by monocrystalline silicon carbide, sapphire, neodymium gallate, or lithium gallate; the bonding layers 10 and 11 of silicon oxide can be replaced by silicon nitride; the polycrystalline silicon carbide support 12 can be replaced by monocrystalline silicon carbide or by sapphire; and the thick layer 4 of diamond can be replaced by polycrystalline silicon carbide, by polycrystalline gallium nitride (e.g. deposited by HVPE), by boron nitride, or by a metal such as copper, etc. (e.g. deposited as a thick layer by electrolysis).
  • the seed layer 2 of ⁇ 111 ⁇ silicon can be replaced by monocrystalline silicon carbide, sapphire, neodymium gallate, or lithium gallate
  • the bonding layers 10 and 11 of silicon oxide can be replaced by silicon nitride
  • the polycrystalline silicon carbide support 12 can be replaced by monocrystalline silicon carbide or by sapphire
  • the thickness properties of the thick layer 4 can be of importance, for example when it is desired to make electrical contact with the rear face of the final substrate 14 or when it is essential to be able to evacuate the heat generated by components made on the working layer 16 , or indeed when it is desired to improve extraction and control of light emitted by a diode or a laser made in the working layer 16 . It will then be understood that the properties selected for the thick layer 4 provide a degree of freedom in substrate fabrication methods that is particularly advantageous when making substrates for optics, electronics, optoelectronics, etc. It is also possible to add a degree of freedom in substrate fabrication methods by providing preparation steps (known in themselves to the person skilled in the art) in order to enable the thick layer 4 to be subsequently separated from the working layer 16 .
  • a working layer 16 is made of aluminum nitride, of silicon carbide, an alloy of aluminum and gallium, or of other compounds, instead of making the working layer 16 out of gallium nitride as described above.
  • the gallium nitride working layer 16 can also be a multilayer structure stacking layers of the gallium nitride, aluminum nitride, etc. type, possibly with doping of different kinds, etc.
  • a structure is made in which the thick layer 4 is deposited after the working layer 16 and the seed layer 2 have been separated, contrary to that which is described above with reference to the second implementation of the invention.
  • the thick layer 4 can then either be deposited on the same side as the free face of the working layer 16 or else it can be deposited on the same side as the seed layer 2 , either on said seed layer 2 or on the corresponding face of the working layer 16 , if the seed layer 2 is removed either together with the support 12 or after the support 12 has been removed.
  • a structure is made comprising a monocrystalline silicon carbide seed layer 2 on a monocrystalline silicon carbide support 12 with silicon oxide bonding layers 10 and 11 between them, in the same manner as that described above for Example 1.
  • a working layer 16 of monocrystalline gallium nitride is made on the free surface of the silicon carbide seed layer 2 by MOCVD.
  • the structure obtained in this way is then subjected to treatment suitable for separating the structure constituted by the seed layer 2 and the working layer 16 from the support 12 .
  • This provides firstly a structure constituted by a gallium nitride working layer 16 covered in a seed layer 2 of monocrystalline silicon carbide, and a support 12 that is ready for recycling.
  • a thick layer 4 of polycrystalline silicon carbide is then deposited by CVD on the seed layer 2 .
  • the monocrystalline silicon carbide support 12 is relatively expensive, but in the present example it is recycled when implementing the method of the invention on a subsequent occasion.
  • Example 4 In another example (second row of Table 4) of this third implementation of the method of the invention, the same structure is made as in Example 7, but the seed layer 2 of monocrystalline silicon carbide is withdrawn, e.g. by plasma etching, prior to forming the thick layer 4 of polycrystalline silicon carbide.
  • Example 8 In yet another example of the method of the invention (third row of Table 4), a structure is made as in Example 8, except that not only is the seed layer 2 of monocrystalline silicon carbide removed, but so also is a portion of the working layer 16 of gallium nitride so as to conserve a working layer 16 that presents as few defects as possible.
  • the seed layer 2 of monocrystalline silicon carbide or the working layer 16 of monocrystalline gallium nitride can be subjected to various additional technological steps prior to being subjected to deposition of the thick layer 4 , these steps seeking to provide some or all of the electronic components, or comprising making uniform deposits of additional films, either epitaxially or otherwise.
  • the polarity of the seed layer 2 of monocrystalline silicon carbide (Si face or C face) and the polarity of the working layer 16 of gallium nitride can be determined by the polarity selected for the initial source substrate 6 .
  • the method of the invention optionally includes at least one double transfer enabling polarity to be changed twice over.
  • a working layer 16 is made of aluminum nitride, of silicon carbide, of aluminum and gallium alloy, of indium and gallium alloy, or of some other compound, instead of making the working layer 16 out of gallium nitride as described above.
  • the gallium nitride working layer 16 can also be a multilayer structure comprising a stack of layers of the gallium nitride, aluminum nitride, etc. types, optionally with different kinds of doping, etc.
  • the seed layer 2 can be made of ⁇ 111 ⁇ silicon or of sapphire or of neodymium gallate, or of indium gallate, etc. instead of being made of monocrystalline silicon carbide.
  • the support 12 can be made of polycrystalline silicon carbide or of polycrystalline silicon nitride or of polycrystalline aluminum nitride or of sapphire or of polycrystalline gallium nitride, instead of being made of monocrystalline silicon carbide.
  • the thick layer 4 can be made of polycrystalline aluminum nitride, of diamond, or of boron nitride, instead of being made of polycrystalline silicon carbide.
  • a structure is made as in the above examples, except that no intermediate layers or bonding layers 10 and 11 are made.
  • a seed layer 2 is taken from a source substrate 6 of ⁇ 111 ⁇ silicon, and is assembled with a polycrystalline silicon carbide support 12 by direct adhesion (e.g. as described in the preceding implementations). Thereafter, one of the techniques mentioned above is used to deposit a working layer 16 of gallium nitride on the seed layer 2 .
  • one variant consists in performing batch treatment on the seed layers 2 prior to depositing the working layer 16 .
  • the seed layers 2 are fixed on a common support 12 of large size.
  • this common support 12 can be arbitrary (circular, rectangular, etc.).
  • the seed layers 2 can be identical or they can be different. Each of the seed layers 2 can be subjected to a separate operation of detaching the seed layer from the support 12 .
  • the common support 12 can be a plate of polycrystalline silicon carbide covered in an oxide of silicon.
  • a stiffening substrate may be bonded to the working layer 16 of each of the various assemblies prior to the operation to detach the working layer assembly 16 on the seed layers 2 from the support 12 .
  • Each common support 12 is recycled.
  • the parameters governing deposition of the thick layer 4 are optimized so as to make a thick layer 4 that is monocrystalline. Even if the monocrystalline thick layer 4 is not of best quality, its quality can nevertheless be sufficient in numerous applications, whenever very high crystal quality is required only for the working layer 16 .
  • the method of the invention is particularly advantageous when single crystals cannot be grown (as is the case of gallium nitride) or when they are difficult to grow (as is the case for silicon carbide).
  • the aforementioned description of the invention may be transposed to growing a working layer out of other semiconductor materials, such as indium phosphide, gallium arsenide, germanium, silicon-germanium, etc., or indeed other materials such as lithium niobate.
  • other semiconductor materials such as indium phosphide, gallium arsenide, germanium, silicon-germanium, etc., or indeed other materials such as lithium niobate.

Abstract

A method is provided for fabricating a substrate for optics, electronics, or opto-electronics. This method includes the steps of implanting atomic species into a face of a source substrate to form a weakened zone therein corresponding to the depth of penetration of the atomic species; transferring the seed layer on to a support substrate by bonding a face of the support substrate to the face of the source substrate and detaching the seed layer from the source substrate; depositing a working layer on the seed layer to form a composite substrate comprising the support substrate, seed layer and working layer; and detaching the seed layer and the working layer from the support substrate to form a substrate. Advantageously, the support substrate comprises a material having a thermal expansion value of about 0.7 to 3 times the coefficient value of the working layer, and the seed layer includes a crystal lattice parameter sufficient for the epitaxial growth of the working layer onto the seed layer such that the working layer has a dislocation concentration of less than about 107/cm2.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application is a continuation of application Ser. No. 10/446,605 filed May 27, 2003, which is a continuation of International Application PCT/FR01/03714 filed Nov. 26, 2000, the entire content of each of which is expressly incorporated herein by reference thereto.
FIELD OF THE INVENTION
The invention relates to methods for fabricating substrates, in particular for optics, electronics, or optoelectronics, and also to substrates obtained by such methods. More particularly, the substrates are also suitable for use in fabricating light-emitting and laser diodes.
BACKGROUND OF THE INVENTION
In the technology field of optics, electronics, and optoelectronics, it is often desirable to obtain substrates that comprise a working layer. Two types of methods are currently known for making such substrates. One method includes transferring a working layer from a source substrate onto a support substrate. The other method includes depositing a working layer onto a support substrate by deposition techniques such as molecular beam epitaxy (MBE), metal organic chemical vapor deposition (MOVCD), and the like.
Source substrate materials from which a working layer can be transferred, however, are very difficult to obtain or are otherwise not available. This applies in particular to monocrystalline gallium nitride, which is not available in the form of a solid single crystal of quality, nor is it available with a diameter that is satisfactory, and not available at a reasonable price. Consequently, monocrystalline gallium nitride is typically grown only by a heteroepitaxial technique.
Furthermore, growth of a working layer on a substrate by deposition techniques is not yet satisfactory. There are known techniques for growing a working layer on a seed layer itself carried by a support, in which there is often a need to remove the support substrate in order to obtain the final product. Although techniques for removing the support are known, there are drawbacks. For example, FR 2,787,919A, which is incorporated herein by reference thereto, describes eliminating such a substrate by a mechano-chemical thinning technique. However, all techniques of removing the support by etching or some equivalent technique are undesirable since they lead to significant losses of material, which oftentimes is expensive. U.S. Pat. No. 6,114,188, incorporated herein by reference, also describes a technique for separating a complex transition metal oxide (CTMO) film made by deposition, in which a special treatment is performed on the native substrate from which the film is to be grown, and then the deposited film is detached from the native substrate. Nevertheless, that technique is also undesirable since it runs the risk of compromising proper initiation of film growth and thus leads to either a loss of yield or to a deposited layer of poor quality.
Additionally, it is known that sapphire, silicon carbide and {111} silicon can be used as seed substrates for the deposition of gallium nitride by heteroepitaxy. However, sapphire is an electrical insulator, which is a disadvantage in certain applications, and monocrystalline silicon carbide presents the drawbacks of being expensive and difficult to obtain in large diameters. Furthermore, as sapphire is an electrical insulator, if it is retained in the form of a solid support, then it becomes necessary for any electrodes needed for the intended application of the working layer to be provided solely on the working layer itself, which can give rise to problems of available space (for example, if two electrical contacts are to be made on a front face, i.e. on the free surface of the working layer).
In prior art techniques, monocrystalline silicon carbide or sapphire were used to serve both as a support and as a growth seed for the working layer. One drawback from such prior art techniques exists when the working layer is used to form light-emitting diodes (LEDs), using a solid silicon carbide or sapphire support means, namely, that it is not possible to satisfactorily control the positions of electrical contacts, the extraction of the light emitted by the diode, or the use of a reflecting surface, etc
Although {111} silicon may be ideal for use as a substrate due to its very widespread use, the fact that it is inexpensive and available in large diameters, problems have arisen during attempts to deposit gallium nitride on {111} silicon using the standard technique of MOCVD at about 1000° C. to 1100° C. Such problems include dislocations forming in he thin layer of gallium nitride at a concentration in excess of 108 per square centimeter (cm2). Moreover, if {111} silicon is used as a support, i.e. in thick form, then cracking is observed in the working layer because of poor matching in terms of thermal expansion.
In other prior art techniques, attempts have been made to deposit gallium nitride directly on solid gallium nitride or indeed on neodymium gallate or on indium gallate. However, solid gallium nitride is expensive and those techniques are not mature.
Thus, improvements in such methods for fabricating a substrate are desired. Also needed is a method that overcomes the difficulties associated with substrate materials. Certain new and useful improved methods are provided by the present invention.
SUMMARY OF THE INVENTION
The invention provides new ways for fabricating substrates for use in the fields of optics, electronics, or optoelectronics. In particular, in accordance with the invention a method is provided for fabricating a substrate which generally comprises implanting atomic species into a face of a source substrate to form a weakened zone therein corresponding to the depth of penetration of the atomic species; transferring the seed layer on to a support substrate by bonding a face of the support substrate to the face of the source substrate and detaching the seed layer from the source substrate; depositing a working layer on the seed layer to form a composite substrate comprising the support substrate, seed layer and working layer; and detaching the seed layer and the working layer from the support substrate to form a substrate.
Preferably, the support substrate comprises a material having a thermal expansion value of about 0.7 to 3 times the coefficient value of the working layer, and the seed layer includes a crystal lattice parameter sufficient for the epitaxial growth of the working layer onto the seed layer such that the working layer has a dislocation concentration of less than about 107/cm2.
Generally, the material between the face of the substrate and the weakened zone defines the seed layer. The seed layer is preferably adhered to the support substrate by molecular adhesion in an adhesion interface. The method further comprises detaching the seed layer and the working layer from the composite substrate by the application of stress at the adhesion interface, wherein the stress is selected from the group consisting of mechanical stress, thermal stress, chemical etching, electrostatic stress and laser irradiation stress, or any combination thereof. Also, working layer can be deposited on the seed layer by chemical vapor deposition, high temperature chemical vapor deposition, hydride vapor phase epitaxy, epitaxy, metal organic chemical vapor deposition, or molecular beam epitaxy.
The seed layer preferably comprises a material from the group consisting of sapphire, silicon carbide, zinc oxide, silicon, gallium nitride, neodymium gallate, and lithium gallate, and the working layer is gallium nitride. Also, the support substrate preferably comprises a material from the group consisting of silicon carbide, aluminum nitride, silicon, and sapphire. In an advantageous embodiment, the seed layer and the support substrate have substantially the same composition.
The method further comprises applying at least one intermediate layer between the seed layer and the support substrate. This intermediate layer can be a bonding layer or an insulating layer. Preferably, one intermediate layer is present upon the seed layer prior to transfer and forms the face of the source substrate that is bonded to the support substrate, while another intermediate layer forms the face of the support substrate that is bonded to the face of the source substrate. In this embodiment, the support substrate may be polycrystalline SiC, monocrystalline SiC, sapphire, polycrystalline AlN or polycrystalline GaN, the seed layer may be Si, monocrystalline SiC, sapphire, monocrystalline GaN, NdGaO2, or LaGaO2, each bonding layer may be SiO2 or Si3N4, and the working layer may be GaN, AlN, AlGaN, GaInN, or SiC.
The method also can include applying a further layer upon the working layer on a face opposite that of the seed layer, wherein the further layer is polycrystalline SiC, polycrystalline AlN, polycrystalline GaN, boron nitride, diamond or a metal.
BRIEF DESCRIPTION OF THE DRAWING FIGURES
Other aspects, objects, and advantages of the invention will appear on reading the following detailed description, and the invention will also be better understood with the help of the accompanying drawings.
FIG. 1 is a diagram showing the steps in an implementation of the method in accordance with the invention;
FIG. 2 is a diagram of the steps in another implementation of the method in accordance with the invention;
FIG. 3 is a diagram of the steps in yet another implementation of the method of the invention; and
FIG. 4 is a diagrammatic perspective view of an intermediate support with four seed layers, of the kind that can be used in a variant of the method of the invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
In accordance with the invention, the method comprises transferring a seed layer onto a support substrate, depositing a working layer on the seed layer to form a composite substrate; and detaching the seed layer and the working layer from the composite substrate.
The seed layer in accordance with the invention may comprise material such as sapphire, silicon carbide, zinc oxide, silicon, gallium nitride, neodymium gallate, lithium gallate, or any combination thereof. Also included are other materials commonly known in the art.
Preferably the seed layer includes a crystal lattice parameter that is sufficient for growing a working layer onto the seed layer that has, wherein the working layer has a dislocation concentration less than about 107/cm2.
Also in accordance with the invention, the method comprises a source substrate including a seed layer and a weakened zone. In this embodiment, the seed layer is detached from the source substrate at the weakened zone, and transferred onto a support substrate. The detached seed layer is transferred onto the support substrate, for example, by molecular adhesion at an adhesion interface. A working layer is deposited onto the transferred seed layer to form a composite substrate. The seed layer and the working layer are detached from the composite substrate at the adhesion interface.
The depositing step may include depositing the seed layer onto the support substrate by epitaxy. However, other methods as known in the art may be used. The seed layer and the working layer are detached from the composite substrate by the application of stress, for example.
Optionally, the invention may further include applying a first bonding layer onto the source substrate, and applying a second bonding layer onto the support substrate. Preferably, the source substrate includes implanted atomic species to a predetermined depth to form a weakened zone. The first and second bonding layers may be contacted to attach the source and support substrates, and then the seed layer can be detached from the source layer at the weakened zone and then transferred onto the support substrate. Thereafter, the working layer may be deposited onto the seed layer, which has been transferred to the support substrate.
Advantageously, the detaching step, as mentioned above, comprises application of stress, such as mechanical stresses, thermal stresses, electrostatic stresses, laser irradiation stresses, or a combination thereof. Thus, if desired the support may be recycled and reused.
The support substrate preferably comprises a material having a coefficient of thermal expansion of about 0.7 to 3 times the coefficient of the working layer, and the seed layer is suitable for accommodating the thermal expansion of the support and of the working layer. Preferably, the values of the thermal expansion coefficients herein relate to those in a plane parallel to the plane of the working layer. Advantageously, the material selected to form the support presents thermal expansion coefficients that reduce or even eliminate significant tension or compression stresses of the kind that arise during the variations in temperature that are inherent to growing the working layer, or that occur on returning the substrate formed in this way to ambient temperature.
Importantly, tolerance to the differences of the thermal expansion coefficients of the working layer and the support substrate materials, increases when the difference leads to compression in the working layer, as opposed to when it leads to stretching of the layer. Thus, in compression, the thermal expansion coefficient of the support material can be several times greater than that of the working layer. However, in extension, the thermal expansion coefficient of the support material is preferably not less than 0.7 times the coefficient of the working layer.
Preferably, the seed layer is suitable for adapting to the thermal expansions imposed by the support and/or the working layer. For this purpose, the seed layer has a thickness that is small enough to enable it to be deformed so as to accommodate the dimensional variations due to thermal expansion of the support and/or of the working layer. This thickness depends on the material constituting the seed layer and on the respective materials of the support and of the working layer. Typically, for a silicon carbide support having thickness of 300 microns (μm) and a gallium nitride working layer having thickness of several microns, a monocrystalline silicon carbide seed layer should have thickness that is less than 0.5 μm, and that is preferably less than 1000 angstroms (Å).
Advantageously, as mentioned above, the material comprising the seed layer also presents lattice parameters such that the working layer can be grown epitaxially on the seed layer with dislocations in the working layer at a concentration of less than 107/cm2. The person skilled in the art knows how to perform such epitaxial growth by selecting parameters and orientations both for the seed layer and for the working layer.
In accordance with the invention, the method provides, as shown in FIG. 1, a final substrate 14 comprising a working layer 16 on a seed layer 2. In one embodiment, the method comprises applying a bonding layer 10 on a surface of a source substrate 6, which is subjected to implantation of atomic species. A second bonding layer 11 is preferably applied to a surface of a support 12. The implanted atomic species are implanted to a predetermined depth in the source substrate 6 so that a zone of weakness 8 is formed. The bonding layers are preferably contacted 100. and the seed layer is detached from the source substrate 6 via the zone of weakness 8, and a working layer is deposited 16 on the surface of the seed layer 2 corresponding to the zone of weakness 8. The steps of forming the bonding layer 10 and of implanting the atomic species can be performed in the order specified above or in another order.
As used herein, the term “atomic implantation” is used to cover any bombardment using atomic or ionic species and suitable for introducing these species into a material so as to achieve a concentration maximum of these species in the material, said maximum being situated at a determined depth relative to the bombarded surface. The atomic or ionic species are introduced into the material with energy distributed around a maximum. Atomic species can be implanted in the material using an ion beam implanter, a plasma immersion implanter, etc. The term “atomic or ionic species” is used to mean an atom in ionic, neutral, or molecular form, or molecules in ionic or neutral form, or indeed a combination of different atoms or molecules in ionic or neutral form.
Alternatively, an intermediate layer such as an insulating layer may be applied to form a substrate comprising a semiconductor on insulation. By way of example the intermediate layer can be made of diamond, of fine oxide (500 Å thick), and the like, and may be applied between the working layer 16 and/or the seed layer 2 (if it is conserved) and the support 12, or the thick layer 4 as described below.
The implanting atomic species step and the detaching the seed layer step 200 are now described in U.S. Pat. No. 5,374,564, which is equivalent to French patent No. FR 2,681,472, each of which is incorporated herein by express reference thereto. Those documents generally disclose a process for the preparation of thin semiconductor material films, which comprises subjecting a semiconductor material wafer having a planar face and whose plane is substantially parallel to a principal crystallographic plane to certain processing steps. A first step comprises implanting ions through the face of the wafer to create, in the volume of the wafer at a depth close to the average penetration depth of the ions, a weakened layer that includes gaseous microbubbles defining in the volume of the wafer an upper region constituting the thin film to be transferred. The ions are chosen from among hydrogen gas ions, rare gas ions or mixtures thereof, and the temperature of the wafer during implantation is preferably kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion.
A second step comprises intimately contacting the planar face of the wafer with a stiffener constituted by at least one rigid material layer to form an assembly. A third step includes thermally treating the assembly of the wafer and stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer and a pressure effect in the microbubbles, to cause a separation between the thin film and the rest of the wafer. As the stiffener and the planar face of the wafer are kept in intimate contact at this time, the thin layer is transferred from the wafer to the stiffener. The selection of the stiffener is a function of the envisaged application for the transfer layer. For example, if the intended application is the production of a silicon on insulator substrate, the stiffener can advantageously be a silicon wafer covered by at least one dielectric layer, such as an oxide or a nitride layer, with the dielectric layer of the stiffener being intimately in contact with the wafer from which the film is to be transferred. Optionally, the wafer also may have an encapsulating layer of dielectric material, e.g. silicon oxide.
Although this technique is preferred, other commonly known layer transfer methods may also be used. The steps of forming the bonding layers 10 and 11 correspond, by way of example, to forming a layer of amorphous material using any of the methods known to the person skilled in the art.
An optional step of preparing the surface of the seed layer 2 that is to receive the working layer 16 may be performed. Such preparation of the surface include but are not limited to polishing operations, annealing operations, smoothing annealing operations (e.g., under hydrogen), annealing operations for reinforcing the adhesive interface between the bonding layers 10 and 11, sacrificial oxidation operations (oxidation followed by elimination of the oxidized material), etching operations, and the like.
Table 1 below summarizes the lattice parameters and the thermal expansion coefficients of various materials that are suitable for use in implementing the method of the invention, either as a material for the working layer or as a material for the seed layer or as a material for the support substrate. However, these examples are illustrative and should not be construed as limiting the features of the invention.
TABLE 1
6H-SiC
GaN (W) AlN (W) Al2O3 (H) Si (C) (W)
Lattice a = 3.189 a = 3.112 a = 4.758 c = 5.430 a = 3.08
parameters c = 5.185 c = 4.982 c = 12.99 c = 15.12
(Å)
Thermal 5.59 4.15 7.5 2.6 4.20
expansion 3.17 5.27 8.5 4.68
coefficients
along a or c
(×10−6K−1)
Thermal 1.3 2.5 0.5 1.5 4.9
conductivity
(W/cm.K)
Maximum 4 8 2
diameter
currently
available
(inches)
Quality of Excellent Excellent Variable
substrates
available
Relative
10 1 125
prices for
2-inch
substrates
(arbitrary
units)
Preferably, the working layer comprises material such as gallium nitride, aluminum nitride, and gallium and aluminum nitride (and in general manner, semi conductive nitrides having a large band gap).
The seed layer preferably comprises materials such as sapphire, silicon carbide, zinc oxide, and {111} silicon. The seed layer may also be selected to obtain a precise crystal structure and orientation, for example to obtain hexagonal or cubic gallium nitride, or if an Si face or a C face is selected to deposit the working layer on a seed layer of silicon carbide; the seed layer is made of gallium nitride of very high quality, i.e. having fewer than 106 dislocations per square centimeter, e.g. gallium nitride obtained by the epitaxial lateral overgrowth (ELOG) technique.
The support substrate material preferably comprises amorphous materials, polycrystalline materials, and sintered materials. More preferably the support comprises materials such as polycrystalline silicon carbide, monocrystalline silicon carbide, polycrystalline aluminum nitride, polycrystalline gallium nitride, and monocrystalline gallium nitride having a high concentration of dislocations (greater than 107/cm2). The materials for both the seed layer and the support may be have the same chemical composition.
As mentioned above, the seed layer may be dissociated from a source substrate at a weakened zone. In this respect, the seed layer taken from the source substrate may be assembled to the support and then eroded via its free face so as to obtain a seed layer of desired thickness (for this purpose it is possible to use a technique taken from the technique used for obtaining substrates and of the type known in the art as bond and etch back silicon on insulator (BESOI)). The dissociation of the seed layer may be accomplished at least in part by heat treatment, applying mechanical stresses, chemical etching, or a combination of at least two of these operations. Alternatively, other known methods in the art may be used.
Thus, an embodiment of the invention relates to a substrate for optics, electronics, or opto-electronics, which comprises a seed layer of Si, monocrystalline SiC, sapphire, monocrystalline GaN, NdGaO2, or LaGaO2, a bonding layer of SiO2 or Si3N4 on the seed layer, and a working layer of GaN, AlN, AlGaN, GaInN, or SiC on the bonding layer.
Table 2 below summarizes examples of materials that are suitable for use in implementing the methods of the invention described above. These are illustrative and should not be construed as limiting the features of the invention.
TABLE 2
Seed layer 2
(typically Bonding layers Support 12
1000 10, 11 (typically (typically 300 μm
Working layer
16 thick) 1 μm thick) thick)
GaN or AlN or Mono SiC SiO2 or Si3N4 Poly SiC or mono
AlGaN or GaInN or SiC or sapphire or
SiC or other poly AlN or poly
GaN
GaN or AlN or {111} Si SiO2 or Si3N4 Poly SiC or mono
AlGaN or GaInN or SiC or sapphire or
SiC or other poly AlN or poly
GaN
GaN or AlN or Sapphire SiO2 or Si3N4 Poly SiC or mono
AlGaN or GaInN or SiC or sapphire or
SiC or other poly AlN or poly
GaN
GaN or AlN or Mono GaN SiO2 or Si3N4 Poly SiC or mono
AlGaN or GaInN or SiC or sapphire or
SiC or other poly AlN or poly
GaN
GaN or AlN or NdGaO2 or SiO2 or Si3N4 Poly SiC or mono
AlGaN or GaInN or LiGaO3 SiC or sapphire or
SiC or other poly AlN or poly
GaN
In the above table, as in the tables below, the term “mono” is used to mean “monocrystalline” and the term “poly” is used to mean “polycrystalline”.
EXAMPLE 1
In the example corresponding to the first row of Table 2, a working layer 16 is made of gallium nitride on a seed layer 2 constituted by monocrystalline silicon carbide, itself on a polycrystalline silicon carbide support 12, with bonding layers 10 and 11 of silicon carbide being interposed between the support 12 and the seed layer 2. By way of example, the seed layer 2 is 1000 Å thick. The support 12 is 300 μm thick, for example.
The structure comprising a stack of the seed layer 2 of monocrystalline silicon carbide, the two bonding layers 10 and 11 of silicon oxide, and the support 12 of polycrystalline silicon carbide is made by a layer transfer method known to the person skilled in the art (e.g. see an application of the Smart-Cut® method in French patent No FR 2,681,472 or U.S. Pat. No. 5,374,564, each of which is expressly incorporated herein by reference thereto).
The working layer 16 can be made by chemical vapor deposition (CVD), by high temperature chemical vapor deposition (HTCVD), by MOCVD, by MBE, or by hydride vapor phase epitaxy (HVPE), see for example the documents “GaN bulk substrates for GaN-based LEDs and LDs”, by O. Oda et al., Phys. Stat. Sol. (a), No. 180, p.51 (2000), or other equivalent techniques.
The use of silicon oxide for the bonding layer 10 makes it easier to take the seed layer 2 from the source substrate 6. The planarized deposit of silicon oxide makes it possible to eliminate surface irregularities and to perform a polishing step, a planarizing step, a cleaning step, a chemical preparation step, and a step of bonding said silicon oxide onto the silicon oxide of the bonding layer 11 formed on the support 12 using conventional techniques that are easy to implement. By way of example, the set of bonding layers 10 and 11 is one micron thick.
EXAMPLE 2
In this example (second row of above table), a structure is provided that is equivalent to that of Example 1, except that the seed layer 2 of silicon carbide is replaced by a seed layer 2 of {111} silicon.
The thickness of the {111} silicon is preferably limited to less than 3000 Å so as to enable it to adapt without cracking to the thermal expansion that will take place during the various operations mentioned above.
EXAMPLE 3
In this example (third row of the above table), a structure is made that is equivalent to that of Examples 1 and 2, except that the seed layer 2 is made of sapphire.
Sapphire is another material that is known for permitting good epitaxy of gallium nitride.
EXAMPLE 4
In this example (fourth row of the above table) a structure is made that is equivalent to that of Examples 1 to 3, except that the seed layer 2 is made of monocrystalline gallium nitride.
EXAMPLE 5
In this example (fifth row of the above table), a structure is made that is equivalent to that of Examples 1 to 4, except that the seed layer 2 is made of neodymium gallate or of lithium gallate.
Numerous variants of the above examples are encompassed by and are in accordance with the present invention. For example, the silicon oxide in one of the bonding layers 10 and 11, or the silicon oxide in both bonding layers 10 and 11 can be substituted by some other material, for example silicon nitride (Si3N4). This material can withstand higher temperatures than silicon oxide. This advantage is particularly advantageous in the context of optimizing deposition of the working layer 16 in order to form a monocrystalline layer of good quality or indeed when it is desired to increase the rate of deposition. Silicon nitride also has the advantage of limiting or even avoiding diffusion of gallium into the support 12.
In yet another variant of the method of the invention, the working layer 16 of gallium nitride is substituted by a working layer 16 of aluminum nitride, of silicon carbide, an alloy of aluminum and of gallium, and alloy of gallium and indium, or some other compound. The working layer 16 of gallium nitride can also be substituted by a multilayer structure constituting a stack of layers of the gallium nitride, aluminum nitride, gallium and indium nitride, etc. types, possibly having different kinds of doping, etc.
In yet other variants, the polycrystalline silicon carbide support 12 is substituted by monocrystalline silicon carbide (in particular when the support 12 can be recycled as mentioned below), sapphire, polycrystalline aluminum nitride, or polycrystalline gallium nitride.
After growing the working layer 16, the support 12 is removed, possibly after reinforcing the structure as a whole, where necessary for questions of strength, by means of some other support either by direct adhesion, or by forming said other support by depositing it on the working layer, etc.
The support 12 must then be capable not only of withstanding the conditions under which the working layer 16 is grown, but is advantaged by being suitable for removal. The technique selected for withdrawing the intermediate support 12 can determine the material selected for constituting it. If it is to be sacrificed by etching or by mechanical or chemical removal, then the etching and removal steps and also the intermediate support 12 itself must be as low cost as possible. Under such circumstances, the support 12 should be made of polycrystalline aluminum nitride.
In accordance with the invention, stresses such as mechanical, thermal, electrostatic, laser irradiation, etc. are used to cause the composite substrate to split into two portions situated on either side of the adhesion interface. Under such circumstances, the support 12 can be made of monocrystalline silicon carbide since it is not consumed and can be reused.
In another variant, all or some of the components on the working layer 16 are made either before or after removing the support 12.
In another embodiment of the invention, the method of the invention, and as shown in FIG. 2, provides a structure comprising a working layer 16 on a seed layer 2 itself on a support 12 with bonding layers 10 and 11 interposed between the seed layer 2 and the support 12. A thick layer 4 is deposited on the free surface of the working layer 16 and the support 12 is removed, possibly together with the seed layer 2. The thick layer 4 then serves in particular to form a support for the working layer 16, after the support 12 has been removed.
In this embodiment, the further layer of the substrate is present upon the working layer on a face opposite that of the seed layer, wherein the further layer preferably is polycrystalline SiC, polycrystalline AlN, polycrystalline GaN, boron nitride, diamond or a metal such a copper.
Table 3 below summarizes examples of materials that can be used in the context of this second implementation of the method of the invention. These examples are purely illustrative and should not be construed as limiting.
TABLE 3
Working Bonding
layer
16 Seed layer 2 layers 10, 11 Support 12 Thick layer 4
GaN or AlN {111} Si or SiO2 or Poly SiC or Diamond or
or AlGaN or mono SiC or Si3N4 poly AlN or poly SiC or
GaInN or GaN or sapphire or GaN or AlN or
SiC or other sapphire or mono SiC or boron nitride or
NdGaO2 or poly GaN metal (copper)
LiGa3
EXAMPLE 6
A seed layer 2 of monocrystalline {111} silicon is made on a polycrystalline silicon carbide support 12 with silicon oxide bonding layers 10 and 11 between them. Thereafter a working layer 16 of monocrystalline gallium nitride is deposited by MOCVD and a thick layer 4 of diamond is deposited on the free face of the monocrystalline gallium nitride of the working layer 16.
Thereafter the resulting structure is subjected to treatment suitable for detaching the composite substrate constituted by the working layer 16 from the composite substrate constituted by the support 12 and the seed layer 2. This treatment comprises using mechanical, thermal, electrostatic, etc. stresses to cause two portions to become separated on either side of the adhesion interface.
This example presents the advantage of making it possible to use a support 12 whose surface that is to receive the seed layer 2 is poorly finished, but once the working layer 16 of GaN has been formed by virtue of the seed layer 2 of {111} silicon, it is possible to make a final support (the thick layer 4) for the working layer 16 that has properties adapted to using said working layer 16 (in this case, with diamond, it is the good thermal conductivity and electrical insulating properties that are desired, e.g. for microwave applications), and it is also possible to ensure a high quality interface between the thick layer 4 and the working layer 16, e.g. to obtain better heat conduction.
This embodiment may have variants such as the seed layer 2 of {111} silicon can be replaced by monocrystalline silicon carbide, sapphire, neodymium gallate, or lithium gallate; the bonding layers 10 and 11 of silicon oxide can be replaced by silicon nitride; the polycrystalline silicon carbide support 12 can be replaced by monocrystalline silicon carbide or by sapphire; and the thick layer 4 of diamond can be replaced by polycrystalline silicon carbide, by polycrystalline gallium nitride (e.g. deposited by HVPE), by boron nitride, or by a metal such as copper, etc. (e.g. deposited as a thick layer by electrolysis).
The thickness properties of the thick layer 4 can be of importance, for example when it is desired to make electrical contact with the rear face of the final substrate 14 or when it is essential to be able to evacuate the heat generated by components made on the working layer 16, or indeed when it is desired to improve extraction and control of light emitted by a diode or a laser made in the working layer 16. It will then be understood that the properties selected for the thick layer 4 provide a degree of freedom in substrate fabrication methods that is particularly advantageous when making substrates for optics, electronics, optoelectronics, etc. It is also possible to add a degree of freedom in substrate fabrication methods by providing preparation steps (known in themselves to the person skilled in the art) in order to enable the thick layer 4 to be subsequently separated from the working layer 16.
Similarly, these variants can be transposed to situations in which a working layer 16 is made of aluminum nitride, of silicon carbide, an alloy of aluminum and gallium, or of other compounds, instead of making the working layer 16 out of gallium nitride as described above. The gallium nitride working layer 16 can also be a multilayer structure stacking layers of the gallium nitride, aluminum nitride, etc. type, possibly with doping of different kinds, etc.
In yet another embodiment of the invention, as shown in FIG. 3, a structure is made in which the thick layer 4 is deposited after the working layer 16 and the seed layer 2 have been separated, contrary to that which is described above with reference to the second implementation of the invention. The thick layer 4 can then either be deposited on the same side as the free face of the working layer 16 or else it can be deposited on the same side as the seed layer 2, either on said seed layer 2 or on the corresponding face of the working layer 16, if the seed layer 2 is removed either together with the support 12 or after the support 12 has been removed.
Another embodiment of the method of the invention is described below with reference to three examples. The materials used in the context of these three examples are summarized in Table 4, and they correspond to the materials of Table 3.
TABLE 4
Bonding
Working layer layers
16 Seed layer 2 10, 11 Support 12 Thick layer 4
GaN or AlN or Mono SiC or SiO2 or Mono SiC or AlN or GaN or
AlGaN or {111} Si or Si3N4 poly SiC or poly SiC or
GaInN or SiC sapphire or poly AlN or diamond or
GaN or sapphire or boron nitride or
NdGaO2 or poly GaN metal
LiGaO3
GaN or AlN or Mono SiC or SiO2 or Mono SiC or AlN or GaN or
AlGaN or {111} Si or Si3N4 poly SiC or poly SiC or
GaInN or SiC sapphire + poly AlN or diamond or
etching GaN sapphire or boron nitride or
or NdGaO2 poly GaN metal
or LiGaO3
+etching a Mono SiC or SiO2 or Mono SiC or Poly SiC or
portion of the {111} Si or Si3N4 poly SiC or diamond or
GaN or the sapphire + poly AlN or boron nitride or
AlN or the etching GaN sapphire or metal
AlGaN or the or NdGaO2 poly GaN
GaInN or the or LiGaO3
SiC
EXAMPLE 7
In this example (first row of Table 4), a structure is made comprising a monocrystalline silicon carbide seed layer 2 on a monocrystalline silicon carbide support 12 with silicon oxide bonding layers 10 and 11 between them, in the same manner as that described above for Example 1. Thereafter, a working layer 16 of monocrystalline gallium nitride is made on the free surface of the silicon carbide seed layer 2 by MOCVD. The structure obtained in this way is then subjected to treatment suitable for separating the structure constituted by the seed layer 2 and the working layer 16 from the support 12. This provides firstly a structure constituted by a gallium nitride working layer 16 covered in a seed layer 2 of monocrystalline silicon carbide, and a support 12 that is ready for recycling. A thick layer 4 of polycrystalline silicon carbide is then deposited by CVD on the seed layer 2.
The monocrystalline silicon carbide support 12 is relatively expensive, but in the present example it is recycled when implementing the method of the invention on a subsequent occasion.
EXAMPLE 8
In another example (second row of Table 4) of this third implementation of the method of the invention, the same structure is made as in Example 7, but the seed layer 2 of monocrystalline silicon carbide is withdrawn, e.g. by plasma etching, prior to forming the thick layer 4 of polycrystalline silicon carbide.
EXAMPLE 9
In yet another example of the method of the invention (third row of Table 4), a structure is made as in Example 8, except that not only is the seed layer 2 of monocrystalline silicon carbide removed, but so also is a portion of the working layer 16 of gallium nitride so as to conserve a working layer 16 that presents as few defects as possible.
The seed layer 2 of monocrystalline silicon carbide or the working layer 16 of monocrystalline gallium nitride can be subjected to various additional technological steps prior to being subjected to deposition of the thick layer 4, these steps seeking to provide some or all of the electronic components, or comprising making uniform deposits of additional films, either epitaxially or otherwise.
The polarity of the seed layer 2 of monocrystalline silicon carbide (Si face or C face) and the polarity of the working layer 16 of gallium nitride can be determined by the polarity selected for the initial source substrate 6. The method of the invention optionally includes at least one double transfer enabling polarity to be changed twice over.
Similarly, these examples can be transposed to situations in which, in accordance with the invention, a working layer 16 is made of aluminum nitride, of silicon carbide, of aluminum and gallium alloy, of indium and gallium alloy, or of some other compound, instead of making the working layer 16 out of gallium nitride as described above. The gallium nitride working layer 16 can also be a multilayer structure comprising a stack of layers of the gallium nitride, aluminum nitride, etc. types, optionally with different kinds of doping, etc.
The seed layer 2 can be made of {111} silicon or of sapphire or of neodymium gallate, or of indium gallate, etc. instead of being made of monocrystalline silicon carbide.
The support 12 can be made of polycrystalline silicon carbide or of polycrystalline silicon nitride or of polycrystalline aluminum nitride or of sapphire or of polycrystalline gallium nitride, instead of being made of monocrystalline silicon carbide. The thick layer 4 can be made of polycrystalline aluminum nitride, of diamond, or of boron nitride, instead of being made of polycrystalline silicon carbide.
In yet another embodiment of the method of the invention, a structure is made as in the above examples, except that no intermediate layers or bonding layers 10 and 11 are made. For example, a seed layer 2 is taken from a source substrate 6 of {111} silicon, and is assembled with a polycrystalline silicon carbide support 12 by direct adhesion (e.g. as described in the preceding implementations). Thereafter, one of the techniques mentioned above is used to deposit a working layer 16 of gallium nitride on the seed layer 2.
Numerous other variants to the above-described embodiments can also be envisaged without going beyond the ambit of the invention. For example, the operations described in different examples of the implementations of the method of the invention can be combined with one another.
As shown in FIG. 4, one variant consists in performing batch treatment on the seed layers 2 prior to depositing the working layer 16. Under such circumstances, the seed layers 2 are fixed on a common support 12 of large size.
Additionally, the shape of this common support 12 can be arbitrary (circular, rectangular, etc.).
Under these circumstances, the seed layers 2 can be identical or they can be different. Each of the seed layers 2 can be subjected to a separate operation of detaching the seed layer from the support 12. By way of example, the common support 12 can be a plate of polycrystalline silicon carbide covered in an oxide of silicon.
Advantageously, a stiffening substrate may be bonded to the working layer 16 of each of the various assemblies prior to the operation to detach the working layer assembly 16 on the seed layers 2 from the support 12.
Each common support 12 is recycled.
Also in accordance with the invention, the parameters governing deposition of the thick layer 4 are optimized so as to make a thick layer 4 that is monocrystalline. Even if the monocrystalline thick layer 4 is not of best quality, its quality can nevertheless be sufficient in numerous applications, whenever very high crystal quality is required only for the working layer 16.
The method of the invention is particularly advantageous when single crystals cannot be grown (as is the case of gallium nitride) or when they are difficult to grow (as is the case for silicon carbide).
The aforementioned description of the invention may be transposed to growing a working layer out of other semiconductor materials, such as indium phosphide, gallium arsenide, germanium, silicon-germanium, etc., or indeed other materials such as lithium niobate.

Claims (22)

1. A method for fabricating a substrate for optics, electronics, or opto-electronics, which method comprises:
implanting atomic species into a face of a source substrate to form a weakened zone therein corresponding to the depth of penetration of the atomic species;
transferring a seed layer on to a support substrate by bonding a face of the support substrate to the face of the source substrate and detaching the seed layer from the source substrate;
depositing a working layer on the seed layer by chemical vapor deposition, high temperature chemical vapor deposition, hydride vapor phase epitaxy, epitaxy, metal organic chemical vapor deposition, or molecular beam epitaxy to form a composite substrate comprising the support substrate, seed layer and working layer; and
removing the seed layer and the working layer from the support substrate to form a substrate.
2. The method of claim 1, wherein material between the face of the source substrate and the weakened zone defines the seed layer.
3. The method of claim 2, wherein the seed layer is adhered to the support substrate by molecular adhesion in an adhesion interface.
4. The method of claim 3, which further comprises detaching the seed layer and the working layer from the composite substrate by the application of stress at the adhesion interface, wherein the stress is selected from the group consisting of mechanical stress, thermal stress, chemical etching, electrostatic stress and laser irradiation stress, or any combination thereof.
5. The method of claim 1 wherein the seed layer comprises a material from the group consisting of sapphire, silicon carbide, zinc oxide, silicon, gallium nitride, neodymium gallate, and lithium gallate, and the working layer is gallium nitride.
6. The method of claim 5, wherein the support substrate comprises a material from the group consisting of silicon carbide, aluminum nitride, silicon, and sapphire.
7. The method of claim 6, wherein the seed layer and the support substrate have substantially the same composition.
8. The method of claim 1, which further comprises preparing the seed layer to receive the working layer by polishing, annealing, smoothing, oxidation, or etching.
9. The method of claim 1, which further comprises applying at least one intermediate layer between the seed layer and the support substrate.
10. The method of claim 9, wherein the intermediate layer is a bonding layer or an insulating layer.
11. The method of claim 10, wherein the support substrate is polycrystalline SiC, monocrystalline SiC, sapphire, polycrystalline AlN or polycrystalline GaN, the seed layer is Si, monocrystalline SiC, sapphire, monocrystalline GaN, NdGaO2, or LaGaO2, the at least one bonding layer is SiO2 or Si3N4, and the working layer is GaN, AlN, AlGaN, GaInN, or SiC.
12. The method of claim 9, wherein one intermediate layer is present upon the seed layer prior to transfer and forms the face of the source substrate that is bonded to the support substrate.
13. The method of claim 12, wherein another intermediate layer forms the face of the support substrate that is bonded to the face of the source substrate.
14. The method of claim 1 which further comprises applying a further layer upon the working layer on a face opposite that of the seed layer.
15. The method of claim 14, wherein the further layer is applied upon the working layer prior to detachment of the seed layer and the working layer from the support substrate.
16. The method of claim 1 which results in the formation of a substrate which comprises: a seed layer of Si, monocrystalline SiC, sapphire, monocrystalline GaN, NdGaO2, or LaGaO2, a bonding layer of SiO2 or Si3N4 on the seed layer, and a working layer of GaN, AlN, AlGaN, GaInN, or SiC on the seed layer.
17. The method of claim 16 wherein the substrate that is formed further comprises a further layer upon the working layer on a face opposite that of the seed layer.
18. The method of claim 17, wherein the further layer is polycrystalline SiC, polycrystalline AlN, polycrystalline GaN, boron nitride, diamond or a metal.
19. The method of claim 1, which further comprises removing the support substrate such that it remains in a condition sufficient for recycling and reuse.
20. The method of claim 1, which further comprises removing the support substrate by etching or by mechanical or chemical removal.
21. A method for fabricating a substrate for optics, electronics, or opto-electronics, which method comprises:
implanting atomic species into a face of a source substrate to form a weakened zone therein corresponding to the depth of penetration of the atomic species;
transferring a seed layer on to a support substrate by bonding a face of the support substrate to the face of the source substrate and detaching the seed layer from the source substrate;
depositing a working layer on the seed layer to form a composite substrate comprising the support substrate, seed layer and working layer; and
detaching the seed layer and the working layer from the support substrate to form a substrate;
wherein the support substrate comprises a material having a thermal expansion coefficient of about 0.7 to 3 times the thermal expansion coefficient of the working layer, and the seed layer includes a crystal lattice parameter sufficient for the epitaxial growth of the working layer onto the seed layer such that the working layer has a dislocation concentration of less than about 107/cm2.
22. A method for fabricating a substrate for optics, electronics, or opto-electronics, which method comprises:
implanting atomic species into a face of a source substrate to form a weakened zone therein corresponding to the depth of penetration of the atomic species;
transferring a seed layer on to a support substrate by bonding a face of the support substrate to the face of the source substrate and detaching the seed layer from the source substrate;
depositing a working layer on the seed layer to form a composite substrate comprising the support substrate, seed layer and working layer;
detaching the seed layer and the working layer from the support substrate to form a substrate; and
applying a further layer of polycrystalline SiC, polycrystalline AlN, polycrystalline GaN, boron nitride, diamond or a metal upon the working layer on a face opposite that of the seed layer.
US10/922,997 2000-11-27 2004-08-23 Methods for fabricating a substrate Expired - Lifetime US7235462B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/922,997 US7235462B2 (en) 2000-11-27 2004-08-23 Methods for fabricating a substrate
US11/165,895 US7288430B2 (en) 2000-11-27 2005-06-24 Method of fabricating heteroepitaxial microstructures
US11/852,562 US7646038B2 (en) 2000-11-27 2007-09-10 Method of fabricating heteroepitaxial microstructures

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
FR0015279A FR2817394B1 (en) 2000-11-27 2000-11-27 METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
FR00/15279 2000-11-27
PCT/FR2001/003714 WO2002043112A2 (en) 2000-11-27 2001-11-26 Method for making a substrate
US10/446,605 US6794276B2 (en) 2000-11-27 2003-05-27 Methods for fabricating a substrate
US10/922,997 US7235462B2 (en) 2000-11-27 2004-08-23 Methods for fabricating a substrate

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US10/446,605 Continuation US6794276B2 (en) 2000-11-27 2003-05-27 Methods for fabricating a substrate
US10/700,899 Continuation-In-Part US6946317B2 (en) 2000-11-27 2003-11-03 Method of fabricating heteroepitaxial microstructures

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/700,899 Continuation-In-Part US6946317B2 (en) 2000-11-27 2003-11-03 Method of fabricating heteroepitaxial microstructures
US11/165,895 Continuation US7288430B2 (en) 2000-11-27 2005-06-24 Method of fabricating heteroepitaxial microstructures

Publications (2)

Publication Number Publication Date
US20050026394A1 US20050026394A1 (en) 2005-02-03
US7235462B2 true US7235462B2 (en) 2007-06-26

Family

ID=8856906

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/446,605 Expired - Lifetime US6794276B2 (en) 2000-11-27 2003-05-27 Methods for fabricating a substrate
US10/922,997 Expired - Lifetime US7235462B2 (en) 2000-11-27 2004-08-23 Methods for fabricating a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/446,605 Expired - Lifetime US6794276B2 (en) 2000-11-27 2003-05-27 Methods for fabricating a substrate

Country Status (11)

Country Link
US (2) US6794276B2 (en)
EP (2) EP1344246B1 (en)
JP (2) JP2004517472A (en)
KR (1) KR100805469B1 (en)
CN (2) CN1217381C (en)
AT (2) ATE352866T1 (en)
AU (1) AU2002222036A1 (en)
DE (2) DE60138233D1 (en)
FR (1) FR2817394B1 (en)
TW (1) TW536728B (en)
WO (1) WO2002043112A2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060076559A1 (en) * 2003-07-24 2006-04-13 Bruce Faure Method of fabricating an epitaxially grown layer
US20060118513A1 (en) * 2003-07-24 2006-06-08 Bruce Faure Method of fabricating an epitaxially grown layer
US20070069225A1 (en) * 2005-09-27 2007-03-29 Lumileds Lighting U.S., Llc III-V light emitting device
US20070269960A1 (en) * 2000-11-27 2007-11-22 S.O.I.Tec Silicon On Insulator Technologies Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US20110039395A1 (en) * 2008-06-25 2011-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US20110101373A1 (en) * 2008-09-26 2011-05-05 S.O.I.Tec Silicon On Insulator Technologies Method of forming a composite laser substrate
US20110121689A1 (en) * 2009-11-23 2011-05-26 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Polarity determining seed layer and method of fabricating piezoelectric materials with specific c-axis
DE102009057020A1 (en) 2009-12-03 2011-06-09 Emcore Solar Power, Inc., Albuquerque Method for manufacturing multijunction solar cell in e.g. space applications, involves separating mass of semiconductor-carrier from composite structure, and separating sequence of layers as semiconductor material to form solar cell
US20110156047A1 (en) * 2009-12-30 2011-06-30 Industrial Technology Research Institute Nitride semiconductor template and method of manufacturing the same
US8252664B2 (en) 2000-11-27 2012-08-28 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US20120280355A1 (en) * 2009-12-28 2012-11-08 Shin-Etsu Chemical Co., Ltd. Sos substrate with reduced stress
US8759134B2 (en) * 2005-04-13 2014-06-24 Element Six Technologies Us Corporation Gallium-nitride-on-diamond wafers and devices, and methods of manufacture
US8922302B2 (en) 2011-08-24 2014-12-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator formed on a pedestal
US8951887B2 (en) 2011-06-23 2015-02-10 Soitec Process for fabricating a semiconductor structure employing a temporary bond
US9099983B2 (en) 2011-02-28 2015-08-04 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic wave resonator device comprising a bridge in an acoustic reflector
US9203374B2 (en) 2011-02-28 2015-12-01 Avago Technologies General Ip (Singapore) Pte. Ltd. Film bulk acoustic resonator comprising a bridge
US9425764B2 (en) 2012-10-25 2016-08-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having composite electrodes with integrated lateral features
US9444426B2 (en) 2012-10-25 2016-09-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having integrated lateral feature and temperature compensation feature
US9520856B2 (en) 2009-06-24 2016-12-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator structure having an electrode with a cantilevered portion
US9608592B2 (en) 2014-01-21 2017-03-28 Avago Technologies General Ip (Singapore) Pte. Ltd. Film bulk acoustic wave resonator (FBAR) having stress-relief
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7560296B2 (en) * 2000-07-07 2009-07-14 Lumilog Process for producing an epitalixal layer of galium nitride
US7118929B2 (en) * 2000-07-07 2006-10-10 Lumilog Process for producing an epitaxial layer of gallium nitride
EP1482549B1 (en) * 2003-05-27 2011-03-30 S.O.I. Tec Silicon on Insulator Technologies S.A. Method of fabrication of a heteroepitaxial microstructure
FR2840730B1 (en) * 2002-06-11 2005-05-27 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE COMPRISING A LAYER UTILIZED IN MONOCRYSTALLINE SEMICONDUCTOR MATERIAL WITH IMPROVED PROPERTIES
FR2817394B1 (en) * 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
FR2835096B1 (en) * 2002-01-22 2005-02-18 PROCESS FOR MANUFACTURING SELF-CARRIER SUBSTRATE OF SINGLE-CRYSTALLINE SEMICONDUCTOR MATERIAL
FR2894990B1 (en) * 2005-12-21 2008-02-22 Soitec Silicon On Insulator PROCESS FOR PRODUCING SUBSTRATES, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED BY SAID PROCESS
US7407869B2 (en) 2000-11-27 2008-08-05 S.O.I.Tec Silicon On Insulator Technologies Method for manufacturing a free-standing substrate made of monocrystalline semiconductor material
US7238622B2 (en) * 2001-04-17 2007-07-03 California Institute Of Technology Wafer bonded virtual substrate and method for forming the same
US7019339B2 (en) 2001-04-17 2006-03-28 California Institute Of Technology Method of using a germanium layer transfer to Si for photovoltaic applications and heterostructure made thereby
US20050026432A1 (en) * 2001-04-17 2005-02-03 Atwater Harry A. Wafer bonded epitaxial templates for silicon heterostructures
US6770966B2 (en) * 2001-07-31 2004-08-03 Intel Corporation Electronic assembly including a die having an integrated circuit and a layer of diamond to transfer heat
FR2835095B1 (en) * 2002-01-22 2005-03-18 PROCESS FOR PREPARING SEPARABLE SEMICONDUCTOR ASSEMBLIES, IN PARTICULAR FOR FORMING SUBSTRATES FOR ELECTRONICS, OPTOELECTRIC, AND OPTICS
FR2840452B1 (en) 2002-05-28 2005-10-14 Lumilog PROCESS FOR THE EPITAXIC PRODUCTION OF A GALLIUM NITRIDE FILM SEPARATED FROM ITS SUBSTRATE
US6936497B2 (en) * 2002-12-24 2005-08-30 Intel Corporation Method of forming electronic dies wherein each die has a layer of solid diamond
US7018909B2 (en) * 2003-02-28 2006-03-28 S.O.I.Tec Silicon On Insulator Technologies S.A. Forming structures that include a relaxed or pseudo-relaxed layer on a substrate
FR2855908B1 (en) 2003-06-06 2005-08-26 Soitec Silicon On Insulator METHOD FOR OBTAINING A STRUCTURE COMPRISING AT LEAST ONE SUBSTRATE AND AN ULTRAMINO LAYER
FR2855909B1 (en) * 2003-06-06 2005-08-26 Soitec Silicon On Insulator PROCESS FOR THE CONCURRENT PRODUCTION OF AT LEAST ONE PAIR OF STRUCTURES COMPRISING AT LEAST ONE USEFUL LAYER REPORTED ON A SUBSTRATE
TWI240434B (en) * 2003-06-24 2005-09-21 Osram Opto Semiconductors Gmbh Method to produce semiconductor-chips
WO2005008740A2 (en) * 2003-07-14 2005-01-27 Allegis Technologies, Inc. Methods of processing of gallium nitride
FR2857982B1 (en) * 2003-07-24 2007-05-18 Soitec Silicon On Insulator PROCESS FOR PRODUCING AN EPITAXIC LAYER
FR2868204B1 (en) * 2004-03-25 2006-06-16 Commissariat Energie Atomique SEMICONDUCTOR-TYPE SUBSTRATE ON INSULATION COMPRISING A CARBON DIAMOND BURIED LAYER
WO2005104192A2 (en) * 2004-04-21 2005-11-03 California Institute Of Technology A METHOD FOR THE FABRICATION OF GaAs/Si AND RELATED WAFER BONDED VIRTUAL SUBSTRATES
US9011598B2 (en) * 2004-06-03 2015-04-21 Soitec Method for making a composite substrate and composite substrate according to the method
US20060021565A1 (en) * 2004-07-30 2006-02-02 Aonex Technologies, Inc. GaInP / GaAs / Si triple junction solar cell enabled by wafer bonding and layer transfer
WO2006034120A2 (en) 2004-09-17 2006-03-30 Massachusetts Institute Of Technology Integrated bst microwave tunable devices using buffer layer transfer method
US7713839B2 (en) * 2004-10-06 2010-05-11 Intel Corporation Diamond substrate formation for electronic assemblies
US7846759B2 (en) * 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
EP1667223B1 (en) * 2004-11-09 2009-01-07 S.O.I. Tec Silicon on Insulator Technologies S.A. Method for manufacturing compound material wafers
DE102004062290A1 (en) * 2004-12-23 2006-07-06 Osram Opto Semiconductors Gmbh Method for producing a semiconductor chip
EP1681712A1 (en) * 2005-01-13 2006-07-19 S.O.I. Tec Silicon on Insulator Technologies S.A. Method of producing substrates for optoelectronic applications
JP2006210660A (en) * 2005-01-28 2006-08-10 Hitachi Cable Ltd Manufacturing method of semiconductor substrate
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
WO2006113442A2 (en) * 2005-04-13 2006-10-26 The Regents Of The University Of California Wafer separation technique for the fabrication of free-standing (al, in, ga)n wafers
US7595507B2 (en) * 2005-04-13 2009-09-29 Group4 Labs Llc Semiconductor devices having gallium nitride epilayers on diamond substrates
TW200707799A (en) * 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
KR100588377B1 (en) * 2005-05-10 2006-06-09 삼성전기주식회사 Vertically structured gan type light emitting diode and method of manufacturing the same
US20060284167A1 (en) * 2005-06-17 2006-12-21 Godfrey Augustine Multilayered substrate obtained via wafer bonding for power applications
US7795050B2 (en) * 2005-08-12 2010-09-14 Samsung Electronics Co., Ltd. Single-crystal nitride-based semiconductor substrate and method of manufacturing high-quality nitride-based light emitting device by using the same
US8334155B2 (en) * 2005-09-27 2012-12-18 Philips Lumileds Lighting Company Llc Substrate for growing a III-V light emitting device
US7568412B2 (en) * 2005-10-04 2009-08-04 Marquip, Llc Method for order transition on a plunge slitter
JP2007180142A (en) * 2005-12-27 2007-07-12 Toshiba Corp Nitride-based semiconductor element and manufacturing method therefor
US20070194342A1 (en) * 2006-01-12 2007-08-23 Kinzer Daniel M GaN SEMICONDUCTOR DEVICE AND PROCESS EMPLOYING GaN ON THIN SAPHIRE LAYER ON POLYCRYSTALLINE SILICON CARBIDE
FR2896619B1 (en) * 2006-01-23 2008-05-23 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING A COMPOSITE SUBSTRATE WITH IMPROVED ELECTRIC PROPERTIES
JP4756418B2 (en) * 2006-02-28 2011-08-24 公立大学法人大阪府立大学 Method for manufacturing single crystal gallium nitride substrate
US8438119B2 (en) * 2006-03-30 2013-05-07 Sap Ag Foundation layer for services based enterprise software architecture
US20070232074A1 (en) * 2006-03-31 2007-10-04 Kramadhati Ravi Techniques for the synthesis of dense, high-quality diamond films using a dual seeding approach
US20070243703A1 (en) * 2006-04-14 2007-10-18 Aonex Technololgies, Inc. Processes and structures for epitaxial growth on laminate substrates
CN102694087B (en) * 2006-04-25 2015-02-25 新加坡国立大学 Electronic device and method of manufacturing the same
TW200802544A (en) * 2006-04-25 2008-01-01 Osram Opto Semiconductors Gmbh Composite substrate and method for making the same
US7498191B2 (en) * 2006-05-22 2009-03-03 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
US7670928B2 (en) * 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
US20080048192A1 (en) * 2006-08-22 2008-02-28 Chien-Min Sung LED devices and associated methods
EP1901345A1 (en) * 2006-08-30 2008-03-19 Siltronic AG Multilayered semiconductor wafer and process for manufacturing the same
US8236594B2 (en) * 2006-10-20 2012-08-07 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
US7943485B2 (en) * 2007-01-22 2011-05-17 Group4 Labs, Llc Composite wafers having bulk-quality semiconductor layers and method of manufacturing thereof
EP1950803B1 (en) * 2007-01-24 2011-07-27 S.O.I.TEC Silicon on Insulator Technologies S.A. Method for manufacturing silicon on Insulator wafers and corresponding wafer
US8157914B1 (en) 2007-02-07 2012-04-17 Chien-Min Sung Substrate surface modifications for compositional gradation of crystalline materials and associated products
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
EP1986229A1 (en) * 2007-04-27 2008-10-29 S.O.I.T.E.C. Silicon on Insulator Technologies Method for manufacturing compound material wafer and corresponding compound material wafer
US7799600B2 (en) * 2007-05-31 2010-09-21 Chien-Min Sung Doped diamond LED devices and associated methods
US7781256B2 (en) * 2007-05-31 2010-08-24 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
FR2917232B1 (en) * 2007-06-06 2009-10-09 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING A STRUCTURE FOR EPITAXY WITHOUT EXCLUSION AREA
US8574968B2 (en) * 2007-07-26 2013-11-05 Soitec Epitaxial methods and templates grown by the methods
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
KR101355593B1 (en) * 2007-07-26 2014-01-24 아리조나 보드 오브 리젠츠 퍼 앤 온 비하프 오브 아리조나 스테이트 유니버시티 Methods for producing improved epitaxial materials
JP2009141093A (en) 2007-12-06 2009-06-25 Toshiba Corp Light emitting element and method of manufacturing the same
FR2926674B1 (en) * 2008-01-21 2010-03-26 Soitec Silicon On Insulator METHOD FOR MANUFACTURING COMPOSITE STRUCTURE WITH STABLE BONDING OXIDE LAYER
CN101521155B (en) * 2008-02-29 2012-09-12 信越化学工业株式会社 Method for preparing substrate having monocrystalline film
US7749884B2 (en) * 2008-05-06 2010-07-06 Astrowatt, Inc. Method of forming an electronic device using a separation-enhancing species
FR2931293B1 (en) * 2008-05-15 2010-09-03 Soitec Silicon On Insulator PROCESS FOR MANUFACTURING AN EPITAXIA SUPPORT HETEROSTRUCTURE AND CORRESPONDING HETEROSTRUCTURE
WO2009143026A2 (en) * 2008-05-17 2009-11-26 Astrowatt, Inc. Method of forming an electronic device using a separation technique
EP2151856A1 (en) * 2008-08-06 2010-02-10 S.O.I. TEC Silicon Relaxation of strained layers
TWI457984B (en) 2008-08-06 2014-10-21 Soitec Silicon On Insulator Relaxation of strained layers
FR2934925B1 (en) * 2008-08-06 2011-02-25 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A STRUCTURE COMPRISING A STEP OF ION IMPLANTATIONS TO STABILIZE THE BONDING INTERFACE.
EP2151861A1 (en) * 2008-08-06 2010-02-10 S.O.I. TEC Silicon Passivation of etched semiconductor structures
EP2151852B1 (en) * 2008-08-06 2020-01-15 Soitec Relaxation and transfer of strained layers
EP2159836B1 (en) * 2008-08-25 2017-05-31 Soitec Stiffening layers for the relaxation of strained layers
WO2010025218A2 (en) * 2008-08-28 2010-03-04 The Regents Of The University Of California Composite semiconductor substrates for thin-film device layer transfer
US8048754B2 (en) * 2008-09-29 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing single crystal semiconductor layer
JP5611571B2 (en) * 2008-11-27 2014-10-22 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
FR2943174B1 (en) * 2009-03-12 2011-04-15 Soitec Silicon On Insulator ADAPTATION OF THE MESH PARAMETER OF A LAYER OF CONTAMINATED MATERIAL
US20100310775A1 (en) * 2009-06-09 2010-12-09 International Business Machines Corporation Spalling for a Semiconductor Substrate
US8633097B2 (en) 2009-06-09 2014-01-21 International Business Machines Corporation Single-junction photovoltaic cell
US20110048517A1 (en) * 2009-06-09 2011-03-03 International Business Machines Corporation Multijunction Photovoltaic Cell Fabrication
US8703521B2 (en) * 2009-06-09 2014-04-22 International Business Machines Corporation Multijunction photovoltaic cell fabrication
US8802477B2 (en) * 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
JP5377212B2 (en) 2009-10-13 2013-12-25 信越化学工業株式会社 Method for producing single crystal diamond substrate
EP2330697A1 (en) * 2009-12-07 2011-06-08 S.O.I.Tec Silicon on Insulator Technologies Semiconductor device having an InGaN layer
US9012253B2 (en) * 2009-12-16 2015-04-21 Micron Technology, Inc. Gallium nitride wafer substrate for solid state lighting devices, and associated systems and methods
TW201133945A (en) * 2010-01-12 2011-10-01 jian-min Song Diamond LED devices and associated methods
US8203153B2 (en) 2010-01-15 2012-06-19 Koninklijke Philips Electronics N.V. III-V light emitting device including a light extracting structure
US8105852B2 (en) * 2010-01-15 2012-01-31 Koninklijke Philips Electronics N.V. Method of forming a composite substrate and growing a III-V light emitting device over the composite substrate
US8154052B2 (en) 2010-05-06 2012-04-10 Koninklijke Philips Electronics N.V. Light emitting device grown on wavelength converting substrate
US8536022B2 (en) 2010-05-19 2013-09-17 Koninklijke Philips N.V. Method of growing composite substrate using a relaxed strained layer
US8692261B2 (en) 2010-05-19 2014-04-08 Koninklijke Philips N.V. Light emitting device grown on a relaxed layer
JP2011254051A (en) * 2010-06-04 2011-12-15 Sumitomo Electric Ind Ltd Silicon carbide substrate manufacturing method, semiconductor device manufacturing method, silicon carbide substrate and semiconductor device
FR2961948B1 (en) * 2010-06-23 2012-08-03 Soitec Silicon On Insulator PROCESS FOR TREATING A COMPOUND MATERIAL PART
JP5468528B2 (en) * 2010-06-28 2014-04-09 信越化学工業株式会社 SUBSTRATE FOR GROWING SINGLE CRYSTAL DIAMOND, METHOD FOR PRODUCING THE SAME, AND METHOD FOR PRODUCING SINGLE CRYSTAL DIAMOND SUBSTRATE
KR101763984B1 (en) 2010-09-10 2017-08-01 베르라세 테크놀러지스 엘엘씨 Methods of fabricating optoelectronic devices using layers detached from semiconductor donors and devices made thereby
JP2012089828A (en) * 2010-09-22 2012-05-10 Toshiba Corp Semiconductor device manufacturing method
GB2484506A (en) * 2010-10-13 2012-04-18 Univ Warwick Heterogrowth
DE102011012298A1 (en) * 2010-12-28 2012-06-28 Osram Opto Semiconductors Gmbh Composite substrate, composite substrate semiconductor chip and method of manufacturing composite substrates and semiconductor chips
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
JP2012230969A (en) 2011-04-25 2012-11-22 Sumitomo Electric Ind Ltd GaN-BASED SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP2013001624A (en) * 2011-06-21 2013-01-07 Sumitomo Electric Ind Ltd Group iii nitride composite substrate, and evaluation method thereof
RU2469433C1 (en) * 2011-07-13 2012-12-10 Юрий Георгиевич Шретер Method for laser separation of epitaxial film or layer of epitaxial film from growth substrate of epitaxial semiconductor structure (versions)
US8383460B1 (en) * 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
JP5903818B2 (en) * 2011-09-26 2016-04-13 富士通株式会社 Compound semiconductor device and manufacturing method thereof
US8476629B2 (en) * 2011-09-27 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced wafer test line structure
FR2984007B1 (en) * 2011-12-13 2015-05-08 Soitec Silicon On Insulator METHOD FOR STABILIZING A BONDING INTERFACE LOCATED WITHIN A STRUCTURE COMPRISING A BOUNDED OXIDE LAYER AND STRUCTURE OBTAINED
CN104285001A (en) 2012-02-29 2015-01-14 六号元素技术美国公司 Gallium-nitride-on-diamond wafers and manufacturing equipment and methods of manufacture
EP2645428A1 (en) * 2012-03-28 2013-10-02 Soitec Manufacture of multijuntion solar cell devices
FR2992464B1 (en) * 2012-06-26 2015-04-03 Soitec Silicon On Insulator METHOD FOR TRANSFERRING A LAYER
EP2912685B1 (en) 2012-10-26 2020-04-08 RFHIC Corporation Semiconductor devices with improved reliability and operating life and methods of manufacturing the same
CN105051919A (en) * 2013-01-16 2015-11-11 Qmat股份有限公司 Techniques for forming optoelectronic devices
JP6146111B2 (en) * 2013-04-26 2017-06-14 株式会社豊田自動織機 Semiconductor substrate manufacturing method and semiconductor substrate
US9553183B2 (en) * 2013-06-19 2017-01-24 Infineon Technologies Austria Ag Gate stack for normally-off compound semiconductor transistor
FR3007891B1 (en) * 2013-06-28 2016-11-25 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A COMPOSITE STRUCTURE
US9064789B2 (en) * 2013-08-12 2015-06-23 International Business Machines Corporation Bonded epitaxial oxide structures for compound semiconductor on silicon substrates
EP2933824B1 (en) * 2014-04-14 2021-08-18 Nxp B.V. Substrate arrangement
FR3039003B1 (en) 2015-07-17 2017-07-28 Soitec Silicon On Insulator PROCESS FOR PRODUCING A SUBSTRATE
JP2017059598A (en) * 2015-09-14 2017-03-23 株式会社東芝 Wafer and semiconductor device
JP6515757B2 (en) * 2015-09-15 2019-05-22 信越化学工業株式会社 Method of manufacturing SiC composite substrate
CN105420812B (en) * 2015-09-16 2019-02-05 新疆天科合达蓝光半导体有限公司 A method of removing silicon carbide seed from seed crystal support
JP2017079090A (en) * 2015-10-22 2017-04-27 株式会社東芝 Magnetic recording medium, and magnetic record reproducing apparatus
DE102019102323A1 (en) * 2018-02-02 2019-08-08 Infineon Technologies Ag Wafer composite and method for the production of semiconductor components
FR3079534B1 (en) * 2018-03-28 2022-03-18 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A MONOCRYSTALLINE LAYER OF GAAS MATERIAL AND SUBSTRATE FOR GROWTH BY EPITAXIS OF A MONOCRYSTALLINE LAYER OF GAAS MATERIAL
FR3079532B1 (en) * 2018-03-28 2022-03-25 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A MONOCRYSTALLINE LAYER OF AIN MATERIAL AND SUBSTRATE FOR GROWTH BY EPITAXIS OF A MONOCRYSTALLINE LAYER OF AIN MATERIAL
DE102019114328B4 (en) 2018-05-31 2022-03-03 Rohm Co. Ltd SEMICONDUCTOR SUBSTRATE STRUCTURE AND POWER SEMICONDUCTOR DEVICE
WO2020186080A1 (en) * 2019-03-12 2020-09-17 The Regents Of The University Of California Method for removing a bar of one or more devices using supporting plates
US11652146B2 (en) 2020-02-07 2023-05-16 Rfhic Corporation Method of forming a semiconductor wafer containing a gallium-nitride layer and two diamond layers
FR3114909B1 (en) * 2020-10-06 2023-03-17 Soitec Silicon On Insulator Process for manufacturing a substrate for the epitaxial growth of a layer of a III-N alloy based on gallium
CN113990940B (en) * 2021-08-30 2023-06-09 华灿光电(浙江)有限公司 Silicon carbide epitaxial structure and method for manufacturing same
CN115261992A (en) * 2022-09-28 2022-11-01 青禾晶元(天津)半导体材料有限公司 Silicon carbide composite seed crystal and preparation method and application thereof

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2681472A1 (en) 1991-09-18 1993-03-19 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL
US5869387A (en) * 1992-01-30 1999-02-09 Canon Kabushiki Kaisha Process for producing semiconductor substrate by heating to flatten an unpolished surface
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US5880491A (en) 1997-01-31 1999-03-09 The United States Of America As Represented By The Secretary Of The Air Force SiC/111-V-nitride heterostructures on SiC/SiO2 /Si for optoelectronic devices
US5953622A (en) * 1996-11-23 1999-09-14 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor wafers
FR2787919A1 (en) 1998-12-23 2000-06-30 Thomson Csf Nitride growth substrate, especially for hetero epitaxial deposition of gallium nitride useful in optoelectronic devices, produced by bonding compliant thin films of growth and support substrates and thinning the growth substrate
WO2000044966A2 (en) 1999-02-01 2000-08-03 Us Navy Single-crystal material on non-single-crystalline substrate
US6114188A (en) 1996-04-12 2000-09-05 Northeastern University Method of fabricating an integrated complex-transition metal oxide device
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US6303468B1 (en) 1997-08-12 2001-10-16 Commissariat A L'energie Atomique Method for making a thin film of solid material
US6426270B1 (en) * 1999-02-02 2002-07-30 Canon Kabushiki Kaisha Substrate processing method and method of manufacturing semiconductor substrate
US6534382B1 (en) * 1996-12-18 2003-03-18 Canon Kabushiki Kaisha Process for producing semiconductor article
US6794276B2 (en) * 2000-11-27 2004-09-21 S.O.I.Tec Silicon On Insulator Technologies S.A. Methods for fabricating a substrate
US6867067B2 (en) * 2000-11-27 2005-03-15 S.O.I. Tec Silicon On Insulator Technologies S.A. Methods for fabricating final substrates

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10135500A (en) * 1996-03-18 1998-05-22 Sony Corp Manufacture of thin film semiconductor, solar cell and light emission element
JP3707200B2 (en) * 1997-05-09 2005-10-19 株式会社デンソー Manufacturing method of semiconductor substrate
JP3643225B2 (en) * 1997-12-03 2005-04-27 ローム株式会社 Optical semiconductor chip
FR2774214B1 (en) * 1998-01-28 2002-02-08 Commissariat Energie Atomique PROCESS FOR PRODUCING A SEMICONDUCTOR TYPE STRUCTURE ON INSULATOR AND IN PARTICULAR SiCOI
JP3525061B2 (en) * 1998-09-25 2004-05-10 株式会社東芝 Method for manufacturing semiconductor light emitting device
JP3765457B2 (en) * 1999-01-08 2006-04-12 豊田合成株式会社 Semiconductor element
JP2000261088A (en) * 1999-03-05 2000-09-22 Hitachi Ltd Light emitting element

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5374564A (en) 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films
FR2681472A1 (en) 1991-09-18 1993-03-19 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL
US5869387A (en) * 1992-01-30 1999-02-09 Canon Kabushiki Kaisha Process for producing semiconductor substrate by heating to flatten an unpolished surface
US6114188A (en) 1996-04-12 2000-09-05 Northeastern University Method of fabricating an integrated complex-transition metal oxide device
US5953622A (en) * 1996-11-23 1999-09-14 Hyundai Electronics Industries Co., Ltd. Method for fabricating semiconductor wafers
US6534382B1 (en) * 1996-12-18 2003-03-18 Canon Kabushiki Kaisha Process for producing semiconductor article
US5880491A (en) 1997-01-31 1999-03-09 The United States Of America As Represented By The Secretary Of The Air Force SiC/111-V-nitride heterostructures on SiC/SiO2 /Si for optoelectronic devices
US6251754B1 (en) 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6303468B1 (en) 1997-08-12 2001-10-16 Commissariat A L'energie Atomique Method for making a thin film of solid material
FR2787919A1 (en) 1998-12-23 2000-06-30 Thomson Csf Nitride growth substrate, especially for hetero epitaxial deposition of gallium nitride useful in optoelectronic devices, produced by bonding compliant thin films of growth and support substrates and thinning the growth substrate
WO2000044966A2 (en) 1999-02-01 2000-08-03 Us Navy Single-crystal material on non-single-crystalline substrate
US6328796B1 (en) 1999-02-01 2001-12-11 The United States Of America As Represented By The Secretary Of The Navy Single-crystal material on non-single-crystalline substrate
US6426270B1 (en) * 1999-02-02 2002-07-30 Canon Kabushiki Kaisha Substrate processing method and method of manufacturing semiconductor substrate
US6794276B2 (en) * 2000-11-27 2004-09-21 S.O.I.Tec Silicon On Insulator Technologies S.A. Methods for fabricating a substrate
US6867067B2 (en) * 2000-11-27 2005-03-15 S.O.I. Tec Silicon On Insulator Technologies S.A. Methods for fabricating final substrates

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
A.J. Steckl et al., "Growth and Characterization of GaN thin Films on SiC SOI Substrates", Journal of Electronic Materials, vol. 26, No. 3, pp. 217-223 (1997).
Hobart et al., "Transfer of Ultrathin Silicon Layers to Polycrystalline SiC Substrates for the Growth of 3C-SiC Epitaxial Films", Journal of the Electrochemical Society, vol. 146, No. 10, pp. 3833-3836 (1999).
M. Bruel, "Silicon On Insulator Material Technology", Electronics Letters, vol. 31, No. 14, pp. 1201-1202 (1996).

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888235B2 (en) * 2000-11-27 2011-02-15 S.O.I.Tec Silicon On Insulator Technologies Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US10002763B2 (en) 2000-11-27 2018-06-19 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US8507361B2 (en) 2000-11-27 2013-08-13 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US20070269960A1 (en) * 2000-11-27 2007-11-22 S.O.I.Tec Silicon On Insulator Technologies Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US8252664B2 (en) 2000-11-27 2012-08-28 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US20060076559A1 (en) * 2003-07-24 2006-04-13 Bruce Faure Method of fabricating an epitaxially grown layer
US7538010B2 (en) * 2003-07-24 2009-05-26 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
US20090321884A1 (en) * 2003-07-24 2009-12-31 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
US20090229743A1 (en) * 2003-07-24 2009-09-17 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
US7601217B2 (en) * 2003-07-24 2009-10-13 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
US20060118513A1 (en) * 2003-07-24 2006-06-08 Bruce Faure Method of fabricating an epitaxially grown layer
US8093138B2 (en) 2003-07-24 2012-01-10 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
US8216368B2 (en) * 2003-07-24 2012-07-10 Soitec Method of fabricating an epitaxially grown layer
US8759134B2 (en) * 2005-04-13 2014-06-24 Element Six Technologies Us Corporation Gallium-nitride-on-diamond wafers and devices, and methods of manufacture
US20070069225A1 (en) * 2005-09-27 2007-03-29 Lumileds Lighting U.S., Llc III-V light emitting device
US20110039395A1 (en) * 2008-06-25 2011-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US8198173B2 (en) * 2008-06-25 2012-06-12 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US20110101373A1 (en) * 2008-09-26 2011-05-05 S.O.I.Tec Silicon On Insulator Technologies Method of forming a composite laser substrate
US8692260B2 (en) 2008-09-26 2014-04-08 Soitec Method of forming a composite laser substrate
US9520856B2 (en) 2009-06-24 2016-12-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator structure having an electrode with a cantilevered portion
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
US20110121689A1 (en) * 2009-11-23 2011-05-26 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Polarity determining seed layer and method of fabricating piezoelectric materials with specific c-axis
US9847768B2 (en) 2009-11-23 2017-12-19 Avago Technologies General Ip (Singapore) Pte. Ltd. Polarity determining seed layer and method of fabricating piezoelectric materials with specific C-axis
DE102009057020B4 (en) * 2009-12-03 2021-04-29 Solaero Technologies Corp. Growth substrates for inverted metamorphic multijunction solar cells
DE102009057020A1 (en) 2009-12-03 2011-06-09 Emcore Solar Power, Inc., Albuquerque Method for manufacturing multijunction solar cell in e.g. space applications, involves separating mass of semiconductor-carrier from composite structure, and separating sequence of layers as semiconductor material to form solar cell
US20120280355A1 (en) * 2009-12-28 2012-11-08 Shin-Etsu Chemical Co., Ltd. Sos substrate with reduced stress
US8748294B2 (en) * 2009-12-28 2014-06-10 Shin-Etsu Chemical Co., Ltd. SOS substrate with reduced stress
US8648387B2 (en) 2009-12-30 2014-02-11 Industrial Technology Research Institute Nitride semiconductor template and method of manufacturing the same
US20110156047A1 (en) * 2009-12-30 2011-06-30 Industrial Technology Research Institute Nitride semiconductor template and method of manufacturing the same
US9099983B2 (en) 2011-02-28 2015-08-04 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic wave resonator device comprising a bridge in an acoustic reflector
US9203374B2 (en) 2011-02-28 2015-12-01 Avago Technologies General Ip (Singapore) Pte. Ltd. Film bulk acoustic resonator comprising a bridge
US8951887B2 (en) 2011-06-23 2015-02-10 Soitec Process for fabricating a semiconductor structure employing a temporary bond
US8922302B2 (en) 2011-08-24 2014-12-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator formed on a pedestal
US9425764B2 (en) 2012-10-25 2016-08-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having composite electrodes with integrated lateral features
US9444426B2 (en) 2012-10-25 2016-09-13 Avago Technologies General Ip (Singapore) Pte. Ltd. Accoustic resonator having integrated lateral feature and temperature compensation feature
US9608592B2 (en) 2014-01-21 2017-03-28 Avago Technologies General Ip (Singapore) Pte. Ltd. Film bulk acoustic wave resonator (FBAR) having stress-relief

Also Published As

Publication number Publication date
CN1734718A (en) 2006-02-15
US6794276B2 (en) 2004-09-21
FR2817394B1 (en) 2003-10-31
CN100399511C (en) 2008-07-02
EP1791170A3 (en) 2007-07-04
DE60138233D1 (en) 2009-05-14
US20040029359A1 (en) 2004-02-12
DE60126328D1 (en) 2007-03-15
EP1791170B1 (en) 2009-04-01
WO2002043112A3 (en) 2002-07-18
AU2002222036A1 (en) 2002-06-03
ATE352866T1 (en) 2007-02-15
ATE427559T1 (en) 2009-04-15
TW536728B (en) 2003-06-11
CN1478295A (en) 2004-02-25
EP1791170A2 (en) 2007-05-30
FR2817394A1 (en) 2002-05-31
WO2002043112A2 (en) 2002-05-30
JP5324803B2 (en) 2013-10-23
EP1344246B1 (en) 2007-01-24
KR20030059280A (en) 2003-07-07
EP1344246A2 (en) 2003-09-17
JP2008219019A (en) 2008-09-18
DE60126328T2 (en) 2007-11-08
JP2004517472A (en) 2004-06-10
CN1217381C (en) 2005-08-31
KR100805469B1 (en) 2008-02-20
US20050026394A1 (en) 2005-02-03

Similar Documents

Publication Publication Date Title
US7235462B2 (en) Methods for fabricating a substrate
US7741678B2 (en) Semiconductor substrates having useful and transfer layers
US7888235B2 (en) Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US10002763B2 (en) Fabrication of substrates with a useful layer of monocrystalline semiconductor material
US8154022B2 (en) Process for fabricating a structure for epitaxy without an exclusion zone
EP1588416B1 (en) Recycling of a wafer comprising a multi-layer structure after taking-off a thin layer
US7538010B2 (en) Method of fabricating an epitaxially grown layer
US8216368B2 (en) Method of fabricating an epitaxially grown layer
US10796905B2 (en) Manufacture of group IIIA-nitride layers on semiconductor on insulator structures
JP2008537341A (en) Wafer separation technology for self-standing (Al, In, Ga) N wafer fabrication
EP4306689A1 (en) Seed substrate for epitaxial growth use and method for manufacturing same, and semiconductor substrate and method for manufacturing same

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12