US7386819B1 - Methods of verifying functional equivalence between FPGA and structured ASIC logic cells - Google Patents

Methods of verifying functional equivalence between FPGA and structured ASIC logic cells Download PDF

Info

Publication number
US7386819B1
US7386819B1 US11/192,725 US19272505A US7386819B1 US 7386819 B1 US7386819 B1 US 7386819B1 US 19272505 A US19272505 A US 19272505A US 7386819 B1 US7386819 B1 US 7386819B1
Authority
US
United States
Prior art keywords
fpga
design
circuitry
programmed
chle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/192,725
Inventor
Jinyong Yuan
Ji Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altera Corp filed Critical Altera Corp
Priority to US11/192,725 priority Critical patent/US7386819B1/en
Assigned to ALTERA CORPORATION reassignment ALTERA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YUAN, JINYONG, PARK, JI
Priority to US12/152,217 priority patent/US7992110B1/en
Application granted granted Critical
Publication of US7386819B1 publication Critical patent/US7386819B1/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • G06F30/343Logical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Definitions

  • This invention relates to methods for ensuring functional equivalence between implementations of a user's logic design in a programmed field-programmable gate array (“FPGA”) and a structured application-specific integrated circuit (“ASIC”).
  • FPGA field-programmable gate array
  • ASIC application-specific integrated circuit
  • patent application publication 2006/0271899 discuss techniques for implementing a user's logic design in either a programmed FPGA or a structured ASIC.
  • One typical objective of these techniques is for these two types of implementations to be functionally equivalent to one another.
  • An important part of these techniques is the use of libraries of structured ASIC implementations of programmed FPGA functions that have been worked out in advance. For example, this greatly speeds up and improves the reliability of the process of providing a structured ASIC that is functionally equivalent to an FPGA programmed to perform a user's logic design. Because of the importance of these libraries, it is correspondingly important to ensure that the information contained in them will serve the intended purpose of functional equivalence.
  • a logical specification for a structured ASIC module that has been developed as a functional equivalent to a programmed FPGA logic module or function is verified (i.e., proven to be functionally equivalent to the programmed FPGA module) by using the structured ASIC module logical specification as an input to an FPGA design project.
  • the FPGA design project is performed on the structured ASIC module logical specification, and if that design project results in a specification for an FPGA logic module programmed in the same way as the starting programmed FPGA logic module, the structured ASIC module logical specification is verified and can be added to a library of structured ASIC logical specifications that are available for use.
  • Another possible aspect of the invention relates to similarly verifying a structured ASIC module physical specification that has been developed from an above-mentioned structured ASIC module logical specification.
  • an FPGA design project is performed on the structured ASIC module physical specification. If that design project results in a specification for an FPGA logic module programmed in the same way as the starting programmed FPGA logic module, the structured ASIC module physical specification is verified and can be added to a library of such physical specifications that are available for use.
  • FIG. 1 is a simplified block diagram of an illustrative, conventional, FPGA architecture.
  • FIG. 2 is a simplified block diagram of an illustrative structured ASIC architecture.
  • FIG. 3 is a simplified schematic block diagram of an illustrative embodiment of a representative portion of the circuitry shown in FIG. 2 .
  • FIGS. 4 a and 4 b are collectively an illustrative embodiment of a verification flow in accordance with a possible aspect of the invention.
  • FIG. 5 is an illustrative logical specification for circuitry of the type that can be used as a starting point for the flow shown in FIGS. 4 a and 4 b.
  • FIG. 6 is an illustrative physical specification for the circuitry shown in FIG. 5 .
  • FIGS. 7 a and 7 b are collectively an illustrative embodiment of another verification flow in accordance with another possible aspect of the invention. This flow operates on physical circuit specifications of the type illustrated by FIG. 6 .
  • FIG. 8 is an illustrative embodiment of a flow that makes use of flows like those shown in FIGS. 4 a , 4 b , 7 a , and 7 b in accordance with the invention.
  • FIG. 9 is an illustrative embodiment of a flow that makes use of libraries of verified circuit designs in accordance with the invention.
  • FIG. 10 is a simplified block diagram of illustrative machine-readable media in accordance with a possible aspect of the invention.
  • FIGS. 1-3 are included to provide some high-level context for what is described later in this specification.
  • the typical FPGA 10 shown in FIG. 1 includes many logic elements or LEs 20 (which may be of the type sometimes referred to as adaptive logic elements or ALEs.).
  • Each LE 20 includes a look-up table or LUT 30 that is programmable to provide any logical combination of several input signals that are applied to the LUT.
  • LUT 30 may be programmable to provide any logical combination of six input signals applied to the LUT. This is only an example, of course, and LUTs with fewer than six inputs or more than six inputs may be used instead, if desired. However, six will generally be the assumed number of inputs to a LUT throughout this specification.
  • FPGA 10 also typically includes other circuitry, such programmable interconnection circuitry for conveying signals to, from, and/or between the LEs 20 (and therefore the LUTs 30 ) on the device. By programming the LUTs and the interconnection circuitry (and also possibly other circuitry on the device), FPGA 10 can be made to perform any of a vast number of different logic designs that users of the device may desire to have performed.
  • a structured ASIC design may be used.
  • An example of a structured ASIC architecture is shown in FIG. 2 .
  • This architecture of a structured ASIC 110 includes an array of the basic circuitry of many relatively small logic elements 120 (called hybrid logic elements or HLEs).
  • An illustrative embodiment of a representative HLE 120 is shown in FIG. 3 and described briefly below.
  • This architecture of the structured ASIC is the same for all products made using this architecture.
  • the ASIC is customized to perform a particular user logic design by customizing only some of the masks used to make the ASIC that will perform that logic.
  • the masks that are customized in this way may include those that provide certain interconnections within HLEs 120 and between adjacent HLEs. This customizes the function(s) performed by each HLE. Long-distance interconnections to, from, and/or between HLEs may also be in customized masks.
  • the basic circuitry of all HLEs is the same for all products made using this structured ASIC architecture.
  • a first CHLE includes HLEs A 1 and B 1 ; a second CHLE includes HLEs C 1 , A 2 , B 2 , C 2 , and C 3 ; and a third CHLE includes HLEs A 3 , B 3 , A 4 , and B 4 .
  • Devising a structured ASIC implementation of an FPGA LUT function typically includes at least two phases.
  • the first phase is developing a logical design specification for a CHLE that will implement the LUT function.
  • the second phase is, starting from the logical design specification that is the result of the first phase, developing a physical design specification for the CHLE.
  • the present invention includes aspects for verifying the results of each of these two phases.
  • HLE 120 includes two-input multiplexer 210 , NAND gates 220 a and 220 b , and inverters 230 a and 230 b .
  • HLE 120 also includes some interconnection resources, some of which are mask-programmable.
  • Xs like 430 identify locations at which conductor segments can be connected to one another or not, as desired, by appropriately customizing a mask (or masks) used to make the ASIC.
  • Os like 460 identify locations at which connections can be made, if desired, to one or more circuit layers (not shown) in which relatively long-distance interconnection conductors can be provided. Again, these connections and interconnections are made by appropriately customizing one or more of the masks used to make the ASIC.
  • the solid dots such as 410 in FIG. 3 are also connections that can be made or not made, as desired, between the intersecting conductors. Once again, these connections are made, if desired, by appropriately customizing one or more of the masks used to make the ASIC.
  • Other reference numbers in FIG. 3 refer to various types of conductors in the HLE.
  • FIGS. 4 a and 4 b The logical-side verification flow is shown in FIGS. 4 a and 4 b .
  • a logical design for a structured ASIC CHLE implementation of a particular programmed FPGA LUT function has already been developed.
  • FIG. 5 shows an already-developed logical design for a CHLE that is intended to be functionally equivalent to an FPGA LUT having six inputs and a 64-bit memory programmed with the hexadecimal value FEEEFAAAFCCCF000. It is the purpose of the flow of FIGS. 4 a and 4 b to verify that this logical design ( FIG. 5 ) for a structured ASIC CHLE is in fact functionally equivalent to the programmed FPGA LUT it is intended to be equivalent to.
  • FIG. 5 can be in standard Verilog or any other generally comparable representation.
  • the first line in FIG. 5 (“module CHLE — 6 — 4 . . .
  • the lutmask value (“FEEEFAAAFCCCF000”), which, again, are 16 hexadecimal digits representing the 64 bits stored in the look-up table of the LUT); the version number associated with the following implementation of a LUT having this lutmask value (“0”); and reference names of the inputs to and output from the CHLE (“(A, B, C, D, E, F, OUT)”).
  • the lutmask value is the minimum for a LUT performing the logical function that this LUT performs.
  • This minimum lutmask value is the result of making sure that the LUT is in canonical form (i.e., a standardized or normalized form in which the inputs to the LUT have been rotated or permuted until the minimum lutmask value is achieved).
  • the next several lines in FIG. 5 specify each of the devices in the CHLE. There is one line for each such device.
  • standard Verilog is shown for purposes of illustration.
  • the first of these lines (“MUX21 M0_i . . . ”) is for a 2-to-1 multiplexer that is given the name M0. Its first selectable input (“D0”) comes from the output of the multiplexer called M1, and its second selectable input (“D1”) comes from the output of the multiplexer called M3. Its selection control (“SEL”) input comes from input A. And its output (“OUT”) is the OUT signal of the CHLE.
  • M means MUX (multiplexer), N means NAND gate, I means INV (inverter), S0 means ground, S1 means VCC, and A through F indicate the inputs.
  • M means MUX (multiplexer)
  • N means NAND gate
  • I means INV (inverter)
  • S0 means ground
  • S1 means VCC
  • a through F indicate the inputs.
  • the first number (if any) following a character other than S indicates which HLE the element belongs to.
  • CHLE SIGNATURE “//CHLE SIGNATURE:” and “// (M0, M1 . . . )”).
  • CHLE signature the contents of the second of these lines.
  • the CHLE signature is just a condensed representation of the lines above.
  • the “(M0 M1 M3 A)” portion of the CHLE signature comes from the “MUX21 M0_i . . . ” line above.
  • the CHLE signature is thus a complete, although abbreviated or condensed, representation of the CHLE.
  • step 510 the depicted flow begins with step 510 , in which the logical design specification for the CHLE that is to be verified (e.g., the logical design specification in FIG. 5 ) is treated as a top-level, stand-alone design file that is to be implemented in an FPGA of the type for which a structured ASIC including the specified CHLE is intended to be a functioned equivalent.
  • the logical design specification for the CHLE that is to be verified e.g., the logical design specification in FIG. 5
  • the particular CHLE specification shown in FIG. 5 is referred to as an example. It is emphasized, however, that this is only an example, and that the flow of FIGS. 4 a and 4 b can be carried out on any CHLE logical specification.
  • step 512 an FPGA design project is created to work on the CHLE logical specification that is to be verified.
  • This can be done using any suitable FPGA design tool (i.e., software that is used to implement a user's logic design in an FPGA of the relevant type).
  • the “user's logic design” mentioned above is just the logical specification of the single CHLE to be verified (e.g., the CHLE specification in FIG. 5 ).
  • step 514 the logical specification of the CHLE to be verified (e.g., as in FIG. 5 ) is mapped to the relevant type of FPGA using the FPGA design tool software mentioned in the preceding paragraph. As noted in step 514 , this typically includes logic optimization.
  • step 520 the FPGA design that results from the preceding steps is tested with respect to how many FPGA LUTs it has been found necessary to use in that design. If more than one FPGA LUT is required, a design principle has been violated because a structured ASIC CHLE is never supposed to include more logic than can be implemented in one FPGA LUT. Accordingly, if step 520 detects that more than one FPGA LUT has been found necessary to implement the logical design of the CHLE being considered, control passes from step 520 to step 522 , which produces an error message indicating that this CHLE logical design should not be used for any structured ASIC that is intended to be functionally equivalent to any programmed FPGA. On the other hand, if step 520 detects that only one FPGA LUT has been found necessary to implement the CHLE logical design being considered, the process of verifying that CHLE logical design can continue with step 530 .
  • step 530 the FPGA LUT configuration (programmed state) that has been designed (in the preceding steps) to implement the CHLE logical design being considered is converted to canonical form by rotating or permuting its inputs to minimize the lutmask value of the LUT.
  • step 532 the lutmask value is extracted from the project name (i.e., the project name used in steps 510 and 512 to initiate the flow being discussed). It will be remembered that the CHLE logical design being considered comes with a name that includes the minimum lutmask value for the programmed FPGA LUT logic function that the CHLE logical design is intended to be functionally equivalent to. It is this lutmask value that step 532 extracts from the project name for the flow being discussed.
  • step 540 the currently computed minimum lutmask value from step 530 is compared to the lutmask value extracted from the project name in step 532 . If these two lutmask values are the same, the cell (i.e., the CHLE logical design) is verified, as indicated by control passing to step 542 . If the two lutmask values compared in step 540 are not the same, control passes from step 540 to step 544 , in which an error message is generated to indicate that the correctness of the CHLE logical design being considered has not been verified, and that this CHLE logical design should accordingly not be used.
  • FIGS. 4 a and 4 b basically does it to take a CHLE logical design that has been developed as a structured ASIC implementation of an FPGA LUT that is programmed in a particular way, and attempt to get back to an FPGA LUT programmed in the same way by designing an FPGA implementation of that CHLE logical design. If the endpoint of this process is the same as the starting point (i.e., the same minimum lutmask value), the CHLE logical design and the programmed FPGA LUT should be functionally equivalent (with a very high level of confidence).
  • the CHLE logical design is therefore “verified.” But if the starting and ending minimum lutmask values are not the same, the CHLE logical design and the programmed FPGA LUT may not be functionally equivalent, and the CHLE logical design should accordingly not be used.
  • a CHLE logical design that has been verified as described above can be added to a library of logical designs that are available for use in providing structured ASIC products that are functionally equivalent to programmed FPGAs.
  • step 542 in FIG. 4 b refers to adding the verified logical design to such a library.
  • a CHLE After the logical design of a CHLE has been verified as described above, that logical design can be further processed to produce a physical design for actually implementing that CHLE in a structured ASIC.
  • the details of how that is done are not pertinent to the present invention. For example, it may be done as a largely manual process subject to constraints such as not permitting movement or elimination of any inverters or NAND gates specified in the logical design. However, otherwise un-used inverters and/or NAND gates in the HLEs may be used to increase driving strength of the CHLE. In general, the HLE boundaries within the CHLE are maintained.
  • FIG. 6 shows a typical physical specification for a CHLE that results from the process described in the preceding paragraph.
  • the physical specification shown in FIG. 6 is expressed in normal Verilog, but it will be understood that any other representation can be used instead if desired.
  • the first line in FIG. 6 gives the module name in a form very similar to what is shown in FIG. 5 .
  • D2 is the output drive strength of the module.
  • the minimum lutmask value (“FEEEFAAAFCCCF000”) continues to be an important part of the module name. This information has been carried over from the FIG. 5 logical specification to this FIG. 6 physical specification.
  • Each of the next four “lines” is a physical specification for a respective one of the four HLEs in the CHLE.
  • “mux21_md0d1selm0b_i0M0boutbuf0_i1outbuf0i1out XHLE0” is the name of HLE “0” in the CHLE.
  • “.I1OUT(OUT),” “.SEL(A),” “.D1 (M3),” and “.D0 (M1)” are the port connections of that HLE.
  • FIG. 6 concludes with the CHLE signature, which is the same as in FIG. 5 , and which, indeed, has been carried forward from the FIG. 5 logical specification.
  • FIGS. 7 a and 7 b An illustrative embodiment of the verification flow is shown in FIGS. 7 a and 7 b . These FIGS. are very similar to FIGS. 4 a and 4 b , and so the description of them can be somewhat abbreviated.
  • step 610 the physical design specification for the CHLE that is to be verified (e.g., the physical design specification in FIG. 6 ) is treated as a top-level, stand-alone design file that is to be implemented in an FPGA of the type for which a structured ASIC including the specified CHLE is intended to be a functional equivalent.
  • the particular CHLE physical specification shown in FIG. 6 is referred to as an example. It will be understood that the flow of FIGS. 7 a and 7 b can be carried out on any CHLE specification.
  • step 612 an FPGA design project is created to work on the CHLE physical design specification that is to be verified. This can be done using the same FPGA design tool that is used in the flow of FIGS. 4 a and 4 b.
  • step 614 the physical specification of the CHLE to be verified (e.g., as in FIG. 6 ) is mapped to the relevant type of FPGA using the FPGA design tool mentioned in the preceding paragraph. As noted in step 614 , this typically includes logic optimization.
  • step 620 the FPGA design that results from the preceding steps is tested with respect to how many FPGA LUTs it has been found necessary to use in that design. If more than one FPGA LUT is required, the design principle mentioned in connection with FIGS. 4 a and 4 b has been violated and control passes to step 622 for generation of an error message to indicate that the physical design specification should not be used. On the other hand, if step 620 detects that only one FPGA LUT has been found necessary to implement the CHLE physical design being considered, the process of verifying that physical design can continue with step 630 .
  • step 630 the FPGA LUT configuration (programmed state) that has been designed (in the preceding steps) to implement the CHLE physical design being considered is converted to canonical form by rotating or permuting its inputs to minimize the lutmask value of the LUT.
  • step 632 the lutmask value is extracted from the project name (i.e., the project name used in steps 610 and 612 to initiate the flow being discussed).
  • the CHLE physical design comes with a name that includes the minimum lutmask value for the programmed FPGA LUT logic function that the CHLE physical design is intended to be functionally equivalent to. It is this lutmask value that step 632 extracts from the project name for the flow being discussed.
  • step 640 the currently computed minimum lutmask value from step 630 is compared to the lutmask value extracted from the project name in step 632 . If these two lutmask values are the same, the physical design of the CHLE cell is verified, as indicated by control passing to step 642 . This means that this physical design can be added to a library of such designs that are available for use in producing structured ASICs that will be functionally equivalent to programmed FPGAs.
  • FIG. 8 shows a high-level view of use of the flows of FIGS. 4 a , 4 b , 7 a , and 7 b in accordance with the invention.
  • step 710 an FPGA LUT that is programmed to perform a particular logical function that is or that may be desired by a user is considered.
  • An equivalent of this logical function is designed using one or more HLEs to produce a CHLE functional design specification (e.g., as in FIG. 5 ).
  • step 712 the functional design from step 710 is verified using the flow of FIGS. 4 a and 4 b .
  • the verified functional design is added to a library of such designs.
  • step 716 a physical model of the CHLE functional specification is designed (e.g., as in FIG. 6 ). In step 718 this physical model is verified using the flow of FIGS. 7 a and 7 b . In step 720 the verified physical design is added to a library of such designs.
  • FIG. 9 is a high-level view of how the libraries developed using the flow of FIG. 8 may be used to implement a user's logic design in a structured ASIC product that is functionally equivalent to an FPGA programmed to implement that user logic.
  • the user's logic design is provided in step 810 .
  • step 812 the user's logic design is mapped to a programmed FPGA (or to a specification that can lead to programming an FPGA to perform the user's logic design).
  • step 814 the function of each LUT in the step 812 specification for a programmed FPGA is found in the library that has been developed per step 714 in FIG. 8 . If necessary, the function of a LUT can be subdivided into smaller functions to accomplish the step 814 task.
  • step 816 the physical library equivalent of each function that has been found in the functional library in step 814 is found.
  • the physical library referred to in step 816 is the one developed per step 720 in FIG. 8 .
  • step 818 the structured ASIC product design is assembled using the physical library modules retrieved in step 816 .
  • FIG. 10 illustrates another possible aspect of the invention.
  • This is machine-readable media 900 (e.g., magnetic disc(s), optical disc(s), magnetic tape(s), or the like) encoded with machine-readable instructions 910 (e.g., a computer program) for at least partly performing one or more methods in accordance with the invention.
  • machine-readable media 900 e.g., magnetic disc(s), optical disc(s), magnetic tape(s), or the like
  • machine-readable instructions 910 e.g., a computer program

Abstract

Structured ASIC circuitry that is intended to be functionally equivalent to a programmed block of FPGA circuitry (e.g., a programmed FPGA LUT) is verified for such functional equivalence by using the specification (logical or physical) for the structured ASIC circuitry as a starting point for an FPGA design project. If the design project results in the same FPGA circuitry as it was intended that the structured ASIC circuitry would be functionally equivalent to, the structured ASIC circuitry has been verified and can be added to one or more libraries of structured ASIC modules that are available for use in providing structured ASIC products that are functionally equivalent to programmed FPGA products.

Description

BACKGROUND OF THE INVENTION
This invention relates to methods for ensuring functional equivalence between implementations of a user's logic design in a programmed field-programmable gate array (“FPGA”) and a structured application-specific integrated circuit (“ASIC”).
References such as Chua et al. U.S. patent application publication 2006/0001444, Yuan et al. U.S. patent application Ser. No. 10/916,305, filed Aug. 11, 2004, Schleicher et al. U.S. patent application Ser. No. 11/050,607, filed Feb. 3, 2005, Yuan et al. U.S. patent application publication 2006/0230376, Pedersen et al. U.S. patent application Ser. No. 11/072,560, filed Mar. 3, 2005, Lim et al. U.S. patent application publication 2006/0267661, Schleicher et al. U.S. patent application publication 2006/0225008, and Tan et al. U.S. patent application publication 2006/0271899 discuss techniques for implementing a user's logic design in either a programmed FPGA or a structured ASIC. One typical objective of these techniques is for these two types of implementations to be functionally equivalent to one another. An important part of these techniques is the use of libraries of structured ASIC implementations of programmed FPGA functions that have been worked out in advance. For example, this greatly speeds up and improves the reliability of the process of providing a structured ASIC that is functionally equivalent to an FPGA programmed to perform a user's logic design. Because of the importance of these libraries, it is correspondingly important to ensure that the information contained in them will serve the intended purpose of functional equivalence.
SUMMARY OF THE INVENTION
In accordance with one possible aspect of this invention a logical specification for a structured ASIC module that has been developed as a functional equivalent to a programmed FPGA logic module or function is verified (i.e., proven to be functionally equivalent to the programmed FPGA module) by using the structured ASIC module logical specification as an input to an FPGA design project. The FPGA design project is performed on the structured ASIC module logical specification, and if that design project results in a specification for an FPGA logic module programmed in the same way as the starting programmed FPGA logic module, the structured ASIC module logical specification is verified and can be added to a library of structured ASIC logical specifications that are available for use.
Another possible aspect of the invention relates to similarly verifying a structured ASIC module physical specification that has been developed from an above-mentioned structured ASIC module logical specification. Once again, an FPGA design project is performed on the structured ASIC module physical specification. If that design project results in a specification for an FPGA logic module programmed in the same way as the starting programmed FPGA logic module, the structured ASIC module physical specification is verified and can be added to a library of such physical specifications that are available for use.
Further features of the invention, its nature and various advantages, will be more apparent from the accompanying drawings and the following detailed description.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a simplified block diagram of an illustrative, conventional, FPGA architecture.
FIG. 2 is a simplified block diagram of an illustrative structured ASIC architecture.
FIG. 3 is a simplified schematic block diagram of an illustrative embodiment of a representative portion of the circuitry shown in FIG. 2.
FIGS. 4 a and 4 b are collectively an illustrative embodiment of a verification flow in accordance with a possible aspect of the invention.
FIG. 5 is an illustrative logical specification for circuitry of the type that can be used as a starting point for the flow shown in FIGS. 4 a and 4 b.
FIG. 6 is an illustrative physical specification for the circuitry shown in FIG. 5.
FIGS. 7 a and 7 b are collectively an illustrative embodiment of another verification flow in accordance with another possible aspect of the invention. This flow operates on physical circuit specifications of the type illustrated by FIG. 6.
FIG. 8 is an illustrative embodiment of a flow that makes use of flows like those shown in FIGS. 4 a, 4 b, 7 a, and 7 b in accordance with the invention.
FIG. 9 is an illustrative embodiment of a flow that makes use of libraries of verified circuit designs in accordance with the invention.
FIG. 10 is a simplified block diagram of illustrative machine-readable media in accordance with a possible aspect of the invention.
DETAILED DESCRIPTION
The references mentioned in the above background section of this specification provide a great deal of information about how FPGAs may be constructed, how structured ASICs may be constructed, and how a user's logic design may be functionally equivalently implemented in these two types of devices.
It will therefore not be necessary to repeat all of such information here. However, FIGS. 1-3 are included to provide some high-level context for what is described later in this specification.
The typical FPGA 10 shown in FIG. 1 includes many logic elements or LEs 20 (which may be of the type sometimes referred to as adaptive logic elements or ALEs.). Each LE 20 includes a look-up table or LUT 30 that is programmable to provide any logical combination of several input signals that are applied to the LUT. For example, a LUT 30 may be programmable to provide any logical combination of six input signals applied to the LUT. This is only an example, of course, and LUTs with fewer than six inputs or more than six inputs may be used instead, if desired. However, six will generally be the assumed number of inputs to a LUT throughout this specification.
Although not shown in FIG. 1, FPGA 10 also typically includes other circuitry, such programmable interconnection circuitry for conveying signals to, from, and/or between the LEs 20 (and therefore the LUTs 30) on the device. By programming the LUTs and the interconnection circuitry (and also possibly other circuitry on the device), FPGA 10 can be made to perform any of a vast number of different logic designs that users of the device may desire to have performed.
Once a user's logic design has been “proven” in FPGA 10, and if the demand for that design reaches a sufficiently high volume, it may be desirable (e.g., for reasons of cost reduction) to “migrate” the design to a functionally equivalent ASIC device. To facilitate such migration (and as is explained in more detail in the above-mentioned references), a structured ASIC design may be used. An example of a structured ASIC architecture is shown in FIG. 2. This architecture of a structured ASIC 110 includes an array of the basic circuitry of many relatively small logic elements 120 (called hybrid logic elements or HLEs). An illustrative embodiment of a representative HLE 120 is shown in FIG. 3 and described briefly below. This architecture of the structured ASIC is the same for all products made using this architecture. The ASIC is customized to perform a particular user logic design by customizing only some of the masks used to make the ASIC that will perform that logic. For example, the masks that are customized in this way may include those that provide certain interconnections within HLEs 120 and between adjacent HLEs. This customizes the function(s) performed by each HLE. Long-distance interconnections to, from, and/or between HLEs may also be in customized masks. However, the basic circuitry of all HLEs is the same for all products made using this structured ASIC architecture.
As the above-mentioned references make clear, another aspect of facilitating the provision of a structured ASIC product that is functionally equivalent to an FPGA that has been programmed to perform a user's logic design is to separately implement in the structured ASIC the function performed by each FPGA LUT 30. Because an FPGA LUT is typically logically more powerful than an HLE 120, it is often necessary to use several adjacent or nearby HLEs to perform the function of one FPGA LUT. “Cluster of HLEs” or CHLE is the term used for HLEs that are working together to perform the function of one FPGA LUT. It may be desirable to limit the maximum size of a CHLE (e.g., to no more than six HLEs). If that is done, and if the function performed by an FPGA LUT is sufficiently complex, it may be necessary to have more than one CHLE performing the function of the LUT. In FIG. 2 the heavy lines show examples of how various numbers of HLEs are grouped together in CHLEs as needed to perform the functions of various FPGA LUTs. Using the row and column identifiers in FIG. 2, a first CHLE includes HLEs A1 and B1; a second CHLE includes HLEs C1, A2, B2, C2, and C3; and a third CHLE includes HLEs A3, B3, A4, and B4.
There are so many possible logic functions that an FPGA LUT can perform, and the task of devising functionally equivalent CHLEs is so substantial and critical to successful production of functionally equivalent FPGA and structured ASIC implementations of a user's logic design, that it is very helpful to employ libraries of previously developed structured ASIC implementations of as many of the possible LUT functions as it is reasonably possible to have in those libraries. It is an objective of this invention to help make sure that a structured ASIC implementation is only added to such a library when there is high confidence that the implementation is indeed functionally equivalent to the target FPGA LUT function. This is called verifying the structured ASIC implementation.
Devising a structured ASIC implementation of an FPGA LUT function typically includes at least two phases. The first phase is developing a logical design specification for a CHLE that will implement the LUT function. The second phase is, starting from the logical design specification that is the result of the first phase, developing a physical design specification for the CHLE. The present invention includes aspects for verifying the results of each of these two phases.
A final preliminary to description of the verification aspects of the invention is the following brief description of the illustrative HLE circuitry 120 shown in FIG. 3 (which, except for the general HLE reference number, is identical to FIG. 3 in above-mentioned Chua et al. U.S. patent application Ser. No. 10/884,460). As shown in FIG. 3, HLE 120 includes two-input multiplexer 210, NAND gates 220 a and 220 b, and inverters 230 a and 230 b. HLE 120 also includes some interconnection resources, some of which are mask-programmable. For example, Xs like 430 identify locations at which conductor segments can be connected to one another or not, as desired, by appropriately customizing a mask (or masks) used to make the ASIC. Similarly, Os like 460 identify locations at which connections can be made, if desired, to one or more circuit layers (not shown) in which relatively long-distance interconnection conductors can be provided. Again, these connections and interconnections are made by appropriately customizing one or more of the masks used to make the ASIC. The solid dots such as 410 in FIG. 3 are also connections that can be made or not made, as desired, between the intersecting conductors. Once again, these connections are made, if desired, by appropriately customizing one or more of the masks used to make the ASIC. Other reference numbers in FIG. 3 refer to various types of conductors in the HLE.
We turn now to a description of verification of logical and physical specifications of CHLEs in accordance with this invention.
The logical-side verification flow is shown in FIGS. 4 a and 4 b. A logical design for a structured ASIC CHLE implementation of a particular programmed FPGA LUT function has already been developed. For example, FIG. 5 shows an already-developed logical design for a CHLE that is intended to be functionally equivalent to an FPGA LUT having six inputs and a 64-bit memory programmed with the hexadecimal value FEEEFAAAFCCCF000. It is the purpose of the flow of FIGS. 4 a and 4 b to verify that this logical design (FIG. 5) for a structured ASIC CHLE is in fact functionally equivalent to the programmed FPGA LUT it is intended to be equivalent to.
Before continuing with FIGS. 4 a and 4 b, it may be helpful to briefly explain what FIG. 5 shows (for the depicted example, of course). FIG. 5 can be in standard Verilog or any other generally comparable representation. The first line in FIG. 5 (“module CHLE64 . . . ”) includes (in order, from left to right) the following information about the illustrative CHLE logical design: the number of inputs (“6”); the number of HLEs in the CHLE (“4”); the lutmask value (“FEEEFAAAFCCCF000”), which, again, are 16 hexadecimal digits representing the 64 bits stored in the look-up table of the LUT); the version number associated with the following implementation of a LUT having this lutmask value (“0”); and reference names of the inputs to and output from the CHLE (“(A, B, C, D, E, F, OUT)”). It should be noted that the lutmask value is the minimum for a LUT performing the logical function that this LUT performs. This minimum lutmask value is the result of making sure that the LUT is in canonical form (i.e., a standardized or normalized form in which the inputs to the LUT have been rotated or permuted until the minimum lutmask value is achieved).
The next few lines in FIG. 5 are standard Verilog statements identifying inputs (e.g., “input A”) and outputs (“output OUT”). Again, the use of standard Verilog is only illustrative.
After the above-described lines, the next several lines in FIG. 5 specify each of the devices in the CHLE. There is one line for each such device. Once again, standard Verilog is shown for purposes of illustration. For example, the first of these lines (“MUX21 M0_i . . . ”) is for a 2-to-1 multiplexer that is given the name M0. Its first selectable input (“D0”) comes from the output of the multiplexer called M1, and its second selectable input (“D1”) comes from the output of the multiplexer called M3. Its selection control (“SEL”) input comes from input A. And its output (“OUT”) is the OUT signal of the CHLE. The following convention is used in assigning names: M means MUX (multiplexer), N means NAND gate, I means INV (inverter), S0 means ground, S1 means VCC, and A through F indicate the inputs. The first number (if any) following a character other than S indicates which HLE the element belongs to. The second number (if any) following that indicates the instance of the specified type of device in the HLE.
Following the above-described specification lines are two comment lines (“//CHLE SIGNATURE:” and “// (M0, M1 . . . )”). The contents of the second of these lines is the “CHLE signature” of the CHLE specified by the preceding lines. It will be noted that the CHLE signature is just a condensed representation of the lines above. For example, the “(M0 M1 M3 A)” portion of the CHLE signature comes from the “MUX21 M0_i . . . ” line above. The CHLE signature is thus a complete, although abbreviated or condensed, representation of the CHLE.
Returning now to FIGS. 4 a and 4 b, the depicted flow begins with step 510, in which the logical design specification for the CHLE that is to be verified (e.g., the logical design specification in FIG. 5) is treated as a top-level, stand-alone design file that is to be implemented in an FPGA of the type for which a structured ASIC including the specified CHLE is intended to be a functioned equivalent. (Throughout FIGS. 4 a and 4 b the particular CHLE specification shown in FIG. 5 is referred to as an example. It is emphasized, however, that this is only an example, and that the flow of FIGS. 4 a and 4 b can be carried out on any CHLE logical specification.)
In step 512 an FPGA design project is created to work on the CHLE logical specification that is to be verified. This can be done using any suitable FPGA design tool (i.e., software that is used to implement a user's logic design in an FPGA of the relevant type). In this case the “user's logic design” mentioned above is just the logical specification of the single CHLE to be verified (e.g., the CHLE specification in FIG. 5).
In step 514 the logical specification of the CHLE to be verified (e.g., as in FIG. 5) is mapped to the relevant type of FPGA using the FPGA design tool software mentioned in the preceding paragraph. As noted in step 514, this typically includes logic optimization.
In step 520 the FPGA design that results from the preceding steps is tested with respect to how many FPGA LUTs it has been found necessary to use in that design. If more than one FPGA LUT is required, a design principle has been violated because a structured ASIC CHLE is never supposed to include more logic than can be implemented in one FPGA LUT. Accordingly, if step 520 detects that more than one FPGA LUT has been found necessary to implement the logical design of the CHLE being considered, control passes from step 520 to step 522, which produces an error message indicating that this CHLE logical design should not be used for any structured ASIC that is intended to be functionally equivalent to any programmed FPGA. On the other hand, if step 520 detects that only one FPGA LUT has been found necessary to implement the CHLE logical design being considered, the process of verifying that CHLE logical design can continue with step 530.
In step 530 the FPGA LUT configuration (programmed state) that has been designed (in the preceding steps) to implement the CHLE logical design being considered is converted to canonical form by rotating or permuting its inputs to minimize the lutmask value of the LUT.
In step 532 the lutmask value is extracted from the project name (i.e., the project name used in steps 510 and 512 to initiate the flow being discussed). It will be remembered that the CHLE logical design being considered comes with a name that includes the minimum lutmask value for the programmed FPGA LUT logic function that the CHLE logical design is intended to be functionally equivalent to. It is this lutmask value that step 532 extracts from the project name for the flow being discussed.
In step 540, the currently computed minimum lutmask value from step 530 is compared to the lutmask value extracted from the project name in step 532. If these two lutmask values are the same, the cell (i.e., the CHLE logical design) is verified, as indicated by control passing to step 542. If the two lutmask values compared in step 540 are not the same, control passes from step 540 to step 544, in which an error message is generated to indicate that the correctness of the CHLE logical design being considered has not been verified, and that this CHLE logical design should accordingly not be used.
It will be apparent from the foregoing that what the flow of FIGS. 4 a and 4 b basically does it to take a CHLE logical design that has been developed as a structured ASIC implementation of an FPGA LUT that is programmed in a particular way, and attempt to get back to an FPGA LUT programmed in the same way by designing an FPGA implementation of that CHLE logical design. If the endpoint of this process is the same as the starting point (i.e., the same minimum lutmask value), the CHLE logical design and the programmed FPGA LUT should be functionally equivalent (with a very high level of confidence). The CHLE logical design is therefore “verified.” But if the starting and ending minimum lutmask values are not the same, the CHLE logical design and the programmed FPGA LUT may not be functionally equivalent, and the CHLE logical design should accordingly not be used.
A CHLE logical design that has been verified as described above can be added to a library of logical designs that are available for use in providing structured ASIC products that are functionally equivalent to programmed FPGAs. Hence step 542 in FIG. 4 b refers to adding the verified logical design to such a library.
After the logical design of a CHLE has been verified as described above, that logical design can be further processed to produce a physical design for actually implementing that CHLE in a structured ASIC. The details of how that is done are not pertinent to the present invention. For example, it may be done as a largely manual process subject to constraints such as not permitting movement or elimination of any inverters or NAND gates specified in the logical design. However, otherwise un-used inverters and/or NAND gates in the HLEs may be used to increase driving strength of the CHLE. In general, the HLE boundaries within the CHLE are maintained.
FIG. 6 shows a typical physical specification for a CHLE that results from the process described in the preceding paragraph. Once again, the physical specification shown in FIG. 6 is expressed in normal Verilog, but it will be understood that any other representation can be used instead if desired. The first line in FIG. 6 gives the module name in a form very similar to what is shown in FIG. 5. D2 is the output drive strength of the module. Note that the minimum lutmask value (“FEEEFAAAFCCCF000”) continues to be an important part of the module name. This information has been carried over from the FIG. 5 logical specification to this FIG. 6 physical specification.
The next few lines in FIG. 6 name the inputs and outputs of the CHLE.
Each of the next four “lines” (some of which actually occupy two lines) is a physical specification for a respective one of the four HLEs in the CHLE. For example, “mux21_md0d1selm0b_i0M0boutbuf0_i1outbuf0i1out XHLE0” is the name of HLE “0” in the CHLE. “.I1OUT(OUT),” “.SEL(A),” “.D1 (M3),” and “.D0 (M1)” are the port connections of that HLE.
FIG. 6 concludes with the CHLE signature, which is the same as in FIG. 5, and which, indeed, has been carried forward from the FIG. 5 logical specification.
Before making any actual use of a physical design file such as the one shown in FIG. 6, the suitability of that file for its intended purpose (i.e., functional equivalence to the starting programmed FPGA LUT) is first verified in accordance the present invention. An illustrative embodiment of the verification flow is shown in FIGS. 7 a and 7 b. These FIGS. are very similar to FIGS. 4 a and 4 b, and so the description of them can be somewhat abbreviated.
In step 610 the physical design specification for the CHLE that is to be verified (e.g., the physical design specification in FIG. 6) is treated as a top-level, stand-alone design file that is to be implemented in an FPGA of the type for which a structured ASIC including the specified CHLE is intended to be a functional equivalent. (Again, throughout FIGS. 7 a and 7 b the particular CHLE physical specification shown in FIG. 6 is referred to as an example. It will be understood that the flow of FIGS. 7 a and 7 b can be carried out on any CHLE specification.)
In step 612 an FPGA design project is created to work on the CHLE physical design specification that is to be verified. This can be done using the same FPGA design tool that is used in the flow of FIGS. 4 a and 4 b.
In step 614 the physical specification of the CHLE to be verified (e.g., as in FIG. 6) is mapped to the relevant type of FPGA using the FPGA design tool mentioned in the preceding paragraph. As noted in step 614, this typically includes logic optimization.
In step 620 the FPGA design that results from the preceding steps is tested with respect to how many FPGA LUTs it has been found necessary to use in that design. If more than one FPGA LUT is required, the design principle mentioned in connection with FIGS. 4 a and 4 b has been violated and control passes to step 622 for generation of an error message to indicate that the physical design specification should not be used. On the other hand, if step 620 detects that only one FPGA LUT has been found necessary to implement the CHLE physical design being considered, the process of verifying that physical design can continue with step 630.
In step 630 the FPGA LUT configuration (programmed state) that has been designed (in the preceding steps) to implement the CHLE physical design being considered is converted to canonical form by rotating or permuting its inputs to minimize the lutmask value of the LUT.
In step 632 the lutmask value is extracted from the project name (i.e., the project name used in steps 610 and 612 to initiate the flow being discussed). Again, it will be remembered that the CHLE physical design comes with a name that includes the minimum lutmask value for the programmed FPGA LUT logic function that the CHLE physical design is intended to be functionally equivalent to. It is this lutmask value that step 632 extracts from the project name for the flow being discussed.
In step 640, the currently computed minimum lutmask value from step 630 is compared to the lutmask value extracted from the project name in step 632. If these two lutmask values are the same, the physical design of the CHLE cell is verified, as indicated by control passing to step 642. This means that this physical design can be added to a library of such designs that are available for use in producing structured ASICs that will be functionally equivalent to programmed FPGAs.
FIG. 8 shows a high-level view of use of the flows of FIGS. 4 a, 4 b, 7 a, and 7 b in accordance with the invention. In step 710 an FPGA LUT that is programmed to perform a particular logical function that is or that may be desired by a user is considered. An equivalent of this logical function is designed using one or more HLEs to produce a CHLE functional design specification (e.g., as in FIG. 5). In step 712 the functional design from step 710 is verified using the flow of FIGS. 4 a and 4 b. In step 714 the verified functional design is added to a library of such designs.
In step 716 a physical model of the CHLE functional specification is designed (e.g., as in FIG. 6). In step 718 this physical model is verified using the flow of FIGS. 7 a and 7 b. In step 720 the verified physical design is added to a library of such designs.
FIG. 9 is a high-level view of how the libraries developed using the flow of FIG. 8 may be used to implement a user's logic design in a structured ASIC product that is functionally equivalent to an FPGA programmed to implement that user logic. The user's logic design is provided in step 810. In step 812 the user's logic design is mapped to a programmed FPGA (or to a specification that can lead to programming an FPGA to perform the user's logic design). In step 814 the function of each LUT in the step 812 specification for a programmed FPGA is found in the library that has been developed per step 714 in FIG. 8. If necessary, the function of a LUT can be subdivided into smaller functions to accomplish the step 814 task.
In step 816 the physical library equivalent of each function that has been found in the functional library in step 814 is found. The physical library referred to in step 816 is the one developed per step 720 in FIG. 8. In step 818 the structured ASIC product design is assembled using the physical library modules retrieved in step 816.
FIG. 10 illustrates another possible aspect of the invention. This is machine-readable media 900 (e.g., magnetic disc(s), optical disc(s), magnetic tape(s), or the like) encoded with machine-readable instructions 910 (e.g., a computer program) for at least partly performing one or more methods in accordance with the invention.
It will be understood that the foregoing is only illustrative of the principles of the invention, and that various modifications can be made by those skilled in the art without departing from the scope and spirit of the invention. For example, the size and functional capability of an FPGA LUT can vary. Similarly, the size and functional capability of a structured ASIC HLE can vary. The member of HLEs that are permitted to be used together in a CHLE can vary.

Claims (9)

1. A method of verifying functional equivalence between a unit of FPGA circuitry that is programmed to perform a particular look-up table logic function and a unit of structured ASIC circuitry that is intended to perform that same function logic comprising:
providing a design specification for the unit of structured ASIC circuitry;
using the design specification to develop an FPGA design for programming FPGA circuitry;
comparing FPGA circuitry programmed in accordance with the FPGA design to the unit of FPGA circuitry that is programmed to perform the particular look-up table logic function; and
accepting the design specification for the unit of structured ASIC circuitry as functionally equivalent to the unit of FPGA circuitry only if the FPGA circuitry programmed in accordance with the FPGA design is the same as the unit of FPGA circuitry that is programmed to perform the particular look-up table logic function.
2. The method defined in claim 1 wherein the design specification comprises a logical design specification.
3. The method defined in claim 1 wherein the design specification comprises a physical design specification.
4. The method defined in claim 1 wherein the particular look-up table logic function that the unit of FPGA circuitry is programmed to perform is associated with a numerical value that corresponds to how a look-up table in the unit of FPGA circuitry is programmed to perform that function.
5. The method defined in claim 4 wherein the using comprises:
determining the numerical value that is associated with the function performed by FPGA circuitry that is programmed in accordance with the FPGA design.
6. The method defined in claim 5 wherein the comparing comprises:
comparing the numerical value associated with the unit of FPGA circuitry to the numerical value that results from the determining.
7. The method defined in claim 6 wherein the numerical value associated with the unit of FPGA circuitry is based on a canonical form of that circuitry, and wherein the using comprises:
converting the FPGA circuitry that is programmed in accordance with the FPGA design to the canonical form prior to the comparing.
8. The method defined in claim 1 further comprising:
saving the design specification in a library of such design specifications if the design specification is accepted in the accepting.
9. Machine-readable media encoded with machine-readable instructions for performing the method defined in claim 1.
US11/192,725 2005-07-28 2005-07-28 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells Expired - Fee Related US7386819B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/192,725 US7386819B1 (en) 2005-07-28 2005-07-28 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells
US12/152,217 US7992110B1 (en) 2005-07-28 2008-05-12 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/192,725 US7386819B1 (en) 2005-07-28 2005-07-28 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/152,217 Division US7992110B1 (en) 2005-07-28 2008-05-12 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells

Publications (1)

Publication Number Publication Date
US7386819B1 true US7386819B1 (en) 2008-06-10

Family

ID=39484526

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/192,725 Expired - Fee Related US7386819B1 (en) 2005-07-28 2005-07-28 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells
US12/152,217 Expired - Fee Related US7992110B1 (en) 2005-07-28 2008-05-12 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/152,217 Expired - Fee Related US7992110B1 (en) 2005-07-28 2008-05-12 Methods of verifying functional equivalence between FPGA and structured ASIC logic cells

Country Status (1)

Country Link
US (2) US7386819B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090307640A1 (en) * 2008-06-10 2009-12-10 Oasis Tooling, Inc. Methods and Devices for Independent Evaluation of Cell Integrity, Changes and Origin in Chip Design for Production Workflow
US7805698B1 (en) * 2007-09-19 2010-09-28 Cadence Design Systems, Inc. Methods and systems for physical hierarchy configuration engine and graphical editor
US9122825B2 (en) 2011-06-10 2015-09-01 Oasis Tooling, Inc. Identifying hierarchical chip design intellectual property through digests

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5796447B2 (en) * 2011-10-07 2015-10-21 株式会社リコー Information processing apparatus, validity verification method, validity verification program
KR20200139525A (en) * 2019-06-04 2020-12-14 삼성전자주식회사 System including fpga and method of operation thereof

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5825202A (en) 1996-09-26 1998-10-20 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
US5874834A (en) 1997-03-04 1999-02-23 Xilinx, Inc. Field programmable gate array with distributed gate-array functionality
US6490707B1 (en) 2000-07-13 2002-12-03 Xilinx, Inc. Method for converting programmable logic devices into standard cell devices
US6515509B1 (en) 2000-07-13 2003-02-04 Xilinx, Inc. Programmable logic device structures in standard cell devices
US6526563B1 (en) 2000-07-13 2003-02-25 Xilinx, Inc. Method for improving area in reduced programmable logic devices
US6588006B1 (en) * 1999-12-16 2003-07-01 Lsi Logic Corporation Programmable ASIC
US20040111691A1 (en) 2002-12-09 2004-06-10 Tan Kim Pin Mask-programmable logic device with building block architecture
US20040261052A1 (en) 2003-06-23 2004-12-23 Altera Corporation, A Corporation Of Delaware Method for programming a mask-programmable logic device and device so programmed
US20060230376A1 (en) * 2005-04-08 2006-10-12 Altera Corporation Methods for creating and expanding libraries of structured ASIC logic and other functions
US20060236292A1 (en) * 2005-03-14 2006-10-19 Lsi Logic Corporation Base platforms with combined ASIC and FPGA features and process of using the same
US7243329B2 (en) * 2004-07-02 2007-07-10 Altera Corporation Application-specific integrated circuit equivalents of programmable logic and associated methods

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1647082B (en) * 2002-04-17 2010-04-21 富士通株式会社 Integrated circuit development method
EP1636997A1 (en) * 2003-06-24 2006-03-22 Telecom Italia S.p.A. A method and system for decoding variable length encoded signals, computer program product therefor
US7038490B1 (en) * 2003-09-12 2006-05-02 Lattice Semiconductor Corporation Delay-matched ASIC conversion of a programmable logic device
US7081772B1 (en) 2004-06-04 2006-07-25 Altera Corporation Optimizing logic in non-reprogrammable logic devices
US7373631B1 (en) 2004-08-11 2008-05-13 Altera Corporation Methods of producing application-specific integrated circuit equivalents of programmable logic
US7157932B2 (en) * 2004-11-30 2007-01-02 Agere Systems Inc. Adjusting settings of an I/O circuit for process, voltage, and/or temperature variations
US7360197B1 (en) 2005-02-03 2008-04-15 Altera Corporation Methods for producing equivalent logic designs for FPGAs and structured ASIC devices
US7275232B2 (en) * 2005-04-01 2007-09-25 Altera Corporation Methods for producing equivalent field-programmable gate arrays and structured application specific integrated circuits
US7277902B2 (en) 2005-04-18 2007-10-02 Altera Corporation Method and apparatus for comparing and synchronizing programmable logic device user configuration dataset versions
US7363596B1 (en) 2005-04-27 2008-04-22 Altera Corporation Methods for storing and naming static library cells for lookup by logic synthesis and the like
US7404169B2 (en) 2005-05-31 2008-07-22 Altera Corporation Clock signal networks for structured ASIC devices
US7243315B2 (en) 2005-05-31 2007-07-10 Altera Corporation Methods for producing structured application-specific integrated circuits that are equivalent to field-programmable gate arrays

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5825202A (en) 1996-09-26 1998-10-20 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
US6094065A (en) 1996-09-26 2000-07-25 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
US5874834A (en) 1997-03-04 1999-02-23 Xilinx, Inc. Field programmable gate array with distributed gate-array functionality
US6091262A (en) 1997-03-04 2000-07-18 Xilinx, Inc. Field programmable gate array with mask programmable I/O drivers
US6242945B1 (en) 1997-03-04 2001-06-05 Xilinx, Inc. Field programmable gate array with mask programmable I/O drivers
US6588006B1 (en) * 1999-12-16 2003-07-01 Lsi Logic Corporation Programmable ASIC
US6515509B1 (en) 2000-07-13 2003-02-04 Xilinx, Inc. Programmable logic device structures in standard cell devices
US6526563B1 (en) 2000-07-13 2003-02-25 Xilinx, Inc. Method for improving area in reduced programmable logic devices
US6490707B1 (en) 2000-07-13 2002-12-03 Xilinx, Inc. Method for converting programmable logic devices into standard cell devices
US20040111691A1 (en) 2002-12-09 2004-06-10 Tan Kim Pin Mask-programmable logic device with building block architecture
US20040261052A1 (en) 2003-06-23 2004-12-23 Altera Corporation, A Corporation Of Delaware Method for programming a mask-programmable logic device and device so programmed
US7243329B2 (en) * 2004-07-02 2007-07-10 Altera Corporation Application-specific integrated circuit equivalents of programmable logic and associated methods
US20060236292A1 (en) * 2005-03-14 2006-10-19 Lsi Logic Corporation Base platforms with combined ASIC and FPGA features and process of using the same
US20060230376A1 (en) * 2005-04-08 2006-10-12 Altera Corporation Methods for creating and expanding libraries of structured ASIC logic and other functions
US7246339B2 (en) * 2005-04-08 2007-07-17 Altera Corporation Methods for creating and expanding libraries of structured ASIC logic and other functions

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"LCELL WYSIWYG Description for the Stratix II Family", Version 1.1, Altera Corporation, Mar. 22, 2004.

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7805698B1 (en) * 2007-09-19 2010-09-28 Cadence Design Systems, Inc. Methods and systems for physical hierarchy configuration engine and graphical editor
US20090307640A1 (en) * 2008-06-10 2009-12-10 Oasis Tooling, Inc. Methods and Devices for Independent Evaluation of Cell Integrity, Changes and Origin in Chip Design for Production Workflow
US20090307639A1 (en) * 2008-06-10 2009-12-10 Oasis Tooling, Inc. Methods and devices for independent evaluation of cell integrity, changes and origin in chip design for production workflow
US7685545B2 (en) 2008-06-10 2010-03-23 Oasis Tooling, Inc. Methods and devices for independent evaluation of cell integrity, changes and origin in chip design for production workflow
US8266571B2 (en) 2008-06-10 2012-09-11 Oasis Tooling, Inc. Methods and devices for independent evaluation of cell integrity, changes and origin in chip design for production workflow
US9122825B2 (en) 2011-06-10 2015-09-01 Oasis Tooling, Inc. Identifying hierarchical chip design intellectual property through digests

Also Published As

Publication number Publication date
US7992110B1 (en) 2011-08-02

Similar Documents

Publication Publication Date Title
US9111060B2 (en) Partitioning designs to facilitate certification
US9032343B1 (en) Integrating multiple FPGA designs by merging configuration settings
US8860460B1 (en) Programmable integrated circuits with redundant circuitry
US11726545B2 (en) Methods and apparatus for selectively extracting and loading register states
US7992110B1 (en) Methods of verifying functional equivalence between FPGA and structured ASIC logic cells
US7992119B1 (en) Real-time background legality verification of pin placement
US7246339B2 (en) Methods for creating and expanding libraries of structured ASIC logic and other functions
US10181002B2 (en) Efficient integrated circuits configuration data management
US7370293B2 (en) Integrated circuit design system, integrated circuit design program, and integrated circuit design method
US20050280438A1 (en) Switch methodology for mask-programmable logic devices
EP2541773A1 (en) Reconfigurable logic block
TW200414680A (en) Spare cell architecture for fixing design errors in manufactured integrated circuits
US7584448B1 (en) Constructing a model of a programmable logic device
US7065733B2 (en) Method for modifying the behavior of a state machine
US7451425B1 (en) Determining controlling pins for a tile module of a programmable logic device
US7451423B1 (en) Determining indices of configuration memory cell modules of a programmable logic device
US7409669B1 (en) Automatic test configuration generation facilitating repair of programmable circuits
US5729468A (en) Reducing propagation delays in a programmable device
US6453448B1 (en) Functional level configuration of input-output test circuitry
US8183883B1 (en) Integrated circuit reconfiguration techniques
US7451424B1 (en) Determining programmable connections through a switchbox of a programmable logic device
US7472370B1 (en) Comparing graphical and netlist connections of a programmable logic device
US8443327B2 (en) Reassembling scattered logic blocks in integrated circuits
US8954907B1 (en) Block emulation techniques in integrated circuits
US6988252B2 (en) Universal gates for ICs and transformation of netlists for their implementation

Legal Events

Date Code Title Description
AS Assignment

Owner name: ALTERA CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YUAN, JINYONG;PARK, JI;REEL/FRAME:016829/0107;SIGNING DATES FROM 20050720 TO 20050726

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20200610