US7569434B2 - PFETs and methods of manufacturing the same - Google Patents

PFETs and methods of manufacturing the same Download PDF

Info

Publication number
US7569434B2
US7569434B2 US11/335,763 US33576306A US7569434B2 US 7569434 B2 US7569434 B2 US 7569434B2 US 33576306 A US33576306 A US 33576306A US 7569434 B2 US7569434 B2 US 7569434B2
Authority
US
United States
Prior art keywords
pfet
substrate
region
layer
drain diffusion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/335,763
Other versions
US20070166890A1 (en
Inventor
Kangguo Cheng
Louis Lu-Chen Hsu
Jack Allan Mandelman
Haining Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/335,763 priority Critical patent/US7569434B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MANDELMAN, JACK ALLAN, CHENG, KANGGUO, YANG, HAINING, HSU, LOUIS LU-CHEN
Publication of US20070166890A1 publication Critical patent/US20070166890A1/en
Application granted granted Critical
Publication of US7569434B2 publication Critical patent/US7569434B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Definitions

  • the present invention relates generally to semiconductor device manufacturing, and more particularly to PFETs and methods of manufacturing the same.
  • a conventional p-channel MOSFET may include composite semiconductor source/drain regions with an embedded silicon germanium (SiGe) region on a silicon-on-insulator (SOI) layer.
  • the SiGe region may cause a stress in the SOI layer that improves PFET performance.
  • such composite semiconductor source/drain regions have the same thickness as a gate channel region therebetween. Since thinner gate channel region SOI is sought in order to enable improved device characteristics, the thickness of the composite source/drain regions may be constrained to small values.
  • manufacturing control issues limit a minimum thickness of the SOI layer in the source/drain regions. Therefore, the thickness of the embedded SiGe region of the conventional PFET is reduced. Consequently, a strain caused by such a SiGe region in the gate channel region of the SOI layer is reduced, which adversely affects performance of such conventional PFET. Accordingly, improved PFETs and methods of manufacturing the same are desired.
  • a first method of manufacturing a PFET on a substrate includes the steps of (1) forming a gate channel region of the PFET having a first thickness on the substrate; and (2) forming at least one composite source/drain diffusion region of the PFET having a second thickness greater than the first thickness on the substrate.
  • the at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET.
  • a first apparatus is PFET formed on a substrate.
  • the PFET includes (1) a gate channel region having a first thickness formed on the substrate; and (2) at least one composite source/drain diffusion region having a second thickness greater than the first thickness formed on the substrate.
  • the at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET.
  • a first system is provided.
  • the first system is a substrate that includes a PFET comprising (1) a gate channel region having a first thickness formed on the substrate; and (2) at least one composite source/drain diffusion region having a second thickness greater than the first thickness formed on the substrate.
  • the at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET.
  • FIG. 1 illustrates a cross-sectional side view of a substrate 101 following a step of a first exemplary method of forming an improved PFET in which a pad oxide layer, a pad nitride layer and STI trench regions are formed in accordance with an embodiment of the present invention.
  • FIG. 2 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which oxygen is implanted into the substrate 100 in accordance with an embodiment of the present invention.
  • FIG. 3 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention.
  • FIG. 4 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which an oxygen-implanted layer is chemically converted into an oxide layer in accordance with an embodiment of the present invention.
  • FIG. 5 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which silicon germanium (SiGe) regions are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
  • SiGe silicon germanium
  • FIG. 6 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which an oxide liner and a nitride spacer are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
  • FIG. 7 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which portions of the oxide liner may be removed and portions of the SiGe region may be silicided in accordance with an embodiment of the present invention.
  • FIG. 8 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which HDP oxide regions may be formed in accordance with an embodiment of the present invention.
  • FIG. 9 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which portions of the pad nitride and/or pad oxide layers may be removed to form a vacant gate region in accordance with an embodiment of the present invention.
  • FIG. 10 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which a gate dielectric layer and a gate conductor layer are formed in accordance with an embodiment of the present invention.
  • FIG. 11 illustrates a cross-sectional side view of a substrate 1100 following a step of a second exemplary method of forming an improved PFET in which boron is implanted into bulk silicon in accordance with an embodiment of the present invention.
  • FIG. 12 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention.
  • FIG. 13 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which a boron-implanted layer is chemically converted into a porous silicon layer in accordance with an embodiment of the present invention.
  • FIG. 14 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which SiGe regions are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
  • FIG. 15 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which the porous silicon is converted into a buried oxide layer, an oxide liner and nitride spacers are formed on the substrate in accordance with an embodiment of the present invention.
  • FIG. 16 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which the pad nitride layer, pad oxide layer and portions of the oxide liner may be removed, and silicide and oxide regions may be formed in accordance with an embodiment of the present invention.
  • FIG. 17 is a cross-sectional side view of the substrate including an improved PFET manufactured using the second exemplary method in which the stresses and stains are depicted in accordance with an embodiment of the present invention.
  • the present invention provides PFETs and methods of manufacturing the same.
  • the present invention provides a PFET having at least one composite semiconductor source/drain diffusion region which is thicker than a gate channel region of the PFET.
  • a composite source/drain diffusion region may be adapted to cause a stress and/or strain in the gate channel region that improves PFET performance.
  • Significantly all of the composite source/drain diffusion region may be below a bottom surface of a gate of the PFET.
  • Each composite semiconductor source/drain diffusion region may include an embedded SiGe region above a portion of an SOI layer.
  • the embedded SiGe region may be thicker than that of a conventional PFET employing embedded SiGe. Consequently, a stress and/or strain caused in the gate channel region by such embedded SiGe regions is increased, thereby improving PFET performance.
  • the present invention provides and includes improved PFETs and methods of manufacturing the same.
  • FIG. 1 illustrates a cross-sectional side view of a substrate 100 following a step of a first exemplary method of forming an improved PFET in which a pad oxide layer, a pad nitride layer and STI trench regions are formed in accordance with an embodiment of the present invention.
  • bulk silicon 102 may be provided.
  • a pad oxide layer 104 e.g., silicon dioxide or the like
  • the pad oxide layer 104 may be about 2 nm to about 20 nm thick, although a different thickness range may be employed.
  • a pad nitride layer 106 (e.g., silicon nitride or the like) with a thickness of about 50 nm to about 200 nm may be formed by CVD or another suitable process above the pad oxide layer 104 , although a different thickness range may be employed.
  • the pad nitride layer 106 may be patterned for the formation of shallow isolation trenches 108 using lithography, resist strip and/or any other suitable processes.
  • the bulk silicon 102 , the pad oxide layer 104 and the pad nitride layer 106 may be exposed to a reactive ion etching (RIE) or another suitable process so as to form the shallow isolation trenches 108 .
  • RIE reactive ion etching
  • the trenches 108 may be filled with oxide 110 (e.g., silicon dioxide or the like) using CVD (e.g., high density plasma (HDP), tetraethylorthosilicate (TEOS) and/or the like). Chemical mechanical polishing (CMP) may be employed to remove portions of the oxide 110 .
  • CVD high density plasma
  • TEOS tetraethylorthosilicate
  • CMP Chemical mechanical polishing
  • the pad nitride layer 106 may serve as an etch mask during RIE of the shallow isolation trenches.
  • the pad nitride layer 106 may patterned by conventional lithography and RIE and/or any other suitable processes.
  • the resulting nitride layer 106 pattern may be employed as mock gates during subsequent processing.
  • FIG. 2 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which oxygen is implanted into the substrate 100 in accordance with an embodiment of the present invention.
  • the substrate 100 may be exposed to an oxygen implantation process or another suitable process so as to form an oxygen-implanted layer 200 in the bulk substrate 102 . Consequently, a silicon layer 202 may be formed between the oxygen-implanted layer 200 and the pad oxide layer 104 .
  • the oxygen implantation process may employ an energy range of about 30 keV to about 250 keV to implant a dose of ionized atomic oxygen (O + ) of about 5 ⁇ 10 17 cm ⁇ 2 to about 5 ⁇ 10 18 cm ⁇ 2 into the substrate 100 (although larger or smaller and/or different energy range and/or dosage range may be employed). Additionally or alternatively, a different type of oxygen, such as molecular oxygen may be implanted into the substrate 100 .
  • the pad nitride layer 106 may affect a depth at which the oxygen is implanted into the substrate 100 thereunder. For example, oxygen which passes through the pad nitride layer 106 may be implanted into the substrate 100 shallower than remaining oxygen. Consequently, the silicon layer 202 may be thinner in the region disposed under the pad nitride layer 106 than other regions.
  • the region of the silicon layer 202 disposed beneath the nitride layer 106 may have a thickness t 1 of about 10 nm to about 50 nm.
  • the region of the silicon layer 202 not disposed under the nitride layer 106 may have a thickness t 2 of about 50 nm to about 300 nm.
  • a larger or smaller and/or different thickness range may be employed for the silicon layer 202 beneath the nitride layer 106 and/or for the silicon layer 202 elsewhere (e.g., not beneath the nitride layer 106 ).
  • FIG. 3 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention.
  • selective reactive ion etching (RIE) or another suitable method may be employed to remove the exposed portions of the pad oxide layer 104 .
  • one or more portions of the silicon layers 202 may be removed (e.g., using tapered RIE or the like) so as to form recesses 300 .
  • the recesses 300 may be formed such that sidewalls of the recesses 300 may have slopes so as to provide a tapered profile to the recesses 300 .
  • the taper RIE may allow a controlled thickness of the silicon layer 202 to remain.
  • the thickness of the silicon layer 202 remaining above the oxygen-implanted layer 200 may range from about 20 nm to about 60 nm.
  • Portions of the silicon layer 202 in respective bottoms 302 , 304 of the recesses 300 may serve as a seed layer for subsequent epitaxial processes and/or the like. In this manner, regions of SiGe or another suitable material may be grown in the recesses 300 . Such regions may serve as source/drain regions of the PFET being manufactured.
  • Portions of the silicon (e.g., silicon-on-insulator (SOI)) layer 202 beneath the nitride layer 106 may serve as a channel 306 of the PFET being manufactured.
  • the channel 306 may have a thickness t 1 of about 10 nm to about 50 nm (although larger or smaller and/or different thickness range may be employed).
  • FIG. 4 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which the oxygen-implanted layer is chemically converted into an oxide layer in accordance with an embodiment of the present invention.
  • the substrate 100 may undergo annealing (e.g., similar to a standard “separation by implantation oxygen” (SIMOX) method used to form SOI and/or the like).
  • annealing may be performed at about 1000 degrees Celsius (°C.) to about 1300° C. for about 1 hour to about 10 hours. However, annealing may be performed using a larger or smaller and/or different temperature range.
  • annealing may be performed for a longer or shorter and/or different time period. Consequently, the oxygen implanted in the oxygen-implanted layer 200 may chemically react (e.g., combine) with the silicon so as to form a buried oxide (BOX) layer 400 (e.g., a silicon oxide (SiO) layer and/or the like). In this manner, the oxygen-implanted region or layer 200 may be converted to the BOX layer 400 .
  • the substrate 100 may be exposed to a process (e.g., short isotropic etch and or the like) adapted to remove undesired material from portions of a top surface of the substrate 100 .
  • the clean process may remove oxide material (e.g., silicon dioxide and/or the like) from the surfaces of the recesses 300 with a fluorine (e.g., HF) containing etch.
  • oxide material e.g., silicon dioxide and/or the like
  • FIG. 5 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which SiGe regions are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
  • epitaxy or another suitable method may be employed to form SiGe regions 500 in the recesses 300 .
  • a lattice structure e.g., inter atomic spacing, geometrical arrangements and/or the like
  • SiGe on a top surface of the silicon layer 202 may attempt to match a lattice structure of silicon in the silicon layer 202 .
  • Such matching of a lattice structure at a bottom surface of the SiGe regions 500 and the top surface of the silicon layer 202 may induce lattice strain (e.g., elastic atomic displacement and/or the like) in portions of the SiGe regions 500 and/or silicon layer 202 thereunder.
  • lattice strain e.g., elastic atomic displacement and/or the like
  • the SiGe regions 500 may be grown by adding silicon and/or germanium atoms to the existing lattice structure of the SiGe regions 500 . Epitaxy may continue until a top surface of the SiGe region 500 is higher than the pad nitride layer 106 , thereby achieving overgrowth.
  • CMP chemical mechanical polishing
  • a selective recess process e.g., RIE and/or the like
  • RIE reactive ion etching
  • Each SiGe region 500 along with a portion of the silicon layer 202 thereunder may form one or more portions of a composite semiconductor source/drain region 502 of the PFET.
  • FIG. 6 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which an oxide liner and a nitride spacer are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
  • CVD or another suitable method may be employed to form an oxide liner 600 on the SiGe regions 500 , oxide 110 and at least one surface (e.g., a sidewall) of the pad nitride layer 106 .
  • CVD or another suitable method followed by RIE or another suitable method may be employed to form nitride spacers 602 on at least a surface (e.g., a sidewall) of the oxide liner 600 .
  • FIG. 7 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which portions of the oxide liner may be removed and portions of the SiGe regions may be silicided in accordance with an embodiment of the present invention.
  • isotropic or anisotropic etching e.g., for a short time period
  • anisotropic etching and/or the like may be employed to remove portions of the oxide liner 600 above the SiGe regions 500 and on a top surface of pad nitride 106 . Consequently, portions of a top surface of the SiGe regions 500 may be exposed.
  • Deposition of a thin layer of metal such as titanium, tantalum, cobalt, tungsten, nickel or the like followed by an anneal to react the metal with the exposed SiGe regions 500 is used to form a silicide layer.
  • the anneal is followed by a metal etch to remove unreacted metal from the surfaces of pad nitride 106 , nitride spacers 602 , STI oxide 110 , and oxide liner 600 .
  • the silicide regions 700 may comprise an alloy of SiGe and/or other materials (e.g., titanium, tantalum, cobalt, tungsten, nickel and/or the like) so as to be conductive.
  • the silicide regions 700 may be employed as contact points for studs in an embodiment of a PFET.
  • FIG. 8 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which HDP oxide regions may be formed in accordance with an embodiment of the present invention.
  • high density plasma deposition HDP or another suitable method may be employed to form an HDP oxide (e.g., SiO region and/or the like) layer on the substrate 100 .
  • CMP or another suitable method may be employed to remove portions of the HDP oxide layer such that the top surface of the HDP oxide layer may be approximately planar with the top surface of the pad nitride layer 106 . In this manner, oxide regions 800 may be formed.
  • HDP oxide e.g., SiO region and/or the like
  • FIG. 9 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which portions of the pad nitride and/or pad oxide layers may be removed to form a vacant gate region in accordance with an embodiment of the present invention.
  • a selective nitride etch process e.g., RIE selective to oxide
  • the pad oxide layer 104 e.g. selective to nitride
  • the pad oxide etch may also remove an insignificant amount of HDP oxide regions 800 . Consequently, a portion 900 of a top surface of the silicon layer 102 and/or a surface of the oxide liner 600 may be exposed.
  • FIG. 10 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which a gate dielectric layer and a gate conductor layer are formed in accordance with an embodiment of the present invention.
  • a gate dielectric (e.g., oxide, oxynitride, a high-k material and/or the like) layer 1000 is formed on a portion 900 of the exposed surface of the silicon layer 202 .
  • the gate dielectric layer 1000 may be employed as the PFET gate insulator.
  • Well known suitable processes, including thermal oxidation, nitridation, CVD, PVD deposition and/or the like may be employed to form gate dielectric layer 1000 .
  • a deposition process (e.g., CVD and/or the like) may be employed to form a gate conductor (e.g., polysilicon, silicide, metal and/or the like) layer 1002 on the dielectric layer 1000 .
  • CMP or another suitable method may be employed to remove portions of the gate conductor layer 1002 such that a top surface of the gate conductor 1002 may be approximately planar with a top surface of the oxide regions 800 .
  • the gate conductor layer 1002 may be employed as the gate conductor of the manufactured PFET.
  • BEOL back end of line
  • one or more vias and corresponding studs may be formed.
  • interlevel dielectrics and/or wiring of the substrate 100 may be formed.
  • an improved PFET 1004 may be formed on the substrate 100 . More specifically, a PFET 1004 having a gate channel region 1006 of a first thickness t 1 and at least one composite source/drain diffusion region 1008 having a second thickness t 3 greater than the first thickness t 1 is formed.
  • the at least one composite source/drain diffusion region 1008 is adapted to cause a stress and/or strain in the gate channel region 1006 . Further, significantly all (e.g., about 80% to about 100%) of the at least one composite source/drain diffusion region 1008 is below a bottom surface of a gate 1010 .
  • the composite source/drain diffusion region 1008 may include a SiGe region (e.g., an embedded SiGe (eSiGe) region) 500 .
  • the PFET 1004 may provide improved performance. More specifically, the SiGe 500 region in the composite source/drain diffusion region 1008 may cause a uniaxial tensile stress S 1 in the thin silicon region underlying embedded SiGe region 500 . Uniaxial tensile stress S 1 is transferred to the gate channel region 1006 as uniaxial compressive stress S 2 and vertical tensile stress S 3 . Stresses transferred to the gate channel region 1006 result in elastic deformation (strain) of the silicon lattice spacing. Such stresses are indicated by arrows S 1 , S 2 and S 3 . Uniaxial compressive strain and vertical tensile strain in the gate channel region 1006 may enhance hole mobility, significantly improving the performance of the PFET.
  • a conventional PFET may have a composite SOI layer of a uniform thickness. Therefore, composite source/drain regions have the same thickness as a gate channel region of such PFETS. As thicknesses of SOI layers in such conventional PFETs continue to shrink, a thickness of silicon beneath a SiGe region in a source/drain region may not be decreased below approximately 20 nm. Therefore, a volume of the SiGe region above the silicon in the composite source/drain region must be decreased. Consequently, stress and/or strain in the silicon underlying the SiGe is reduced. Further, a cross-sectional area that transfers stress and/or strain from the source/drain region to a gate channel of the conventional PFET may be reduced. Therefore, such conventional PFETs have difficulty creating a desired level of stress and/or strain in the gate channel.
  • the PFET 1004 may avoid disadvantages of the conventional PFET described above.
  • the PFET 1004 may include composite source-drain regions 1008 whose respective thicknesses t 3 are greater than a thickness of SOI in a body of the PFET (e.g., a gate channel region thickness t 1 ).
  • the composite source/drain regions 1008 which are relatively thicker than the gate channel region 1006 enable thicker SiGe regions 500 to be formed (than in conventional PFETs). Therefore, increased stress and/or strain may be transferred to the underlying portions of the silicon layer 202 in the composite source/drain regions 1008 . Consequently, an increased stress and/or strain may be caused in the gate channel region 1006 of the PFET 1004 .
  • the thicker SiGe regions 500 may reduce a need to thin the silicon layer 202 , which may lead to a tighter tolerance of PFET transconductance.
  • the present invention avoids disadvantages of conventional PFETs employing a raised source/drain (RSD) region. More specifically, the present invention avoids the considerable gate to diffusion capacitance of such conventional PFETs due to the proximity of the RSD region to a gate sidewall of the PFET, which detracts from performance. In contrast to such conventional PFETS, the present invention may thicken a source/drain region by adding semiconductor material below a level of a back interface of the body instead of above the original surface.
  • RSD raised source/drain
  • FIG. 11 illustrates a cross-sectional side view of a substrate 1100 following a step of a second exemplary method of forming an improved PFET in which boron is implanted into bulk silicon in accordance with an embodiment of the present invention.
  • a substrate 1100 may be provided.
  • the substrate 1100 may include bulk silicon 1102 , a pad oxide layer 1104 , a pad nitride layer 1106 , and shallow isolation trenches 1108 .
  • the substrate 1100 may be formed by methods similar to those described with reference to FIG. 1 .
  • the substrate 1100 may be exposed to high-dose boron implantation or another suitable process so as to form a boron-implanted layer 1110 in the bulk silicon 1102 .
  • Such layer 1110 may be highly doped with p+ dopant. Consequently, a silicon layer 1112 may be formed between the boron implanted layer 1110 and the pad oxide layer 1104 .
  • the boron implantation may employ an energy range of about 25 keV to about 200 kev to a peak concentration of boron of about 5 ⁇ 10 17 cm ⁇ 3 to about 1 ⁇ 10 20 cm ⁇ 3 into the substrate 1100 (although a larger or smaller and/or different energy and/or peak concentration range may be employed). Additionally or alternatively, a different type of boron, such as BF 2 may be implanted into the substrate 1100 .
  • the pad nitride layer 1106 may affect a depth at which the boron is implanted into the substrate 1100 thereunder. For example, boron which passes through the pad nitride layer 1106 may be implanted into the substrate 1100 shallower than remaining boron. Consequently, a thickness of the silicon layer 1112 may be less in the region under the pad nitride layer 1106 than other regions. For example, the region of the silicon layer 1112 disposed beneath the nitride layer 1106 may have a thickness t 4 of about 10 nm to about 50 nm. The region of the silicon layer 1112 not under the nitride layer 1106 may have a thickness t 5 of about 50 nm to about 300 nm. However, a larger or smaller and/or different thickness range may be employed for the silicon layer 1112 beneath the nitride layer 1106 and/or for the silicon layer 1112 elsewhere (e.g., not beneath the nitride layer 1106 ).
  • FIG. 12 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention.
  • CVD or another suitable process followed by RIE or another suitable process may be employed to form silicon nitride spacers 1200 on at least one surface (e.g., sidewalls) of the pad nitride layer 1106 .
  • silicon nitride spacers 1200 are described above, the spacers 1200 may comprise one or more different materials.
  • the silicon nitride spacers 1200 may serve to protect the sidewalls of the pad nitride layer 1106 during processing. RIE selective to nitride and silicon or another suitable method may be employed to remove exposed portions of the pad oxide layer 1104 . Further, one or more portions of the silicon layer 1112 , which may be or a low concentration, may be removed (e.g., using taper RIE and/or another suitable method) so as to form recesses 1202 .
  • the recesses 1202 may be formed such that sidewalls of the recesses 1202 may have slopes so as to provide a tapered profile to the recesses 1202 .
  • the taper RIE may allow a controlled thickness of the silicon layer 1112 remaining above the boron-implanted layer 1110 .
  • the thickness of the silicon layer 1112 may range from about 20 nm to about 60 nm.
  • a selective RIE e.g., selective to heavily-doped p-type Si
  • another suitable method may be employed to remove portions of the silicon layer 1112 such that the boron-implanted layer 1110 may serve to control (e.g., by slowing the etch rate of the selective RIE process) the recess depth, and therefore, the thickness of the silicon layer 1112 .
  • Portions of the silicon layer 1112 in respective bottoms 1204 and 1206 of the recesses 1202 may serve as a seed layer for subsequent epitaxy and/or another suitable process. In this manner, regions of the SiGe or another suitable material subsequently may be grown in the recesses 1202 . Such regions may serve as source/drain regions of the PFET being manufactured. Portions of the silicon layer 1112 beneath the nitride layer 1106 may serve as a channel 1208 of the PFET being manufactured.
  • FIG. 13 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which the boron-implanted layer is chemically converted into a porous silicon layer in accordance with an embodiment of the present invention.
  • the substrate 1100 may undergo anodization. For example, current may be applied to the substrate 1100 while the substrate 1100 is immersed in a hydrofluoric (HF) solution and/or the like. In this manner, the boron-implanted layer 1110 may be converted (e.g., chemically) into a porous silicon layer 1300 . Process variables may be adjusted so as to change the porosity of the porous silicon layer 1300 .
  • HF hydrofluoric
  • a concentration of the hydrofluoric solution and/or current employed during anodization may be adjusted to change the porosity of the porous layer 1300 .
  • variables of the boron implantation may be adjusted to change a density of boron in the boron-implanted layer 1110 , thereby adjusting the porosity of the porous silicon layer 1300 .
  • the substrate 1100 may undergo annealing, such as a hydrogen (e.g., H 2 ) bake, to remove a substantial portion (e.g., a significant fraction) of the boron from the substrate 1100 .
  • Annealing may be performed at a temperature of about 800° C. to about 1100° C. and for about 10 seconds to about 2 hours (although a larger or smaller and/or different temperature range and/or time period may be employed).
  • Such annealing may reduce a concentration of boron outdiffusing into the layers above the porous silicon layer 1300 such that the outdiffusing may not affect the PFET electrical performance.
  • FIG. 14 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which SiGe regions are formed in the source/drain region of the PFET in accordance with an embodiment of the present invention.
  • epitaxy may be employed to form SiGe regions 1400 in the recesses 1202 .
  • a lattice structure e.g., inter-atomic spacing, geometrical arrangements and/or the like
  • SiGe on a top surface of the silicon layer 1112 may attempt to match a lattice structure of silicon in the silicon layer 1112 .
  • Such matching of a lattice structure at a bottom surface of the SiGe regions 1400 and the top surface of the silicon layer 1112 may induce lattice strain (e.g., elastic atomic displacement and/or the like) in portions of the SiGe regions 1400 and/or silicon layer 1112 .
  • the SiGe regions 1400 may be grown by adding silicon and/or germanium atoms to the existing lattice structure of the SiGe regions 1400 . Epitaxy may continue until a top surface of the SiGe region 1400 is higher than the pad nitride layer 1106 , thereby achieving overgrowth.
  • CMP or other suitable method may be employed to planarize the top surface of each SiGe region 1400 with a top surface of the pad nitride layer 1106 .
  • a selective recess process e.g., RIE and/or the like
  • the SiGe regions 1400 along with portions of the silicon layer 1112 thereunder may form a portion of a composite semiconductor source/drain regions of the PFET.
  • FIG. 15 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which the porous silicon is converted into a buried oxide layer, an oxide liner and nitride spacers are formed on the substrate 1100 in accordance with an embodiment of the present invention.
  • a thermal oxidation process e.g., wet or dry, at atmospheric or high-pressure and/or the like
  • a thermal oxidation process may be employed to convert (e.g., chemically) the porous silicon layer 1300 into a BOX (e.g., silicon dioxide) layer 1500 .
  • BOX e.g., silicon dioxide
  • the thermal oxidation process may be performed for a short period of time (e.g., about 30 seconds to 30 minutes) because oxidation is very rapid due to the possible rapid diffusion of an oxidizing species (e.g., oxygen and/or the like) through the shallow isolation trenches 1108 and the porous silicon layer 1300 .
  • an oxidizing species e.g., oxygen and/or the like
  • a relatively thin layer of oxide may form on a crystalline semiconductor surface. Such oxide may later be removed.
  • Thermal oxidation may be performed in a dry and/or wet oxygen ambient at a temperature that may range from about 750° C. to about 1100° C.
  • a temperature that may range from about 750° C. to about 1100° C.
  • process parameters may be employed. For example, a larger or smaller and/or different temperature range may be employed.
  • a deposition process (e.g., CVD and/or the like) followed by RIE or another suitable method may be employed to form an oxide (e.g., silicon dioxide and/or the like) liner 1502 on portions of the top surface of the substrate 1100 .
  • the oxide liner 1502 may be about 2 nm to about 20 nm thick (although a larger or smaller and/or different thickness range may be employed).
  • a deposition process (e.g., conformal CVD and/or the like) followed by RIE or another suitable method may be employed to form nitride spacers 1504 on at least one surface (e.g., an approximately vertical surface) of the oxide liner 1502 .
  • FIG. 16 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which the pad nitride layer, portions of the oxide liner and/or pad oxide layer may be removed, and silicide regions and oxide regions may be formed in accordance with an embodiment of the present invention.
  • methods similar to those discussed with reference to FIGS. 7-10 may be employed to remove the pad nitride layer 1106 , portions of the oxide liner 1502 , and pad oxide layer 1104 may be removed. Further, methods similar to those discussed with reference to FIGS.
  • a silicide region 1600 may be employed to form a silicide region 1600 , oxide regions 1602 , gate dielectric 1604 and a gate conductor layer 1606 .
  • back end of line (BEOL) processing may be employed to form the PFET 1608 .
  • one or more vias and corresponding studs may be formed.
  • interlevel dielectrics and/or wiring of the substrate 1100 may be formed.
  • a composite source/drain diffusion region 1610 having a thickness t 6 of about 50 nm to about 300 nm may be formed (although a larger or smaller and/or different thickness range may be employed).
  • a portion of the silicon layer 1112 beneath the gate dielectric and gate conductor layers 1604 - 1606 may serve as a gate channel region 1612 .
  • FIG. 17 is a cross-sectional side view of the substrate 1100 including an improved PFET manufactured using the second exemplary method in which the stresses and stains are depicted in accordance with an embodiment of the present invention.
  • the lattice mismatch of the SiGe regions 1400 and the top surface of the silicon layer 1112 may induce a stress and/or strain S 4 in a portion of the silicon layer 1112 in the composite source/drain diffusion region 1610 .
  • Such stress and/or strain S 4 may cause a compressive (e.g., axial compressive) stress and/or strain S 5 and a tensile (e.g., vertical tensile) stress and/or strain S 6 in a portion of the silicon layer 1112 in the gate channel region 1612 , thereby improving performance of the PFET 1608 .
  • a compressive stress and/or strain S 5 and/or a tensile (e.g., vertical tensile) stress and/or strain S 6 in a portion of the silicon layer 1112 in the gate channel region 1612 , thereby improving performance of the PFET 1608 .
  • an improved PFET 1608 may be formed on the substrate 1100 . More specifically, a PFET 1608 having advantages over conventional PFETs similar to those of the PFET 1004 discussed with reference to FIGS. 1-10 may be formed.
  • one or more NFETs may be manufactured along with the improved PFET 1004 , 1608 .
  • source/drain diffusion regions of NFETs being manufactured may be masked during recess etching of the PFET source/drain diffusion regions.
  • the NFETs being manufactured may not be subjected to stress from SiGe epitaxy. Such stress would be detrimental to NFET performance.
  • the NFETs may benefit from thicker composite source/drain diffusion regions 1008 , 1608 of the PFET 1004 , 1608 which provide a reduced series resistance. Desired NFET stress and/or strain (e.g., axially tensile) may be obtained using an overlying tensile nitride liner which may enhance electron mobility in the channel. Further, a thickness of a portion of the silicon layer 1112 in the composite source/drain diffusion region 1008 , 1608 may be no greater than approximately half a thickness of the SiGe region 500 , 1400 (although a larger or smaller thickness may be employed for the silicon layer 1112 ).

Abstract

In a first aspect, a first method of manufacturing a PFET on a substrate is provided. The first method includes the steps of (1) forming a gate channel region of the PFET having a first thickness on the substrate; and (2) forming at least one composite source/drain diffusion region of the PFET having a second thickness greater than the first thickness on the substrate. The at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET. Numerous other aspects are provided.

Description

FIELD OF THE INVENTION
The present invention relates generally to semiconductor device manufacturing, and more particularly to PFETs and methods of manufacturing the same.
BACKGROUND OF THE INVENTION
A conventional p-channel MOSFET (PFET) may include composite semiconductor source/drain regions with an embedded silicon germanium (SiGe) region on a silicon-on-insulator (SOI) layer. The SiGe region may cause a stress in the SOI layer that improves PFET performance. However, in the conventional PFET, such composite semiconductor source/drain regions have the same thickness as a gate channel region therebetween. Since thinner gate channel region SOI is sought in order to enable improved device characteristics, the thickness of the composite source/drain regions may be constrained to small values. However, manufacturing control issues limit a minimum thickness of the SOI layer in the source/drain regions. Therefore, the thickness of the embedded SiGe region of the conventional PFET is reduced. Consequently, a strain caused by such a SiGe region in the gate channel region of the SOI layer is reduced, which adversely affects performance of such conventional PFET. Accordingly, improved PFETs and methods of manufacturing the same are desired.
SUMMARY OF THE INVENTION
In a first aspect of the invention, a first method of manufacturing a PFET on a substrate is provided. The first method includes the steps of (1) forming a gate channel region of the PFET having a first thickness on the substrate; and (2) forming at least one composite source/drain diffusion region of the PFET having a second thickness greater than the first thickness on the substrate. The at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET.
In a second aspect of the invention, a first apparatus is provided. The first apparatus is PFET formed on a substrate. The PFET includes (1) a gate channel region having a first thickness formed on the substrate; and (2) at least one composite source/drain diffusion region having a second thickness greater than the first thickness formed on the substrate. The at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET.
In a third aspect of the invention, a first system is provided. The first system is a substrate that includes a PFET comprising (1) a gate channel region having a first thickness formed on the substrate; and (2) at least one composite source/drain diffusion region having a second thickness greater than the first thickness formed on the substrate. The at least one composite source/drain diffusion region is adapted to cause a strain in the gate channel region. Further, significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET. Numerous other aspects are provided in accordance with these and other aspects of the invention.
Other features and aspects of the present invention will become more fully apparent from the following detailed description, the appended claims and the accompanying drawings.
BRIEF DESCRIPTION OF THE FIGURES
FIG. 1 illustrates a cross-sectional side view of a substrate 101 following a step of a first exemplary method of forming an improved PFET in which a pad oxide layer, a pad nitride layer and STI trench regions are formed in accordance with an embodiment of the present invention.
FIG. 2 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which oxygen is implanted into the substrate 100 in accordance with an embodiment of the present invention.
FIG. 3 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention.
FIG. 4 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which an oxygen-implanted layer is chemically converted into an oxide layer in accordance with an embodiment of the present invention.
FIG. 5 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which silicon germanium (SiGe) regions are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
FIG. 6 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which an oxide liner and a nitride spacer are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
FIG. 7 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which portions of the oxide liner may be removed and portions of the SiGe region may be silicided in accordance with an embodiment of the present invention.
FIG. 8 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which HDP oxide regions may be formed in accordance with an embodiment of the present invention.
FIG. 9 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which portions of the pad nitride and/or pad oxide layers may be removed to form a vacant gate region in accordance with an embodiment of the present invention.
FIG. 10 illustrates a cross-sectional side view of the substrate following a step of the first exemplary method of forming an improved PFET in which a gate dielectric layer and a gate conductor layer are formed in accordance with an embodiment of the present invention.
FIG. 11 illustrates a cross-sectional side view of a substrate 1100 following a step of a second exemplary method of forming an improved PFET in which boron is implanted into bulk silicon in accordance with an embodiment of the present invention.
FIG. 12 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention.
FIG. 13 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which a boron-implanted layer is chemically converted into a porous silicon layer in accordance with an embodiment of the present invention.
FIG. 14 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which SiGe regions are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention.
FIG. 15 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which the porous silicon is converted into a buried oxide layer, an oxide liner and nitride spacers are formed on the substrate in accordance with an embodiment of the present invention.
FIG. 16 illustrates a cross-sectional side view of the substrate following a step of the second exemplary method of forming an improved PFET in which the pad nitride layer, pad oxide layer and portions of the oxide liner may be removed, and silicide and oxide regions may be formed in accordance with an embodiment of the present invention.
FIG. 17 is a cross-sectional side view of the substrate including an improved PFET manufactured using the second exemplary method in which the stresses and stains are depicted in accordance with an embodiment of the present invention.
DETAILED DESCRIPTION
The present invention provides PFETs and methods of manufacturing the same. Specifically, the present invention provides a PFET having at least one composite semiconductor source/drain diffusion region which is thicker than a gate channel region of the PFET. For example, a composite source/drain diffusion region may be adapted to cause a stress and/or strain in the gate channel region that improves PFET performance. Significantly all of the composite source/drain diffusion region may be below a bottom surface of a gate of the PFET. Each composite semiconductor source/drain diffusion region may include an embedded SiGe region above a portion of an SOI layer. The embedded SiGe region may be thicker than that of a conventional PFET employing embedded SiGe. Consequently, a stress and/or strain caused in the gate channel region by such embedded SiGe regions is increased, thereby improving PFET performance. In this manner, the present invention provides and includes improved PFETs and methods of manufacturing the same.
FIG. 1 illustrates a cross-sectional side view of a substrate 100 following a step of a first exemplary method of forming an improved PFET in which a pad oxide layer, a pad nitride layer and STI trench regions are formed in accordance with an embodiment of the present invention. With reference to FIG. 1, bulk silicon 102 may be provided. A pad oxide layer 104 (e.g., silicon dioxide or the like) may be formed on a top surface of the bulk silicon 102 by a chemical vapor deposition (CVD), thermal oxidation or another suitable process. The pad oxide layer 104 may be about 2 nm to about 20 nm thick, although a different thickness range may be employed. A pad nitride layer 106 (e.g., silicon nitride or the like) with a thickness of about 50 nm to about 200 nm may be formed by CVD or another suitable process above the pad oxide layer 104, although a different thickness range may be employed. The pad nitride layer 106 may be patterned for the formation of shallow isolation trenches 108 using lithography, resist strip and/or any other suitable processes. The bulk silicon 102, the pad oxide layer 104 and the pad nitride layer 106 may be exposed to a reactive ion etching (RIE) or another suitable process so as to form the shallow isolation trenches 108.
The trenches 108 may be filled with oxide 110 (e.g., silicon dioxide or the like) using CVD (e.g., high density plasma (HDP), tetraethylorthosilicate (TEOS) and/or the like). Chemical mechanical polishing (CMP) may be employed to remove portions of the oxide 110. The pad nitride layer 106 may serve as an etch mask during RIE of the shallow isolation trenches. The pad nitride layer 106 may patterned by conventional lithography and RIE and/or any other suitable processes. The resulting nitride layer 106 pattern may be employed as mock gates during subsequent processing.
FIG. 2 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which oxygen is implanted into the substrate 100 in accordance with an embodiment of the present invention. With reference to FIG. 2, the substrate 100 may be exposed to an oxygen implantation process or another suitable process so as to form an oxygen-implanted layer 200 in the bulk substrate 102. Consequently, a silicon layer 202 may be formed between the oxygen-implanted layer 200 and the pad oxide layer 104. The oxygen implantation process may employ an energy range of about 30 keV to about 250 keV to implant a dose of ionized atomic oxygen (O+) of about 5×1017 cm−2 to about 5×1018 cm−2 into the substrate 100 (although larger or smaller and/or different energy range and/or dosage range may be employed). Additionally or alternatively, a different type of oxygen, such as molecular oxygen may be implanted into the substrate 100.
The pad nitride layer 106 may affect a depth at which the oxygen is implanted into the substrate 100 thereunder. For example, oxygen which passes through the pad nitride layer 106 may be implanted into the substrate 100 shallower than remaining oxygen. Consequently, the silicon layer 202 may be thinner in the region disposed under the pad nitride layer 106 than other regions. For example, the region of the silicon layer 202 disposed beneath the nitride layer 106 may have a thickness t1 of about 10 nm to about 50 nm. The region of the silicon layer 202 not disposed under the nitride layer 106 may have a thickness t2 of about 50 nm to about 300 nm. However, a larger or smaller and/or different thickness range may be employed for the silicon layer 202 beneath the nitride layer 106 and/or for the silicon layer 202 elsewhere (e.g., not beneath the nitride layer 106).
FIG. 3 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention. With reference to FIG. 3, selective reactive ion etching (RIE) or another suitable method may be employed to remove the exposed portions of the pad oxide layer 104. Further, one or more portions of the silicon layers 202 may be removed (e.g., using tapered RIE or the like) so as to form recesses 300. In this manner, the recesses 300 may be formed such that sidewalls of the recesses 300 may have slopes so as to provide a tapered profile to the recesses 300. The taper RIE may allow a controlled thickness of the silicon layer 202 to remain. For example, the thickness of the silicon layer 202 remaining above the oxygen-implanted layer 200 may range from about 20 nm to about 60 nm. Portions of the silicon layer 202 in respective bottoms 302, 304 of the recesses 300 may serve as a seed layer for subsequent epitaxial processes and/or the like. In this manner, regions of SiGe or another suitable material may be grown in the recesses 300. Such regions may serve as source/drain regions of the PFET being manufactured. Portions of the silicon (e.g., silicon-on-insulator (SOI)) layer 202 beneath the nitride layer 106 may serve as a channel 306 of the PFET being manufactured. The channel 306 may have a thickness t1 of about 10 nm to about 50 nm (although larger or smaller and/or different thickness range may be employed).
FIG. 4 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which the oxygen-implanted layer is chemically converted into an oxide layer in accordance with an embodiment of the present invention. With reference to FIG. 4, the substrate 100 may undergo annealing (e.g., similar to a standard “separation by implantation oxygen” (SIMOX) method used to form SOI and/or the like). Annealing may be performed at about 1000 degrees Celsius (°C.) to about 1300° C. for about 1 hour to about 10 hours. However, annealing may be performed using a larger or smaller and/or different temperature range. Additionally or alternatively, annealing may be performed for a longer or shorter and/or different time period. Consequently, the oxygen implanted in the oxygen-implanted layer 200 may chemically react (e.g., combine) with the silicon so as to form a buried oxide (BOX) layer 400 (e.g., a silicon oxide (SiO) layer and/or the like). In this manner, the oxygen-implanted region or layer 200 may be converted to the BOX layer 400. In some embodiments, the substrate 100 may be exposed to a process (e.g., short isotropic etch and or the like) adapted to remove undesired material from portions of a top surface of the substrate 100. For example, the clean process may remove oxide material (e.g., silicon dioxide and/or the like) from the surfaces of the recesses 300 with a fluorine (e.g., HF) containing etch.
FIG. 5 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which SiGe regions are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention. With reference to FIG. 5, epitaxy or another suitable method may be employed to form SiGe regions 500 in the recesses 300. During epitaxy a lattice structure (e.g., inter atomic spacing, geometrical arrangements and/or the like) of SiGe on a top surface of the silicon layer 202 may attempt to match a lattice structure of silicon in the silicon layer 202. Such matching of a lattice structure at a bottom surface of the SiGe regions 500 and the top surface of the silicon layer 202 may induce lattice strain (e.g., elastic atomic displacement and/or the like) in portions of the SiGe regions 500 and/or silicon layer 202 thereunder. During epitaxy the SiGe regions 500 may be grown by adding silicon and/or germanium atoms to the existing lattice structure of the SiGe regions 500. Epitaxy may continue until a top surface of the SiGe region 500 is higher than the pad nitride layer 106, thereby achieving overgrowth.
Thereafter, chemical mechanical polishing (CMP) or another suitable method may be employed to planarize the top surface of each SiGe region 500 with the top surface of the pad nitride layer 106. A selective recess process (e.g., RIE and/or the like) may remove portions of the SiGe regions 500 such that respective top surfaces of the SiGe regions 500 are approximately planar with a top surface of the pad oxide layer 104. Each SiGe region 500 along with a portion of the silicon layer 202 thereunder may form one or more portions of a composite semiconductor source/drain region 502 of the PFET.
FIG. 6 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which an oxide liner and a nitride spacer are formed in the source/drain regions of the PFET in accordance with an embodiment of the present invention. With reference to FIG. 6, CVD or another suitable method may be employed to form an oxide liner 600 on the SiGe regions 500, oxide 110 and at least one surface (e.g., a sidewall) of the pad nitride layer 106. Further, CVD or another suitable method followed by RIE or another suitable method may be employed to form nitride spacers 602 on at least a surface (e.g., a sidewall) of the oxide liner 600.
FIG. 7 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which portions of the oxide liner may be removed and portions of the SiGe regions may be silicided in accordance with an embodiment of the present invention. With reference to FIG. 7, isotropic or anisotropic etching (e.g., for a short time period) and/or the like may be employed to remove portions of the oxide liner 600 above the SiGe regions 500 and on a top surface of pad nitride 106. Consequently, portions of a top surface of the SiGe regions 500 may be exposed. Deposition of a thin layer of metal such as titanium, tantalum, cobalt, tungsten, nickel or the like followed by an anneal to react the metal with the exposed SiGe regions 500 is used to form a silicide layer. The anneal is followed by a metal etch to remove unreacted metal from the surfaces of pad nitride 106, nitride spacers 602, STI oxide 110, and oxide liner 600. This leaves silicide regions 700 remaining on exposed portions of the SiGe regions 500. The silicide regions 700 may comprise an alloy of SiGe and/or other materials (e.g., titanium, tantalum, cobalt, tungsten, nickel and/or the like) so as to be conductive. The silicide regions 700 may be employed as contact points for studs in an embodiment of a PFET.
FIG. 8 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which HDP oxide regions may be formed in accordance with an embodiment of the present invention. With reference to FIG. 8, high density plasma deposition (HDP) or another suitable method may be employed to form an HDP oxide (e.g., SiO region and/or the like) layer on the substrate 100. CMP or another suitable method may be employed to remove portions of the HDP oxide layer such that the top surface of the HDP oxide layer may be approximately planar with the top surface of the pad nitride layer 106. In this manner, oxide regions 800 may be formed.
FIG. 9 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which portions of the pad nitride and/or pad oxide layers may be removed to form a vacant gate region in accordance with an embodiment of the present invention. With reference to FIG. 9, a selective nitride etch process (e.g., RIE selective to oxide) may be employed to first remove portions of the pad nitride layer layer 106. Then the RIE etch chemistry is switched to selectively remove the pad oxide layer 104 (e.g. selective to nitride) in the gate region. The pad oxide etch may also remove an insignificant amount of HDP oxide regions 800. Consequently, a portion 900 of a top surface of the silicon layer 102 and/or a surface of the oxide liner 600 may be exposed.
FIG. 10 illustrates a cross-sectional side view of the substrate 100 following a step of the first exemplary method of forming an improved PFET in which a gate dielectric layer and a gate conductor layer are formed in accordance with an embodiment of the present invention. With reference to FIG. 10, a gate dielectric (e.g., oxide, oxynitride, a high-k material and/or the like) layer 1000 is formed on a portion 900 of the exposed surface of the silicon layer 202. The gate dielectric layer 1000 may be employed as the PFET gate insulator. Well known suitable processes, including thermal oxidation, nitridation, CVD, PVD deposition and/or the like may be employed to form gate dielectric layer 1000. Further, a deposition process (e.g., CVD and/or the like) may be employed to form a gate conductor (e.g., polysilicon, silicide, metal and/or the like) layer 1002 on the dielectric layer 1000. CMP or another suitable method may be employed to remove portions of the gate conductor layer 1002 such that a top surface of the gate conductor 1002 may be approximately planar with a top surface of the oxide regions 800. In some embodiments, the gate conductor layer 1002 may be employed as the gate conductor of the manufactured PFET. Thereafter, back end of line (BEOL) processing may be employed to form the PFET 1004. In this manner, one or more vias and corresponding studs may be formed. Further, interlevel dielectrics and/or wiring of the substrate 100 may be formed.
Through use of the exemplary method described above with reference to FIGS. 1-10, an improved PFET 1004 may be formed on the substrate 100. More specifically, a PFET 1004 having a gate channel region 1006 of a first thickness t1 and at least one composite source/drain diffusion region 1008 having a second thickness t3 greater than the first thickness t1 is formed. The at least one composite source/drain diffusion region 1008 is adapted to cause a stress and/or strain in the gate channel region 1006. Further, significantly all (e.g., about 80% to about 100%) of the at least one composite source/drain diffusion region 1008 is below a bottom surface of a gate 1010. More specifically, significantly all of the at least one composite source/drain diffusion region 1008 is below the gate conductor layer 1002 of the PFET 1004 (although a larger or smaller and/or different percentage range of the composite source/drain diffusion region 1008 may be below the gate). The composite source/drain diffusion region 1008 may include a SiGe region (e.g., an embedded SiGe (eSiGe) region) 500.
The PFET 1004 may provide improved performance. More specifically, the SiGe 500 region in the composite source/drain diffusion region 1008 may cause a uniaxial tensile stress S1 in the thin silicon region underlying embedded SiGe region 500. Uniaxial tensile stress S1 is transferred to the gate channel region 1006 as uniaxial compressive stress S2 and vertical tensile stress S3. Stresses transferred to the gate channel region 1006 result in elastic deformation (strain) of the silicon lattice spacing. Such stresses are indicated by arrows S1, S2 and S3. Uniaxial compressive strain and vertical tensile strain in the gate channel region 1006 may enhance hole mobility, significantly improving the performance of the PFET.
A conventional PFET may have a composite SOI layer of a uniform thickness. Therefore, composite source/drain regions have the same thickness as a gate channel region of such PFETS. As thicknesses of SOI layers in such conventional PFETs continue to shrink, a thickness of silicon beneath a SiGe region in a source/drain region may not be decreased below approximately 20 nm. Therefore, a volume of the SiGe region above the silicon in the composite source/drain region must be decreased. Consequently, stress and/or strain in the silicon underlying the SiGe is reduced. Further, a cross-sectional area that transfers stress and/or strain from the source/drain region to a gate channel of the conventional PFET may be reduced. Therefore, such conventional PFETs have difficulty creating a desired level of stress and/or strain in the gate channel.
The PFET 1004 may avoid disadvantages of the conventional PFET described above. In contrast to the conventional PFET, the PFET 1004 may include composite source-drain regions 1008 whose respective thicknesses t3 are greater than a thickness of SOI in a body of the PFET (e.g., a gate channel region thickness t1). The composite source/drain regions 1008 which are relatively thicker than the gate channel region 1006 enable thicker SiGe regions 500 to be formed (than in conventional PFETs). Therefore, increased stress and/or strain may be transferred to the underlying portions of the silicon layer 202 in the composite source/drain regions 1008. Consequently, an increased stress and/or strain may be caused in the gate channel region 1006 of the PFET 1004. Further, the thicker SiGe regions 500 may reduce a need to thin the silicon layer 202, which may lead to a tighter tolerance of PFET transconductance.
Further, the present invention avoids disadvantages of conventional PFETs employing a raised source/drain (RSD) region. More specifically, the present invention avoids the considerable gate to diffusion capacitance of such conventional PFETs due to the proximity of the RSD region to a gate sidewall of the PFET, which detracts from performance. In contrast to such conventional PFETS, the present invention may thicken a source/drain region by adding semiconductor material below a level of a back interface of the body instead of above the original surface.
FIG. 11 illustrates a cross-sectional side view of a substrate 1100 following a step of a second exemplary method of forming an improved PFET in which boron is implanted into bulk silicon in accordance with an embodiment of the present invention. With reference to FIG. 11, a substrate 1100 may be provided. The substrate 1100 may include bulk silicon 1102, a pad oxide layer 1104, a pad nitride layer 1106, and shallow isolation trenches 1108. The substrate 1100 may be formed by methods similar to those described with reference to FIG. 1.
The substrate 1100 may be exposed to high-dose boron implantation or another suitable process so as to form a boron-implanted layer 1110 in the bulk silicon 1102. Such layer 1110 may be highly doped with p+ dopant. Consequently, a silicon layer 1112 may be formed between the boron implanted layer 1110 and the pad oxide layer 1104. The boron implantation may employ an energy range of about 25 keV to about 200 kev to a peak concentration of boron of about 5×1017 cm−3 to about 1×1020 cm−3 into the substrate 1100 (although a larger or smaller and/or different energy and/or peak concentration range may be employed). Additionally or alternatively, a different type of boron, such as BF2 may be implanted into the substrate 1100.
The pad nitride layer 1106 may affect a depth at which the boron is implanted into the substrate 1100 thereunder. For example, boron which passes through the pad nitride layer 1106 may be implanted into the substrate 1100 shallower than remaining boron. Consequently, a thickness of the silicon layer 1112 may be less in the region under the pad nitride layer 1106 than other regions. For example, the region of the silicon layer 1112 disposed beneath the nitride layer 1106 may have a thickness t4 of about 10 nm to about 50 nm. The region of the silicon layer 1112 not under the nitride layer 1106 may have a thickness t5 of about 50 nm to about 300 nm. However, a larger or smaller and/or different thickness range may be employed for the silicon layer 1112 beneath the nitride layer 1106 and/or for the silicon layer 1112 elsewhere (e.g., not beneath the nitride layer 1106).
FIG. 12 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which exposed portions of the pad oxide layer are removed and portions of the silicon layer are recessed in accordance with an embodiment of the present invention. With reference to FIG. 12, CVD or another suitable process followed by RIE or another suitable process may be employed to form silicon nitride spacers 1200 on at least one surface (e.g., sidewalls) of the pad nitride layer 1106. Although silicon nitride spacers 1200 are described above, the spacers 1200 may comprise one or more different materials. The silicon nitride spacers 1200 may serve to protect the sidewalls of the pad nitride layer 1106 during processing. RIE selective to nitride and silicon or another suitable method may be employed to remove exposed portions of the pad oxide layer 1104. Further, one or more portions of the silicon layer 1112, which may be or a low concentration, may be removed (e.g., using taper RIE and/or another suitable method) so as to form recesses 1202. The recesses 1202 may be formed such that sidewalls of the recesses 1202 may have slopes so as to provide a tapered profile to the recesses 1202.
The taper RIE may allow a controlled thickness of the silicon layer 1112 remaining above the boron-implanted layer 1110. For example, the thickness of the silicon layer 1112 may range from about 20 nm to about 60 nm. Alternatively, a selective RIE (e.g., selective to heavily-doped p-type Si) or another suitable method may be employed to remove portions of the silicon layer 1112 such that the boron-implanted layer 1110 may serve to control (e.g., by slowing the etch rate of the selective RIE process) the recess depth, and therefore, the thickness of the silicon layer 1112. Portions of the silicon layer 1112 in respective bottoms 1204 and 1206 of the recesses 1202 may serve as a seed layer for subsequent epitaxy and/or another suitable process. In this manner, regions of the SiGe or another suitable material subsequently may be grown in the recesses 1202. Such regions may serve as source/drain regions of the PFET being manufactured. Portions of the silicon layer 1112 beneath the nitride layer 1106 may serve as a channel 1208 of the PFET being manufactured.
FIG. 13 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which the boron-implanted layer is chemically converted into a porous silicon layer in accordance with an embodiment of the present invention. With reference to FIG. 13, the substrate 1100 may undergo anodization. For example, current may be applied to the substrate 1100 while the substrate 1100 is immersed in a hydrofluoric (HF) solution and/or the like. In this manner, the boron-implanted layer 1110 may be converted (e.g., chemically) into a porous silicon layer 1300. Process variables may be adjusted so as to change the porosity of the porous silicon layer 1300. For example, a concentration of the hydrofluoric solution and/or current employed during anodization may be adjusted to change the porosity of the porous layer 1300. Further, variables of the boron implantation may be adjusted to change a density of boron in the boron-implanted layer 1110, thereby adjusting the porosity of the porous silicon layer 1300.
The substrate 1100 may undergo annealing, such as a hydrogen (e.g., H2) bake, to remove a substantial portion (e.g., a significant fraction) of the boron from the substrate 1100. Annealing may be performed at a temperature of about 800° C. to about 1100° C. and for about 10 seconds to about 2 hours (although a larger or smaller and/or different temperature range and/or time period may be employed). Such annealing may reduce a concentration of boron outdiffusing into the layers above the porous silicon layer 1300 such that the outdiffusing may not affect the PFET electrical performance.
FIG. 14 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which SiGe regions are formed in the source/drain region of the PFET in accordance with an embodiment of the present invention. With reference to FIG. 14, epitaxy may be employed to form SiGe regions 1400 in the recesses 1202. During epitaxy a lattice structure (e.g., inter-atomic spacing, geometrical arrangements and/or the like) of SiGe on a top surface of the silicon layer 1112 may attempt to match a lattice structure of silicon in the silicon layer 1112. Such matching of a lattice structure at a bottom surface of the SiGe regions 1400 and the top surface of the silicon layer 1112 may induce lattice strain (e.g., elastic atomic displacement and/or the like) in portions of the SiGe regions 1400 and/or silicon layer 1112. During epitaxy, the SiGe regions 1400 may be grown by adding silicon and/or germanium atoms to the existing lattice structure of the SiGe regions 1400. Epitaxy may continue until a top surface of the SiGe region 1400 is higher than the pad nitride layer 1106, thereby achieving overgrowth. Thereafter, CMP or other suitable method may be employed to planarize the top surface of each SiGe region 1400 with a top surface of the pad nitride layer 1106. A selective recess process (e.g., RIE and/or the like) may remove portions of the SiGe regions 1400 such that respective top surfaces of the SiGe regions 1400 are approximately planar with a top surface of the silicon layer 1112. The SiGe regions 1400 along with portions of the silicon layer 1112 thereunder may form a portion of a composite semiconductor source/drain regions of the PFET.
FIG. 15 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which the porous silicon is converted into a buried oxide layer, an oxide liner and nitride spacers are formed on the substrate 1100 in accordance with an embodiment of the present invention. With reference to FIG. 15, a thermal oxidation process (e.g., wet or dry, at atmospheric or high-pressure and/or the like) may be employed to convert (e.g., chemically) the porous silicon layer 1300 into a BOX (e.g., silicon dioxide) layer 1500. The thermal oxidation process may be performed for a short period of time (e.g., about 30 seconds to 30 minutes) because oxidation is very rapid due to the possible rapid diffusion of an oxidizing species (e.g., oxygen and/or the like) through the shallow isolation trenches 1108 and the porous silicon layer 1300. A relatively thin layer of oxide may form on a crystalline semiconductor surface. Such oxide may later be removed.
Thermal oxidation may be performed in a dry and/or wet oxygen ambient at a temperature that may range from about 750° C. to about 1100° C. However, different process parameters may be employed. For example, a larger or smaller and/or different temperature range may be employed.
A deposition process (e.g., CVD and/or the like) followed by RIE or another suitable method may be employed to form an oxide (e.g., silicon dioxide and/or the like) liner 1502 on portions of the top surface of the substrate 1100. The oxide liner 1502 may be about 2 nm to about 20 nm thick (although a larger or smaller and/or different thickness range may be employed). A deposition process (e.g., conformal CVD and/or the like) followed by RIE or another suitable method may be employed to form nitride spacers 1504 on at least one surface (e.g., an approximately vertical surface) of the oxide liner 1502.
FIG. 16 illustrates a cross-sectional side view of the substrate 1100 following a step of the second exemplary method of forming an improved PFET in which the pad nitride layer, portions of the oxide liner and/or pad oxide layer may be removed, and silicide regions and oxide regions may be formed in accordance with an embodiment of the present invention. With reference to FIG. 16, methods similar to those discussed with reference to FIGS. 7-10 may be employed to remove the pad nitride layer 1106, portions of the oxide liner 1502, and pad oxide layer 1104 may be removed. Further, methods similar to those discussed with reference to FIGS. 7-10 may be employed to form a silicide region 1600, oxide regions 1602, gate dielectric 1604 and a gate conductor layer 1606. Thereafter, back end of line (BEOL) processing may be employed to form the PFET 1608. In this manner, one or more vias and corresponding studs may be formed. Further, interlevel dielectrics and/or wiring of the substrate 1100 may be formed. In this manner, a composite source/drain diffusion region 1610 having a thickness t6 of about 50 nm to about 300 nm may be formed (although a larger or smaller and/or different thickness range may be employed). Further, a portion of the silicon layer 1112 beneath the gate dielectric and gate conductor layers 1604-1606 may serve as a gate channel region 1612.
FIG. 17 is a cross-sectional side view of the substrate 1100 including an improved PFET manufactured using the second exemplary method in which the stresses and stains are depicted in accordance with an embodiment of the present invention. With reference to FIG. 17, as discussed above with reference to FIG. 14, the lattice mismatch of the SiGe regions 1400 and the top surface of the silicon layer 1112 may induce a stress and/or strain S4 in a portion of the silicon layer 1112 in the composite source/drain diffusion region 1610. Such stress and/or strain S4 may cause a compressive (e.g., axial compressive) stress and/or strain S5 and a tensile (e.g., vertical tensile) stress and/or strain S6 in a portion of the silicon layer 1112 in the gate channel region 1612, thereby improving performance of the PFET 1608.
Through use of the exemplary method described above with reference to FIGS. 11-17, an improved PFET 1608 may be formed on the substrate 1100. More specifically, a PFET 1608 having advantages over conventional PFETs similar to those of the PFET 1004 discussed with reference to FIGS. 1-10 may be formed.
The foregoing description discloses only exemplary embodiments of the invention. Modifications of the above disclosed apparatus and method which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For instance, in some embodiments, one or more NFETs may be manufactured along with the improved PFET 1004, 1608. In such embodiments, source/drain diffusion regions of NFETs being manufactured may be masked during recess etching of the PFET source/drain diffusion regions. Thus, the NFETs being manufactured may not be subjected to stress from SiGe epitaxy. Such stress would be detrimental to NFET performance. However, the NFETs may benefit from thicker composite source/ drain diffusion regions 1008, 1608 of the PFET 1004, 1608 which provide a reduced series resistance. Desired NFET stress and/or strain (e.g., axially tensile) may be obtained using an overlying tensile nitride liner which may enhance electron mobility in the channel. Further, a thickness of a portion of the silicon layer 1112 in the composite source/ drain diffusion region 1008, 1608 may be no greater than approximately half a thickness of the SiGe region 500, 1400 (although a larger or smaller thickness may be employed for the silicon layer 1112).
Accordingly, while the present invention has been disclosed in connection with exemplary embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims (17)

1. A method of manufacturing a PFET on a substrate, comprising:
forming a gate channel region of the PFET having a first thickness on the substrate; and
forming at least one composite source/drain diffusion region of the PFET having a second thickness greater than the first thickness on the substrate;
wherein the at least one composite source/drain diffusion region causes a strain in the gate channel region;
wherein significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET;
wherein forming the gate channel region having the first thickness and forming at least one composite source/drain diffusion region having the second thickness greater than the first thickness includes forming a silicon-on-insulator (SOI) layer on the substrate; and
a portion of the SOI layer in the at least one composite source/drain diffusion region is deeper than the SOI layer in the gate channel region.
2. The method of claim 1 further comprising forming a silicon germanium (SiGe) region above the portion of the SOI layer in the composite source/drain diffusion region.
3. The method of claim 2 wherein forming the silicon germanium (SiGe) region above the portion of the SOI layer in the composite source/drain diffusion region includes epitaxially growing the SiGe region above the portion of the SOI layer in the composite source/drain diffusion region.
4. The method of claim 1 wherein forming the SOI layer includes:
implanting oxygen into the substrate; and
annealing the substrate.
5. The method of claim 1 wherein forming the SOI layer includes:
implanting boron into the substrate;
anodizing the substrate; and
annealing the substrate.
6. The method of claim 1 wherein about 80% of the at least one composite source/drain diffusion region is below the bottom surface of a gate of the PFET.
7. A PFET formed on a substrate, comprising:
a gate channel region having a first thickness formed on the substrate;
at least one composite source/drain diffusion region having a second thickness greater than the first thickness formed on the substrate; and
a silicon-on-insulator (SOI) layer in the gate channel region and at least one composite source/drain diffusion region;
wherein the at least one composite source/drain diffusion region causes a strain in the gate channel region;
wherein significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET; and
wherein a portion of the SOI layer in the at least one composite source/drain diffusion region is deeper than the SOI layer in the gate channel region.
8. The PFET of claim 7 further comprising a silicon germanium (SiGe) region above the portion of the SOI layer in the composite source/drain diffusion region.
9. The PFET of claim 8 wherein the SiGe region causes a strain in the portion of the SOI layer in the at least one composite source/drain diffusion region.
10. The PFET of claim 9 wherein the SOI layer causes a strain in the portion of the SOI layer in the gate channel region based on the strain in the portion of the SOI layer in the composite source/drain diffusion region.
11. The PFET of claim 8 wherein the SiGe region includes epitaxially-grown SiGe.
12. The PFET of claim 7 wherein the first thickness is about 10 nm and the second thickness is about 50 nm.
13. A substrate, comprising: a PFET having:
a gate channel region having a first thickness formed on the substrate;
at least one composite source/drain diffusion region having a second thickness greater than the first thickness formed on the substrate; and
a silicon-on-insulator (SOI) layer in the gate channel region and at least one composite source/drain diffusion region;
wherein the at least one composite source/drain diffusion region causes a strain in the gate channel region;
wherein significantly all of the at least one composite source/drain diffusion region is below a bottom surface of a gate of the PFET;
wherein a portion of the SOI layer in the at least one composite source/drain diffusion region is deeper than the SOI layer in the gate channel region.
14. The substrate of claim 13 wherein the PFET further comprises a silicon germanium (SiGe) region above the portion of the SOI layer in the composite source/drain diffusion region.
15. The substrate of claim 14 wherein the SiGe region causes a strain in the portion of the SOI layer in the at least one composite source/drain diffusion region.
16. The substrate of claim 15 wherein the SOI layer causes a strain in the portion of the SOI layer in the gate channel region based on the strain in the portion of the SOI layer in the composite source/drain diffusion region.
17. The substrate of claim 13 wherein the first thickness is about 10 nm and the second thickness is about 50 nm.
US11/335,763 2006-01-19 2006-01-19 PFETs and methods of manufacturing the same Expired - Fee Related US7569434B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/335,763 US7569434B2 (en) 2006-01-19 2006-01-19 PFETs and methods of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/335,763 US7569434B2 (en) 2006-01-19 2006-01-19 PFETs and methods of manufacturing the same

Publications (2)

Publication Number Publication Date
US20070166890A1 US20070166890A1 (en) 2007-07-19
US7569434B2 true US7569434B2 (en) 2009-08-04

Family

ID=38263707

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/335,763 Expired - Fee Related US7569434B2 (en) 2006-01-19 2006-01-19 PFETs and methods of manufacturing the same

Country Status (1)

Country Link
US (1) US7569434B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080185617A1 (en) * 2007-02-05 2008-08-07 Ta-Ming Kuan Strained MOS device and methods for forming the same
CN102623487A (en) * 2011-01-26 2012-08-01 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US8455308B2 (en) 2011-03-16 2013-06-04 International Business Machines Corporation Fully-depleted SON
CN103779222A (en) * 2012-10-23 2014-05-07 中国科学院微电子研究所 Manufacturing method of mosfet
US8735303B2 (en) 2011-11-02 2014-05-27 Globalfoundries Inc. Methods of forming PEET devices with different structures and performance characteristics
US9627480B2 (en) * 2014-06-26 2017-04-18 Globalfoundries Inc. Junction butting structure using nonuniform trench shape

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
KR20090096885A (en) * 2008-03-10 2009-09-15 삼성전자주식회사 Semiconductor device having a locally buried insulation layer and method of manufacturing the semiconductor device
US7955909B2 (en) * 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
US8361848B2 (en) * 2010-04-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Precise resistor on a semiconductor device
US20130313655A1 (en) * 2012-05-23 2013-11-28 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and a method for manufacturing the same
US8704332B2 (en) * 2012-06-13 2014-04-22 International Business Machines Corporation Metal oxide semiconductor field effect transistor (MOSFET) gate termination
CN103811347B (en) * 2012-11-13 2018-03-06 中芯国际集成电路制造(上海)有限公司 The forming method of transistor
FR3002079B1 (en) * 2013-02-11 2016-09-09 Commissariat Energie Atomique PROCESS FOR PRODUCING A TRANSISTOR
FR3002078B1 (en) 2013-02-11 2015-03-27 Commissariat Energie Atomique METHOD FOR MAKING A SEMICONDUCTOR LAYER HAVING AT LEAST TWO DIFFERENT THICKNESSES
FR3002080B1 (en) 2013-02-11 2015-03-27 Commissariat Energie Atomique PROCESS FOR PRODUCING A TRANSISTOR

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086463A1 (en) * 2000-12-30 2002-07-04 Houston Theodore W. Means for forming SOI
US20020171107A1 (en) * 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
US20040045499A1 (en) * 2002-06-10 2004-03-11 Amberwave Systems Corporation Source and drain elements
US6828630B2 (en) * 2003-01-07 2004-12-07 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US6982208B2 (en) * 2004-05-03 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing high throughput strained-Si channel MOSFETS
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US20060148143A1 (en) * 2005-01-06 2006-07-06 International Business Machines Corporation METHOD OF CREATING A Ge-RICH CHANNEL LAYER FOR HIGH-PERFORMANCE CMOS CIRCUITS
US20060186487A1 (en) * 2005-02-23 2006-08-24 Lee Jin Y PMOS transistor with increased effective channel length in the peripheral region and method of manufacturing the same
US20060226492A1 (en) * 2005-03-30 2006-10-12 Bich-Yen Nguyen Semiconductor device featuring an arched structure strained semiconductor layer
US20070001227A1 (en) * 2005-06-17 2007-01-04 Jean-Charles Barbe Manufacturing processing for an isolated transistor with strained channel
US20070069300A1 (en) * 2005-09-29 2007-03-29 International Business Machines Corporation Planar ultra-thin semiconductor-on-insulator channel mosfet with embedded source/drain
US7238561B2 (en) * 2005-08-02 2007-07-03 Freescale Semiconductor, Inc. Method for forming uniaxially strained devices
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086463A1 (en) * 2000-12-30 2002-07-04 Houston Theodore W. Means for forming SOI
US20020171107A1 (en) * 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
US20040045499A1 (en) * 2002-06-10 2004-03-11 Amberwave Systems Corporation Source and drain elements
US6828630B2 (en) * 2003-01-07 2004-12-07 International Business Machines Corporation CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US6982208B2 (en) * 2004-05-03 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing high throughput strained-Si channel MOSFETS
US7306997B2 (en) * 2004-11-10 2007-12-11 Advanced Micro Devices, Inc. Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US20060148143A1 (en) * 2005-01-06 2006-07-06 International Business Machines Corporation METHOD OF CREATING A Ge-RICH CHANNEL LAYER FOR HIGH-PERFORMANCE CMOS CIRCUITS
US20060186487A1 (en) * 2005-02-23 2006-08-24 Lee Jin Y PMOS transistor with increased effective channel length in the peripheral region and method of manufacturing the same
US20060226492A1 (en) * 2005-03-30 2006-10-12 Bich-Yen Nguyen Semiconductor device featuring an arched structure strained semiconductor layer
US20070001227A1 (en) * 2005-06-17 2007-01-04 Jean-Charles Barbe Manufacturing processing for an isolated transistor with strained channel
US7238561B2 (en) * 2005-08-02 2007-07-03 Freescale Semiconductor, Inc. Method for forming uniaxially strained devices
US20070069300A1 (en) * 2005-09-29 2007-03-29 International Business Machines Corporation Planar ultra-thin semiconductor-on-insulator channel mosfet with embedded source/drain

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080185617A1 (en) * 2007-02-05 2008-08-07 Ta-Ming Kuan Strained MOS device and methods for forming the same
US8536619B2 (en) * 2007-02-05 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained MOS device and methods for forming the same
US9530865B2 (en) 2007-02-05 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained MOS device and methods for forming the same
CN102623487A (en) * 2011-01-26 2012-08-01 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
CN102623487B (en) * 2011-01-26 2015-04-08 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US8455308B2 (en) 2011-03-16 2013-06-04 International Business Machines Corporation Fully-depleted SON
US8742504B2 (en) 2011-03-16 2014-06-03 International Business Machines Corporation Fully-depleted son
US8735303B2 (en) 2011-11-02 2014-05-27 Globalfoundries Inc. Methods of forming PEET devices with different structures and performance characteristics
CN103779222A (en) * 2012-10-23 2014-05-07 中国科学院微电子研究所 Manufacturing method of mosfet
US9627480B2 (en) * 2014-06-26 2017-04-18 Globalfoundries Inc. Junction butting structure using nonuniform trench shape
US9923082B2 (en) 2014-06-26 2018-03-20 Global Foundries Inc. Junction butting structure using nonuniform trench shape

Also Published As

Publication number Publication date
US20070166890A1 (en) 2007-07-19

Similar Documents

Publication Publication Date Title
US7569434B2 (en) PFETs and methods of manufacturing the same
US7052964B2 (en) Strained channel transistor and methods of manufacture
US9899519B2 (en) Defect-Free SiGe source/drain formation by epitaxy-free process
US7002214B1 (en) Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7888747B2 (en) Semiconductor device and method of fabricating the same
US6432754B1 (en) Double SOI device with recess etch and epitaxy
US7608515B2 (en) Diffusion layer for stressed semiconductor devices
US7781290B2 (en) Complementary metal-oxide semiconductor (CMOS) devices including a thin-body channel and dual gate dielectric layers and methods of manufacturing the same
US7612389B2 (en) Embedded SiGe stressor with tensile strain for NMOS current enhancement
US7585711B2 (en) Semiconductor-on-insulator (SOI) strained active area transistor
US7435657B2 (en) Method of fabricating transistor including buried insulating layer and transistor fabricated using the same
US6410938B1 (en) Semiconductor-on-insulator device with nitrided buried oxide and method of fabricating
US8253177B2 (en) Strained channel transistor
KR101124657B1 (en) Silicon-on-insulator semiconductor device with silicon layers having different crystal orientations and method of forming the silicon-on-insulator semiconductor device
US8114727B2 (en) Disposable spacer integration with stress memorization technique and silicon-germanium
US8664058B2 (en) Semiconductor device having silicon on stressed liner (SOL)
US20120217583A1 (en) Semiconductor device and method for forming the same
US7125759B2 (en) Semiconductor-on-insulator (SOI) strained active areas
TW200824007A (en) Stressed field effect transistor and methods for its fabrication
US9564488B2 (en) Strained isolation regions
US20080119025A1 (en) Method of making a strained semiconductor device
EP1856732A1 (en) Activated carbon selective epitaxial process
US10741673B2 (en) Controlling gate profile by inter-layer dielectric (ILD) nanolaminates
US20080185661A1 (en) Semiconductor device and method for fabricating the same
US6913959B2 (en) Method of manufacturing a semiconductor device having a MESA structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, KANGGUO;HSU, LOUIS LU-CHEN;MANDELMAN, JACK ALLAN;AND OTHERS;REEL/FRAME:017258/0083;SIGNING DATES FROM 20060106 TO 20060113

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20130804