US7585765B2 - Formation of oxidation-resistant seed layer for interconnect applications - Google Patents

Formation of oxidation-resistant seed layer for interconnect applications Download PDF

Info

Publication number
US7585765B2
US7585765B2 US11/839,260 US83926007A US7585765B2 US 7585765 B2 US7585765 B2 US 7585765B2 US 83926007 A US83926007 A US 83926007A US 7585765 B2 US7585765 B2 US 7585765B2
Authority
US
United States
Prior art keywords
noble metal
forming
seed layer
oxidation
metal seed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/839,260
Other versions
US20070275557A1 (en
Inventor
Chih-Chao Yang
Nancy R. Klymko
Christopher C. Parks
Keith Kwong Hon Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Auriga Innovations Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/839,260 priority Critical patent/US7585765B2/en
Publication of US20070275557A1 publication Critical patent/US20070275557A1/en
Application granted granted Critical
Publication of US7585765B2 publication Critical patent/US7585765B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to AURIGA INNOVATIONS, INC. reassignment AURIGA INNOVATIONS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure of the single or dual damascene type in which an oxidation-resistant noble metal seed layer is employed. The present invention also relates to a method of fabricating such a semiconductor structure.
  • semiconductor devices include a plurality of circuits which form an integrated circuit fabricated on a semiconductor substrate.
  • a complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures.
  • the wiring structure typically includes copper, Cu, since Cu based interconnects provide higher speed signal transmission between large numbers of transistors on a complex semiconductor chip as compared with aluminum, Al, -based interconnects.
  • metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate. Further enhancement of the signal speed and reduction of signals in adjacent metal lines (known as “crosstalk”) are achieved in today's IC product chips by embedding the metal lines and metal vias (e.g., conductive features) in a dielectric material having a dielectric constant of less than silicon dioxide.
  • PVD physical vapor deposited
  • Ta(N) and PVD Cu seed layers are used as a Cu diffusion barrier and plating seed, respectively, for advanced interconnect applications.
  • PVD based deposition techniques will run into conformality and step coverage issues. These, in turn, will lead to fill issues at plating such as, for example, center and edge voids, which cause reliability concerns and yield degradation.
  • One way to avoid this potential issue is to reduce the overall thickness of PVD deposited material, and utilizes a single layer of liner material as both the diffusion barrier and the plating seed layer.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the present invention provides an interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces or eliminates the surface oxidation problem that is exhibited by prior art interconnect structures where a noble metal seed layer has been employed.
  • this objective is achieved by utilizing a hydrogen plasma treatment process which is performed on the surface of the noble metal seed layer prior to deposition of Cu or another like interconnect conductive material.
  • the method of the present invention can reduce the surface carbon of the noble metal seed layer to about 2 atomic percent or less, similarly, the surface nitrogen content is about 3 atomic percent or less. Also, the surface concentration of oxygen is less than about 3 atomic percent.
  • the method of the present invention significantly reduces the surface carbon content in the noble metal seed layer. It is also noted that many CVD and ALD processes will not give a very pure metal. The residual carbonaceous material on the surface is prone to be oxidized and chemically change upon exposure to the atmosphere, which as a result will make the noble metal seed layer have a very different surface chemistry, such as direct palatability.
  • the invention provides a semiconductor structure comprising a film stack including an oxidation-resistant noble metal seed layer sandwiched between a substrate and a conductive metal-containing material.
  • an interconnect structure comprises: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; an oxidation-resistant noble metal seed layer located on said diffusion barrier; and an interconnect conductive material located within the at least one opening.
  • the present invention contemplates closed-via bottom structures, open-via bottom structures and anchored-via bottom structures.
  • a Cu interconnect structure in a preferred embodiment of the present invention, includes: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; an oxidation-resistant noble metal seed layer located on said diffusion barrier; and a Cu interconnect metal located within the at least one opening.
  • the present invention also provides a method of fabricating the same.
  • the method of the present invention includes: forming at least one opening in a dielectric material; forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening; forming an oxidation-resistant seed layer on said diffusion barrier; and forming an interconnect conductive material within said at least one opening.
  • the present invention provides a method that includes forming a noble metal seed layer on a surface of a substrate; treating said noble metal seed layer in a hydrogen plasma to provide an oxidation-resistant noble metal seed layer; and forming a conductive material on said oxidation-resistant noble metal seed layer.
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating an interconnect structure through initial stages of the inventive method wherein at least one opening is provided in a dielectric material.
  • FIG. 2 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 1 after formation of a diffusion barrier inside the at least the one opening.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 2 after formation of a noble metal seed layer.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 3 after subjecting said noble metal seed layer to a hydrogen plasma treatment process.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 4 after formation of a conductive material within the at least one opening and subsequent planarization.
  • a closed-via bottom is illustrated on the right hand side.
  • FIGS. 6A and 6B are pictorial representations (through cross sectional views) depicting alternative interconnect structure that can be formed utilizing the method of the present invention;
  • FIG. 6A includes an interconnect structure with an open-via bottom structure, while
  • FIG. 6B includes an interconnect structure with an anchored-via bottom structure.
  • the present invention which provides an interconnect structure including an oxidation-resistant noble metal seed layer and a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application.
  • the drawings of the present application which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • the process flow of the present invention begins with providing the initial interconnect structure 10 shown in FIG. 1 .
  • the initial interconnect structure 10 shown in FIG. 1 comprises a multilevel interconnect including a lower interconnect level 12 and an upper interconnect level 16 that are separated in part by dielectric capping layer 14 .
  • the lower interconnect level 12 which may be located above a semiconductor substrate including one or more semiconductor devices, comprises a first dielectric material 18 having at least one conductive feature (i.e., conductive region) 20 that is separated from the first dielectric material 18 by a barrier layer 22 .
  • the upper interconnect level 16 comprises a second dielectric material 24 that has at least one opening located therein.
  • FIG. 1 illustrates a separate line opening and an opening for a via and a line, the present invention also contemplates cases in which only the line opening is present or cases in which the opening for the combined via and line is present.
  • the initial interconnect structure 10 shown in FIG. 1 is made utilizing standard interconnect processing which is well known in the art.
  • the initial interconnect structure 10 can be formed by first applying the first dielectric material 18 to a surface of a substrate (not shown).
  • the substrate which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof.
  • any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used.
  • the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers.
  • the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers.
  • the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
  • CMOS complementary metal oxide semiconductor
  • the first dielectric material 18 of the lower interconnect level 12 may comprise any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics.
  • the first dielectric material 18 may be porous or non-porous.
  • suitable dielectrics include, but are not limited to: SiO 2 , silsequioxanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof.
  • polyarylene is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • the first dielectric material 18 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic crosstalk as compared with dielectric materials that have a higher dielectric constant than 4.0.
  • the thickness of the first dielectric material 18 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the lower interconnect level 12 . Typically, and for normal interconnect structures, the first dielectric material 18 has a thickness from about 200 to about 450 nm.
  • the lower interconnect level 12 also has at least one conductive feature 20 that is embedded in (i.e., located within) the first dielectric material 18 .
  • the conductive feature 20 comprises a conductive region that is separated from the first dielectric material 18 by a barrier layer 22 .
  • the conductive feature 20 is formed by lithography (i.e., applying a photoresist to the surface of the first dielectric material 18 , exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer), etching (dry etching or wet etching) an opening in the first dielectric material 18 and filling the etched region with the barrier layer 22 and then with a conductive material forming the conductive region.
  • the barrier layer 22 which may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through, is formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the barrier layer 22 may vary depending on the exact means of the deposition process as well as the material employed. Typically, the barrier layer 22 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
  • the conductive material used in forming the conductive feature 20 includes, for example, polySi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal silicide or combinations thereof
  • the conductive material that is used in forming the conductive feature 20 is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention.
  • the conductive material is filled into the remaining opening in the first dielectric material 18 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating.
  • a conventional planarization process such as, for example, chemical mechanical polishing (CMP) can be used to provide a structure in which the barrier layer 22 and the conductive feature 20 each have an upper surface that is substantially coplanar with the upper surface of the first dielectric material 18 .
  • CMP chemical mechanical polishing
  • the inventive method described herein below can be used to provide the conductive feature 20 , which includes an oxidation-resistant noble metal seed layer between the conductive feature 20 and the barrier layer 22 .
  • polysilicon is not used as the conductive material.
  • the dielectric capping layer 14 is formed on the surface of the lower interconnect level 12 utilizing a conventional deposition process such as, for example, CVD, PECVO, chemical solution deposition, or evaporation.
  • the dielectric capping layer 14 comprises any suitable dielectric capping material such as, for example, SiC, Si 4 NH 3 , SiO 2 , a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N,H) or multilayers thereof.
  • the thickness of the capping layer 14 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 14 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
  • the upper interconnect level 16 is formed by applying the second dielectric material 24 to the upper exposed surface of the capping layer 14 .
  • the second dielectric material 24 may comprise the same or different, preferably the same, dielectric material as that of the first dielectric material 18 of the lower interconnect level 12 .
  • the processing techniques and thickness ranges for the first dielectric material 18 are also applicable here for the second dielectric material 24 .
  • at least one opening is formed into the second dielectric material 24 utilizing lithography, as described above, and etching.
  • the etching may comprise a dry etching process, a wet chemical etching process or a combination thereof.
  • dry etching is used herein to denote an etching technique such as reactive-ion etching, ion beam etching, plasma etching or laser ablation.
  • reference number 26 denotes a line opening for a single damascene structure
  • reference numeral 28 A and 28 B denote a via opening and a line opening, respectively for a dual damascene structure. It is again emphasized that the present invention contemplates structures including only opening 26 or openings 28 A and 28 B.
  • the etching step also removes a portion of the dielectric capping layer 14 that is located atop the conductive feature 20 in order to make electrical contact between interconnect level 12 and level 16 .
  • a diffusion barrier 30 having Cu diffusion barrier properties is provided by forming the diffusion barrier 30 on exposed surfaces (including wall surfaces within the opening) on the second dielectric material 24 .
  • the resultant structure is shown, for example, in FIG. 2 .
  • the diffusion barrier 30 comprises a same or different material as that of barrier layer 22 .
  • diffusion barrier 30 may comprise Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaNs, W, WN or any other material that can serve as a barrier to prevent a conductive material from diffusing there through. Combinations of these materials are also contemplated forming a multilayered stacked diffusion barrier.
  • the diffusion barrier 30 is formed utilizing a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition, or plating.
  • the thickness of the diffusion barrier 30 may vary depending on the number of material layers within the barrier, the technique used in forming the same as well as the material of the diffusion barrier itself Typically, the diffusion barrier 30 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being even more typical.
  • FIG. 3 shows the structure of FIG. 2 after formation of noble metal seed layer 32 atop the diffusion barrier 30 .
  • the noble metal seed layer 32 is comprised of a metal or metal alloy from Group VIIIA of the Periodic Table of Elements. Examples of suitable Group VIIIA elements for the noble metal seed layer include, but are not limited to: Ru, Ir, Rh, Pt, Pd and alloys thereof. In some embodiments, it is preferred to use Ru, Ir or Rh as the noble metal seed layer 32 .
  • the noble metal seed layer 32 is formed by a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plating, sputtering and physical vapor deposition (PVP).
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • PVP physical vapor deposition
  • the thickness of the noble metal seed layer 32 may vary depending on number of factors including, for example, the compositional material of the noble metal seed layer 32 and the technique that was used in forming the same.
  • the noble metal seed layer 32 has a thickness from about 0.5 to about 10 nm, with a thickness of less than 6 nm being even more typical.
  • FIG. 4 shows the resultant structure formed after subjecting the noble metal seed layer 32 to a hydrogen (H 2 ) plasma treatment process, which forms an oxidation-resistant noble metal seed surface region 34 on layer 32 .
  • the H 2 plasma process includes providing a plasma of hydrogen, H 2 , using a hydrogen source such as, for example, molecular or, more preferably, atomic hydrogen.
  • the hydrogen plasma is a neutral, highly ionized hydrogen gas that consists of neutral atoms or molecules, positive ions and free electrons.
  • Ionization of the hydrogen source is typically carried out in a reactor chamber in which the ionization process is achieved by subjecting the source to strong DC or AC electromagnetic fields.
  • the ionization of the hydrogen source is performed by bombarding the gate atoms with an appropriate electron source.
  • the hydrogen plasma process used to provide the oxidation-resistant noble metal seed surface region 34 is performed at a temperature of from about 20° to about 200° . Other temperatures can also be used as long as the temperature of the H 2 plasma process provides an oxidation-resistant noble metal seed surface region 34 .
  • oxidation-resistant noble metal seed layer is used throughout the present application to denote a seed layer that contains a noble metal or an alloy of a noble metal wherein a surface oxide does not form thereon during subsequent expose to air. It is again emphasized that surface region 34 and layer 32 form the inventive oxidation-resistant noble metal seed layer. As compared to a conventional noble metal surface without receiving the claimed method for surface treatment, the present invention can reduce the surface carbon of the noble metal to about 2 atomic percent or less, similarly, the surface nitrogen content is about 3 atomic percent or less. Also, the surface concentration of oxygen is less than about 3 atomic percent.
  • FIG. 5 shows the structure after forming an interconnect conductive material 38 within the at least one opening.
  • the structure shown in FIG. 5 represents one possible embodiment of the present invention, while the structures shown in FIGS. 6A and 6B represent other possible embodiments of the present invention.
  • a closed-via bottom structure is shown.
  • the interconnect conductive material 38 is formed within an open-via bottom structure.
  • the open-via structure is formed by removing the diffusion barrier from the bottom of via 28 A utilizing ion bombardment or another like directional etching process prior to deposition of the other elements.
  • FIG. 6B an anchored-via bottom structure is shown.
  • the anchored-via bottom structure is formed by first etching a recess into the conductive feature 20 utilizing a selective etching process.
  • the diffusion barrier 30 is then formed and it is selectively removed from the bottom portion of the via and recess by utilizing one of the above-mentioned techniques.
  • the other elements i.e., oxidation-resistant noble metal seed layer (i.e., surface region 34 and layer 32 ) and conductive material 38 , are then formed within the opening as described herein.
  • the interconnect conductive material 38 may comprise the same or different, preferably the same, conductive material (with the proviso that the conductive material is not polysilicon) as that of the conductive feature 20 .
  • conductive material Preferably, Cu, Al, W or alloys thereof are used, with Cu or AlCu being most preferred.
  • the conductive material 38 is formed utilizing the same deposition processing as described above in forming the conductive feature 20 and following deposition of the conductive material, the structure is subjected to planarization. The planarization process removes the diffusion barrier 30 , the plating seed layer 32 , oxidation-resistant noble metal seed layer 34 , and conductive material 38 that is present above the upper horizontal surface of the upper interconnect level 16 .
  • the method of the present application is applicable in forming such oxidation-resistant seed layer in any one or all of the interconnect levels of an interconnect structure.
  • the same basic processing steps can be used to form other semiconductor structures, such as, for example, a field effect transistor, in which the oxidation-resistant metal seed layer is present.
  • Two copper-capped ruthenium films were analyzed by Secondary Ion Mass Spectrometry (SIMS), an analytical method to measure impurities such as carbon.
  • SIMS Secondary Ion Mass Spectrometry
  • One film was capped with copper without treatment (representative of the prior art); and the second was exposed to a H 2 plasma (representative of the present invention) before copper capping.
  • the H 2 plasma treatment included a certain amount of N 2 , e.g., from 0% to about 85%.
  • Capping with a physical-vapor deposition copper film after a controlled time was done to seal any contaminants out of the ruthenium surface.
  • the ruthenium and ruthenium surface would be representative of a fresh sample, i.e., representing the impurities of the film in the air-exposure time scale as such a film would be processed in a microelectronic manufacturing environment.
  • SIMS secondary ion mass spectroscopy

Abstract

An interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces the surface oxidation problem of plating a conductive material onto a noble metal seed layer are provided. In accordance with the present invention, a hydrogen plasma treatment is used to treat a noble metal seed layer such that the treated noble metal seed layer is highly resistant to surface oxidation. The inventive oxidation-resistant noble metal seed layer has a low C content and/or a low nitrogen content.

Description

RELATED APPLICATIONS
This application is a divisional application of U.S. Ser. No. 11/308,284, filed Mar. 15, 2006, now U.S. Pat. No. 7,276,796.
FIELD OF THE INVENTION
The present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure of the single or dual damascene type in which an oxidation-resistant noble metal seed layer is employed. The present invention also relates to a method of fabricating such a semiconductor structure.
BACKGROUND OF THE INVENTION
Generally, semiconductor devices include a plurality of circuits which form an integrated circuit fabricated on a semiconductor substrate. A complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures. The wiring structure typically includes copper, Cu, since Cu based interconnects provide higher speed signal transmission between large numbers of transistors on a complex semiconductor chip as compared with aluminum, Al, -based interconnects.
Within a typical interconnect structure, metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate. Further enhancement of the signal speed and reduction of signals in adjacent metal lines (known as “crosstalk”) are achieved in today's IC product chips by embedding the metal lines and metal vias (e.g., conductive features) in a dielectric material having a dielectric constant of less than silicon dioxide.
In current technologies, physical vapor deposited (PVD) Ta(N) and PVD Cu seed layers are used as a Cu diffusion barrier and plating seed, respectively, for advanced interconnect applications. However, with decreasing critical dimension CD, it is expected that PVD based deposition techniques will run into conformality and step coverage issues. These, in turn, will lead to fill issues at plating such as, for example, center and edge voids, which cause reliability concerns and yield degradation. One way to avoid this potential issue is to reduce the overall thickness of PVD deposited material, and utilizes a single layer of liner material as both the diffusion barrier and the plating seed layer.
Another way to avoid this potential issue is the use of chemical vapor deposition (CVD) or atomic layer deposition (ALD) technologies which results in better step coverage and conformality than the one from a PVD deposition process. CVD/ALD deposited Ru and Ir have the potential of replacing current PVD based barrier/plating seed layers for advanced interconnect applications.
However, an issue that exists for the direct plating of Cu on Ru (or another like noble metal, i.e., a metal from Group VIIIA of the Periodic Table of Elements) is the tendency of the surface to oxidize on exposure to air which results in an increased electrical conductivity, possibly a decrease in the uniformity of the electrical conductivity across a wafer, and possibly adhesion. The noble metal surface oxidation leads to problems in subsequent Cu electroplating process. Apart from the extremely poor fill of patterned structures, insufficient adhesion of Cu to a surface oxide poses electromigration and stress reliability concerns. Known solutions involve the use of processes such as forming gas annealing to reduce the surface oxide before plating. Drawbacks of these prior art techniques include, for example: 1) a time window (Q time) exists within which reduced wafers have to be plated before the surface oxide grows again, and 2) increased manufacturing cost due to require tooling for the reducing process, and increased raw process time.
U.S. Pat. No. 5,486,262 to Datta et al., U.S. Pat. No. 6,432,821. to Dubin et al., and U.S. Pat. No. 6,881,318 to Hey et al. are some prior art examples describing the direct plating of Cu onto a noble metal. Although such examples of direct plating exist, these prior art direct plating processes also suffer the above mentioned surface oxidation problem.
In view of the surface oxidation problem mentioned above for prior art direct plating methods, there is a continued need to provide a direct plating method that can be used for fabricating interconnect structures where the surface oxidation of the noble metal seed layer has been substantially reduced and/or eliminated.
SUMMARY OF THE INVENTION
The present invention provides an interconnect structure of the single or dual damascene type and a method of forming the same, which substantially reduces or eliminates the surface oxidation problem that is exhibited by prior art interconnect structures where a noble metal seed layer has been employed. In accordance with the present invention, this objective is achieved by utilizing a hydrogen plasma treatment process which is performed on the surface of the noble metal seed layer prior to deposition of Cu or another like interconnect conductive material. The method of the present invention can reduce the surface carbon of the noble metal seed layer to about 2 atomic percent or less, similarly, the surface nitrogen content is about 3 atomic percent or less. Also, the surface concentration of oxygen is less than about 3 atomic percent.
It is noted that the method of the present invention significantly reduces the surface carbon content in the noble metal seed layer. It is also noted that many CVD and ALD processes will not give a very pure metal. The residual carbonaceous material on the surface is prone to be oxidized and chemically change upon exposure to the atmosphere, which as a result will make the noble metal seed layer have a very different surface chemistry, such as direct palatability.
In broad terms, the invention provides a semiconductor structure comprising a film stack including an oxidation-resistant noble metal seed layer sandwiched between a substrate and a conductive metal-containing material.
In more specific terms, an interconnect structure is provided that comprises: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; an oxidation-resistant noble metal seed layer located on said diffusion barrier; and an interconnect conductive material located within the at least one opening.
The present invention contemplates closed-via bottom structures, open-via bottom structures and anchored-via bottom structures.
In a preferred embodiment of the present invention, a Cu interconnect structure is provided that includes: a dielectric material including at least one opening therein; a diffusion barrier located within said at least one opening; an oxidation-resistant noble metal seed layer located on said diffusion barrier; and a Cu interconnect metal located within the at least one opening.
In addition to providing the aforementioned interconnect structures, the present invention also provides a method of fabricating the same. In general terms, the method of the present invention includes: forming at least one opening in a dielectric material; forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening; forming an oxidation-resistant seed layer on said diffusion barrier; and forming an interconnect conductive material within said at least one opening.
In broader terms, the present invention provides a method that includes forming a noble metal seed layer on a surface of a substrate; treating said noble metal seed layer in a hydrogen plasma to provide an oxidation-resistant noble metal seed layer; and forming a conductive material on said oxidation-resistant noble metal seed layer.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a pictorial representation (through a cross sectional view) illustrating an interconnect structure through initial stages of the inventive method wherein at least one opening is provided in a dielectric material.
FIG. 2 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 1 after formation of a diffusion barrier inside the at least the one opening.
FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 2 after formation of a noble metal seed layer.
FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 3 after subjecting said noble metal seed layer to a hydrogen plasma treatment process.
FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the interconnect structure of FIG. 4 after formation of a conductive material within the at least one opening and subsequent planarization. In the illustrated structure, a closed-via bottom is illustrated on the right hand side.
FIGS. 6A and 6B are pictorial representations (through cross sectional views) depicting alternative interconnect structure that can be formed utilizing the method of the present invention; FIG. 6A includes an interconnect structure with an open-via bottom structure, while FIG. 6B includes an interconnect structure with an anchored-via bottom structure.
DETAILED DESCRIPTION OF THE PRESENT INVENTION
The present invention, which provides an interconnect structure including an oxidation-resistant noble metal seed layer and a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. The drawings of the present application, which are referred to herein below in greater detail, are provided for illustrative purposes and, as such, they are not drawn to scale.
The process flow of the present invention begins with providing the initial interconnect structure 10 shown in FIG. 1. Specifically, the initial interconnect structure 10 shown in FIG. 1 comprises a multilevel interconnect including a lower interconnect level 12 and an upper interconnect level 16 that are separated in part by dielectric capping layer 14. The lower interconnect level 12, which may be located above a semiconductor substrate including one or more semiconductor devices, comprises a first dielectric material 18 having at least one conductive feature (i.e., conductive region) 20 that is separated from the first dielectric material 18 by a barrier layer 22. The upper interconnect level 16 comprises a second dielectric material 24 that has at least one opening located therein. In FIG. 1, two openings are shown; reference number 26 denotes a line opening for a single damascene structure, and reference numeral 28A and 28B denote a via opening and a line opening, respectively for a dual damascene structure. Although FIG. 1 illustrates a separate line opening and an opening for a via and a line, the present invention also contemplates cases in which only the line opening is present or cases in which the opening for the combined via and line is present.
The initial interconnect structure 10 shown in FIG. 1 is made utilizing standard interconnect processing which is well known in the art. For example, the initial interconnect structure 10 can be formed by first applying the first dielectric material 18 to a surface of a substrate (not shown). The substrate, which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof. When the substrate is comprised of a semiconducting material, any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used. In addition to these listed types of semiconducting materials, the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
When the substrate is an insulating material, the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers. When the substrate is a conducting material, the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers. When the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
The first dielectric material 18 of the lower interconnect level 12 may comprise any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics. The first dielectric material 18 may be porous or non-porous. Some examples of suitable dielectrics that can be used as the first dielectric material 18 include, but are not limited to: SiO2, silsequioxanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof. The term “polyarylene” is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
The first dielectric material 18 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic crosstalk as compared with dielectric materials that have a higher dielectric constant than 4.0. The thickness of the first dielectric material 18 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the lower interconnect level 12. Typically, and for normal interconnect structures, the first dielectric material 18 has a thickness from about 200 to about 450 nm.
The lower interconnect level 12 also has at least one conductive feature 20 that is embedded in (i.e., located within) the first dielectric material 18. The conductive feature 20 comprises a conductive region that is separated from the first dielectric material 18 by a barrier layer 22. The conductive feature 20 is formed by lithography (i.e., applying a photoresist to the surface of the first dielectric material 18, exposing the photoresist to a desired pattern of radiation, and developing the exposed resist utilizing a conventional resist developer), etching (dry etching or wet etching) an opening in the first dielectric material 18 and filling the etched region with the barrier layer 22 and then with a conductive material forming the conductive region. The barrier layer 22, which may comprise Ta, TaN, Ti, TiN, Ru, RuN, W, WN or any other material that can serve as a barrier to prevent conductive material from diffusing there through, is formed by a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
The thickness of the barrier layer 22 may vary depending on the exact means of the deposition process as well as the material employed. Typically, the barrier layer 22 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
Following the barrier layer 22 formation, the remaining region of the opening within the first dielectric material 18 is filled with a conductive material forming the conductive feature 20. The conductive material used in forming the conductive feature 20 includes, for example, polySi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal silicide or combinations thereof Preferably, the conductive material that is used in forming the conductive feature 20 is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention. The conductive material is filled into the remaining opening in the first dielectric material 18 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating. After deposition, a conventional planarization process such as, for example, chemical mechanical polishing (CMP) can be used to provide a structure in which the barrier layer 22 and the conductive feature 20 each have an upper surface that is substantially coplanar with the upper surface of the first dielectric material 18.
Although not specifically illustrated, the inventive method described herein below (including noble metal seed layer deposition followed by a H2 plasma process) can be used to provide the conductive feature 20, which includes an oxidation-resistant noble metal seed layer between the conductive feature 20 and the barrier layer 22. In such an embodiment, polysilicon is not used as the conductive material.
After forming the at least one conductive feature 20, the dielectric capping layer 14 is formed on the surface of the lower interconnect level 12 utilizing a conventional deposition process such as, for example, CVD, PECVO, chemical solution deposition, or evaporation. The dielectric capping layer 14 comprises any suitable dielectric capping material such as, for example, SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N,H) or multilayers thereof. The thickness of the capping layer 14 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 14 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
Next, the upper interconnect level 16 is formed by applying the second dielectric material 24 to the upper exposed surface of the capping layer 14. The second dielectric material 24 may comprise the same or different, preferably the same, dielectric material as that of the first dielectric material 18 of the lower interconnect level 12. The processing techniques and thickness ranges for the first dielectric material 18 are also applicable here for the second dielectric material 24. Next, at least one opening is formed into the second dielectric material 24 utilizing lithography, as described above, and etching. The etching may comprise a dry etching process, a wet chemical etching process or a combination thereof. The term “dry etching” is used herein to denote an etching technique such as reactive-ion etching, ion beam etching, plasma etching or laser ablation. In FIG. 1, two openings are shown; reference number 26 denotes a line opening for a single damascene structure, and reference numeral 28A and 28B denote a via opening and a line opening, respectively for a dual damascene structure. It is again emphasized that the present invention contemplates structures including only opening 26 or openings 28A and 28B.
In the instances when a via opening 28A and a line opening 28B are formed, the etching step also removes a portion of the dielectric capping layer 14 that is located atop the conductive feature 20 in order to make electrical contact between interconnect level 12 and level 16.
Next, a diffusion barrier 30 having Cu diffusion barrier properties is provided by forming the diffusion barrier 30 on exposed surfaces (including wall surfaces within the opening) on the second dielectric material 24. The resultant structure is shown, for example, in FIG. 2. The diffusion barrier 30 comprises a same or different material as that of barrier layer 22. Thus, diffusion barrier 30 may comprise Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaNs, W, WN or any other material that can serve as a barrier to prevent a conductive material from diffusing there through. Combinations of these materials are also contemplated forming a multilayered stacked diffusion barrier. The diffusion barrier 30 is formed utilizing a deposition process such as, for example, atomic layer deposition (ALD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD), sputtering, chemical solution deposition, or plating.
The thickness of the diffusion barrier 30 may vary depending on the number of material layers within the barrier, the technique used in forming the same as well as the material of the diffusion barrier itself Typically, the diffusion barrier 30 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being even more typical.
FIG. 3 shows the structure of FIG. 2 after formation of noble metal seed layer 32 atop the diffusion barrier 30. The noble metal seed layer 32 is comprised of a metal or metal alloy from Group VIIIA of the Periodic Table of Elements. Examples of suitable Group VIIIA elements for the noble metal seed layer include, but are not limited to: Ru, Ir, Rh, Pt, Pd and alloys thereof. In some embodiments, it is preferred to use Ru, Ir or Rh as the noble metal seed layer 32.
The noble metal seed layer 32 is formed by a conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), plating, sputtering and physical vapor deposition (PVP). The thickness of the noble metal seed layer 32 may vary depending on number of factors including, for example, the compositional material of the noble metal seed layer 32 and the technique that was used in forming the same. Typically, the noble metal seed layer 32 has a thickness from about 0.5 to about 10 nm, with a thickness of less than 6 nm being even more typical.
FIG. 4 shows the resultant structure formed after subjecting the noble metal seed layer 32 to a hydrogen (H2) plasma treatment process, which forms an oxidation-resistant noble metal seed surface region 34 on layer 32. It is noted that the noble metal seed layer 32 together with the oxidation-resistant noble metal seed surface region 34 form the inventive oxidation-resistant noble metal seed layer. The H2 plasma process includes providing a plasma of hydrogen, H2, using a hydrogen source such as, for example, molecular or, more preferably, atomic hydrogen. The hydrogen plasma is a neutral, highly ionized hydrogen gas that consists of neutral atoms or molecules, positive ions and free electrons. Ionization of the hydrogen source is typically carried out in a reactor chamber in which the ionization process is achieved by subjecting the source to strong DC or AC electromagnetic fields. Alternatively, the ionization of the hydrogen source is performed by bombarding the gate atoms with an appropriate electron source. In accordance with a preferred embodiment of the present invention, the hydrogen plasma process used to provide the oxidation-resistant noble metal seed surface region 34 is performed at a temperature of from about 20° to about 200° . Other temperatures can also be used as long as the temperature of the H2 plasma process provides an oxidation-resistant noble metal seed surface region 34.
The term “oxidation-resistant noble metal seed layer” is used throughout the present application to denote a seed layer that contains a noble metal or an alloy of a noble metal wherein a surface oxide does not form thereon during subsequent expose to air. It is again emphasized that surface region 34 and layer 32 form the inventive oxidation-resistant noble metal seed layer. As compared to a conventional noble metal surface without receiving the claimed method for surface treatment, the present invention can reduce the surface carbon of the noble metal to about 2 atomic percent or less, similarly, the surface nitrogen content is about 3 atomic percent or less. Also, the surface concentration of oxygen is less than about 3 atomic percent.
FIG. 5 shows the structure after forming an interconnect conductive material 38 within the at least one opening. The structure shown in FIG. 5 represents one possible embodiment of the present invention, while the structures shown in FIGS. 6A and 6B represent other possible embodiments of the present invention. In FIG. 5, a closed-via bottom structure is shown. In FIG. 6A, the interconnect conductive material 38 is formed within an open-via bottom structure. The open-via structure is formed by removing the diffusion barrier from the bottom of via 28A utilizing ion bombardment or another like directional etching process prior to deposition of the other elements. In FIG. 6B, an anchored-via bottom structure is shown. The anchored-via bottom structure is formed by first etching a recess into the conductive feature 20 utilizing a selective etching process. The diffusion barrier 30 is then formed and it is selectively removed from the bottom portion of the via and recess by utilizing one of the above-mentioned techniques. The other elements, i.e., oxidation-resistant noble metal seed layer (i.e., surface region 34 and layer 32) and conductive material 38, are then formed within the opening as described herein.
In each of the illustrated structures, the interconnect conductive material 38 may comprise the same or different, preferably the same, conductive material (with the proviso that the conductive material is not polysilicon) as that of the conductive feature 20. Preferably, Cu, Al, W or alloys thereof are used, with Cu or AlCu being most preferred. The conductive material 38 is formed utilizing the same deposition processing as described above in forming the conductive feature 20 and following deposition of the conductive material, the structure is subjected to planarization. The planarization process removes the diffusion barrier 30, the plating seed layer 32, oxidation-resistant noble metal seed layer 34, and conductive material 38 that is present above the upper horizontal surface of the upper interconnect level 16.
The method of the present application is applicable in forming such oxidation-resistant seed layer in any one or all of the interconnect levels of an interconnect structure. The same basic processing steps can be used to form other semiconductor structures, such as, for example, a field effect transistor, in which the oxidation-resistant metal seed layer is present.
The following example is provided to illustrate the broad concept of the present invention and to illustrate some advantages that are obtained therefrom.
EXAMPLE
Two copper-capped ruthenium films were analyzed by Secondary Ion Mass Spectrometry (SIMS), an analytical method to measure impurities such as carbon. One film was capped with copper without treatment (representative of the prior art); and the second was exposed to a H2 plasma (representative of the present invention) before copper capping. The H2 plasma treatment included a certain amount of N2, e.g., from 0% to about 85%. Capping with a physical-vapor deposition copper film after a controlled time was done to seal any contaminants out of the ruthenium surface. So capped, the ruthenium and ruthenium surface would be representative of a fresh sample, i.e., representing the impurities of the film in the air-exposure time scale as such a film would be processed in a microelectronic manufacturing environment.
SIMS (secondary ion mass spectroscopy) shows that the plasma treatment significantly lowers the carbon content of the ruthenium film (in the bulk of the Ru as well as the film surface.). No change in hydrogen in the copper or in the ruthenium was observed. This shows no need to evaluate any effects of residual hydrogen. Also, only a slight change in the oxygen content of films were observed; one profile showed a slight lessening of signal at the top surface of the Ru. However, any lessening, or changing of bonding state from chemical reduction, may be a potential, and expected, benefit of the present invention, or may be a benefit for other film types.
The above data clearly shows that the method of the present invention significantly cleans the ruthenium film. Getting rid of impurities such as carbon, particularly near the surface, is expected to improve the ability to plate films such as copper on the film; to improve consistency in a subsequent chemical-mechanical polish.
While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (16)

1. A method of forming an interconnect structure comprising:
forming at least one opening in a dielectric material;
forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening;
forming an oxidation-resistant noble metal seed layer on said diffusion barrier, said oxidation-resistant noble metal seed layer comprises a noble metal seed layer having an upper surface region that is resistant to oxidation; and
forming an interconnect conductive material within said at least one opening atop said oxidation-resistant noble metal seed layer.
2. The method of forming an interconnect structure according to claim 1 wherein said forming said at least one opening comprises lithography and etching one of a line opening, a via opening and a line opening or a combination of said openings.
3. The method of forming an interconnect structure according to claim 1 wherein said forming said oxidation-resistant noble metal seed layer comprises depositing a layer of a noble metal or noble metal alloy and subjecting said layer to a H2 plasma treatment.
4. The method of forming an interconnect structure according to claim 3 wherein said H2 plasma treatment comprises from about 0 to about 85% N2.
5. The method of forming an interconnect structure according to claim 1 further comprising removing a portion of said diffusion barrier within a bottom portion of said at least one opening which is in contact with at underlying conductive feature, said removing of said portion of said diffusion barrier is performed prior to forming said oxidation-resistant noble metal seed layer.
6. The method of forming an interconnect structure according to claim 1 further comprising removing a portion of a conductive feature located beneath and in contact with said at least one opening to provide a recessed area within said conductive feature prior to forming said diffusion barrier and removing said diffusion barrier in said recessed area prior to forming said oxidation-resistant noble metal seed layer within said at least one opening.
7. A method of comprising:
forming a noble metal seed layer on a surface of a substrate;
treating said noble metal seed layer in a hydrogen plasma to provide an oxidation-resistant noble metal seed layer, said oxidation-resistant noble metal seed layer comprises a noble metal seed layer having an upper surface region that is resistant to oxidation; and
forming a conductive material on said noble metal seed layer.
8. A method of forming an interconnect structure comprising:
forming at least one opening in a dielectric material;
forming a diffusion barrier on exposed wall portions of said dielectric material within said at least one opening;
forming an oxidation-resistant noble metal seed layer on said diffusion barrier, said forming said oxidation-resistant noble metal seed layer comprises depositing a layer of a noble metal or noble metal alloy and subjecting said layer to a H2 plasma treatment wherein said H2 plasma treatment comprises from about 0 to about 85% N2; and
forming an interconnect conductive material within said at least one opening atop said oxidation-resistant noble metal seed layer.
9. The method of forming an interconnect structure according to claim 1 wherein said upper surface region has a carbon content of about 2 atomic percent or less.
10. The method of forming an interconnect structure according to claim 1 wherein said upper surface region has a nitrogen content of about 3 atomic percent or less.
11. The method of forming an interconnect structure according to claim 1 wherein said oxidation-resistant noble metal seed layer comprises a metal or metal alloy from Group VIIIA of the Periodic Table of Elements.
12. The method of forming an interconnect structure according to claim 11 wherein said oxidation-resistant noble metal seed layer comprises Ru, Ir, or Rh.
13. The method of claim 7 wherein said upper surface region has a carbon content of about 2 atomic percent or less.
14. The method of claim 7 wherein said upper surface region has a nitrogen content of about 3 atomic percent or less.
15. The method of claim 7 wherein said oxidation-resistant noble metal seed layer comprises a metal or metal alloy from Group VIIIA of the Periodic Table of Elements.
16. The method of claim 15 wherein said oxidation-resistant noble metal seed layer comprises Ru, Ir, or Rh.
US11/839,260 2006-03-15 2007-08-15 Formation of oxidation-resistant seed layer for interconnect applications Expired - Fee Related US7585765B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/839,260 US7585765B2 (en) 2006-03-15 2007-08-15 Formation of oxidation-resistant seed layer for interconnect applications

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/308,284 US7276796B1 (en) 2006-03-15 2006-03-15 Formation of oxidation-resistant seed layer for interconnect applications
US11/839,260 US7585765B2 (en) 2006-03-15 2007-08-15 Formation of oxidation-resistant seed layer for interconnect applications

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/308,284 Division US7276796B1 (en) 2006-03-15 2006-03-15 Formation of oxidation-resistant seed layer for interconnect applications

Publications (2)

Publication Number Publication Date
US20070275557A1 US20070275557A1 (en) 2007-11-29
US7585765B2 true US7585765B2 (en) 2009-09-08

Family

ID=38516962

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/308,284 Active US7276796B1 (en) 2006-03-15 2006-03-15 Formation of oxidation-resistant seed layer for interconnect applications
US11/839,260 Expired - Fee Related US7585765B2 (en) 2006-03-15 2007-08-15 Formation of oxidation-resistant seed layer for interconnect applications

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/308,284 Active US7276796B1 (en) 2006-03-15 2006-03-15 Formation of oxidation-resistant seed layer for interconnect applications

Country Status (3)

Country Link
US (2) US7276796B1 (en)
JP (1) JP2007251164A (en)
CN (1) CN100495698C (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080299772A1 (en) * 2007-06-04 2008-12-04 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
US20090289365A1 (en) * 2008-05-21 2009-11-26 International Business Machines Corporation Structure and process for conductive contact integration
US20100038788A1 (en) * 2006-12-28 2010-02-18 Hynix Semiconductor Inc. Multi-layered metal line of semiconductor device for preventing diffusion between metal lines and method for forming the same
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US20170029942A1 (en) * 2011-11-09 2017-02-02 Tokyo Electron Limited Pretreatment method, graphene forming method and graphene fabrication apparatus
WO2017106828A1 (en) * 2015-12-18 2017-06-22 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US10734309B2 (en) 2014-11-03 2020-08-04 Samsung Electronics Co., Ltd. Semiconductor device having a trench with a convexed shaped metal wire formed therein
US11091836B2 (en) 2017-09-20 2021-08-17 Tokyo Electronics Limited Graphene structure forming method and graphene structure forming apparatus

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7122898B1 (en) * 2005-05-09 2006-10-17 International Business Machines Corporation Electrical programmable metal resistor
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
JP2007258390A (en) * 2006-03-23 2007-10-04 Sony Corp Semiconductor device and manufacturing method therefor
JP2008300652A (en) * 2007-05-31 2008-12-11 Toshiba Corp Method for manufacturing semiconductor device
US7867895B2 (en) * 2007-09-20 2011-01-11 International Business Machines Corporation Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
JP5285898B2 (en) * 2007-12-17 2013-09-11 Jx日鉱日石金属株式会社 Barrier film for preventing copper diffusion, method for forming the same, method for forming seed layer for damascene copper wiring, and semiconductor wafer provided with damascene copper wiring
KR100924865B1 (en) * 2007-12-27 2009-11-02 주식회사 동부하이텍 Method for forming metal interconnection layer of seniconductor device
US8004082B2 (en) 2008-03-19 2011-08-23 Nippon Mining & Metals Co., Ltd. Electronic component formed with barrier-seed layer on base material
WO2009116347A1 (en) * 2008-03-19 2009-09-24 日鉱金属株式会社 Electronic member wherein barrier-seed layer is formed on base
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
KR100978859B1 (en) * 2008-07-11 2010-08-31 피에스케이 주식회사 Apparatus for generating hollow cathode plasma and apparatus for treating a large area substrate by hollow cathode plasma
KR101046335B1 (en) * 2008-07-29 2011-07-05 피에스케이 주식회사 Hollow cathode plasma generation method and large area substrate processing method using hollow cathode plasma
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US7928570B2 (en) * 2009-04-16 2011-04-19 International Business Machines Corporation Interconnect structure
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8242600B2 (en) * 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US20120182109A1 (en) * 2010-03-31 2012-07-19 Nitto Denko Corporation Permanent magnet and manufacturing method thereof
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
CN102437144A (en) * 2011-12-06 2012-05-02 西安交通大学 Ruthenium (Ru)-ruthenium oxide(RuO)/ ruthenium(Ru)-germanium(Ge)-copper(Cu) self-formed double-layer amorphous diffusion barrier layer and preparation method thereof
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
TW201421637A (en) * 2012-11-30 2014-06-01 Ind Tech Res Inst A barrier structure using self-forming barrier and a damascene structure using the same
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US8980746B2 (en) * 2013-08-13 2015-03-17 Lam Research Corporation Adhesion layer for through silicon via metallization
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9793212B2 (en) * 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
CN108886028A (en) * 2016-03-25 2018-11-23 日立化成株式会社 The manufacturing method of organic insertion body and organic insertion body
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation Metal fill process for three-dimensional vertical nand wordline
JP2021523292A (en) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation How to deposit tungsten and other metals in a 3D NAND structure
CN110137135A (en) * 2019-05-30 2019-08-16 上海华虹宏力半导体制造有限公司 The method for forming conductive layer

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5486282A (en) 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US20040084773A1 (en) * 2002-10-31 2004-05-06 Johnston Steven W. Forming a copper diffusion barrier
US6849122B1 (en) 2001-01-19 2005-02-01 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7187085B2 (en) * 2001-01-31 2007-03-06 International Business Machines Corporation Semiconductor device including dual damascene interconnections
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US20070246792A1 (en) * 2006-04-25 2007-10-25 Chih-Chao Yang Method for fabricating back end of the line structures with liner and seed materials

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11283979A (en) * 1998-03-27 1999-10-15 Sony Corp Manufacture of semiconductor device
JP2000294518A (en) * 1998-03-30 2000-10-20 Sony Corp Manufacture of semiconductor device
JP2000208627A (en) * 1999-01-19 2000-07-28 Hitachi Ltd Production of semiconductor device
JP2005072384A (en) * 2003-08-26 2005-03-17 Matsushita Electric Ind Co Ltd Method for manufacturing electronic device

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5486282A (en) 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6849122B1 (en) 2001-01-19 2005-02-01 Novellus Systems, Inc. Thin layer metal chemical vapor deposition
US7187085B2 (en) * 2001-01-31 2007-03-06 International Business Machines Corporation Semiconductor device including dual damascene interconnections
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
US20040084773A1 (en) * 2002-10-31 2004-05-06 Johnston Steven W. Forming a copper diffusion barrier
US7276796B1 (en) * 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US20070246792A1 (en) * 2006-04-25 2007-10-25 Chih-Chao Yang Method for fabricating back end of the line structures with liner and seed materials
US20080242082A1 (en) * 2006-04-25 2008-10-02 Chih-Chao Yang Method for fabricating back end of the line structures with liner and seed materials

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100038788A1 (en) * 2006-12-28 2010-02-18 Hynix Semiconductor Inc. Multi-layered metal line of semiconductor device for preventing diffusion between metal lines and method for forming the same
US7872351B2 (en) * 2006-12-28 2011-01-18 Hynix Semiconductor Inc. Multi-layered metal line of semiconductor device for preventing diffusion between metal lines and method for forming the same
US20080299772A1 (en) * 2007-06-04 2008-12-04 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
US20120056325A1 (en) * 2007-06-04 2012-03-08 Hyungsuk Alexander Yoon Methods of fabricating electronic devices using direct copper plating
US20090289365A1 (en) * 2008-05-21 2009-11-26 International Business Machines Corporation Structure and process for conductive contact integration
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US9343407B2 (en) 2011-02-11 2016-05-17 Globalfoundries Inc. Method to fabricate copper wiring structures and structures formed thereby
US20170029942A1 (en) * 2011-11-09 2017-02-02 Tokyo Electron Limited Pretreatment method, graphene forming method and graphene fabrication apparatus
US10734309B2 (en) 2014-11-03 2020-08-04 Samsung Electronics Co., Ltd. Semiconductor device having a trench with a convexed shaped metal wire formed therein
WO2017106828A1 (en) * 2015-12-18 2017-06-22 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US10008450B2 (en) 2015-12-18 2018-06-26 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US10665543B2 (en) 2015-12-18 2020-05-26 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
US11091836B2 (en) 2017-09-20 2021-08-17 Tokyo Electronics Limited Graphene structure forming method and graphene structure forming apparatus

Also Published As

Publication number Publication date
CN100495698C (en) 2009-06-03
US20070275557A1 (en) 2007-11-29
CN101038907A (en) 2007-09-19
JP2007251164A (en) 2007-09-27
US20070216031A1 (en) 2007-09-20
US7276796B1 (en) 2007-10-02

Similar Documents

Publication Publication Date Title
US7585765B2 (en) Formation of oxidation-resistant seed layer for interconnect applications
US7998864B2 (en) Noble metal cap for interconnect structures
US7956463B2 (en) Large grain size conductive structure for narrow interconnect openings
US8003524B2 (en) Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7348648B2 (en) Interconnect structure with a barrier-redundancy feature
US8288276B2 (en) Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US20070259519A1 (en) Interconnect metallization process with 100% or greater step coverage
US20100148366A1 (en) Grain growth promotion layer for semiconductor interconnect structures
US20090200668A1 (en) Interconnect structure with high leakage resistance
US8889546B2 (en) Discontinuous/non-uniform metal cap structure and process for interconnect integration
WO2011084667A2 (en) Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
US9875966B1 (en) Method and structure of forming low resistance interconnects
US10037913B2 (en) Interconnect structures with enhanced electromigration resistance
US7687877B2 (en) Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: AURIGA INNOVATIONS, INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:041777/0233

Effective date: 20161207

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210908