US8129029B2 - Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating - Google Patents

Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating Download PDF

Info

Publication number
US8129029B2
US8129029B2 US12/004,907 US490707A US8129029B2 US 8129029 B2 US8129029 B2 US 8129029B2 US 490707 A US490707 A US 490707A US 8129029 B2 US8129029 B2 US 8129029B2
Authority
US
United States
Prior art keywords
metal
oxide
yttrium
oxide coating
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/004,907
Other versions
US20090162647A1 (en
Inventor
Jennifer Y. Sun
Li Xu
Kenneth S. Collins
Thomas Graves
Ren-Guan Duan
Senh Thach
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/004,907 priority Critical patent/US8129029B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COLLINS, KENNETH S, SUN, JENNIFER Y, THACH, SENH, XU, LI, DUAN, REN-GUAN, GRAVES, THOMAS
Priority to CN2008801220603A priority patent/CN101903558B/en
Priority to JP2010539435A priority patent/JP5408827B2/en
Priority to CN201210163630.1A priority patent/CN102732857B/en
Priority to PCT/US2008/013589 priority patent/WO2009085117A2/en
Priority to KR1020107016350A priority patent/KR101289815B1/en
Priority to TW097149445A priority patent/TWI461572B/en
Publication of US20090162647A1 publication Critical patent/US20090162647A1/en
Priority to US13/374,980 priority patent/US8758858B2/en
Publication of US8129029B2 publication Critical patent/US8129029B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Definitions

  • Embodiments of the present invention relate to an erosion-resistant semiconductor processing component which includes an yttrium metal substrate. A method of fabricating such a component is also described.
  • Corrosion (including erosion) resistance is a critical property for apparatus components and liners used in semiconductor processing chambers, where corrosive environments are present. Although corrosive plasmas are present in the majority of semiconductor processing environments, including plasma enhanced chemical vapor deposition (PECVD) and physical vapor deposition (PVD), the most corrosive plasma environments are those used for cleaning of processing apparatus and those used to etch semiconductor substrates. This is especially true where high-energy plasma is present and combined with chemical reactivity to act upon the surface of components present in the environment.
  • PECVD plasma enhanced chemical vapor deposition
  • PVD physical vapor deposition
  • Process chamber liners and component apparatus present within the processing chambers used to fabricate electronic devices and micro-electro-mechanical systems (MEMS) are frequently constructed from aluminum and aluminum alloys. Surfaces of the process chamber and component apparatus (present within the chamber) are frequently anodized to provide a degree of protection from the corrosive environment. However, the integrity of the anodization layer may be deteriorated by impurities in the aluminum or aluminum alloy, so that corrosion begins to occur early, shortening the life span of the protective coating.
  • the plasma resistance properties of aluminum oxide are not positive in comparison with some other ceramic materials. As a result, ceramic coatings of various compositions have been used in place of the aluminum oxide layer mentioned above; and, in some instances, have been used over the surface of the anodized layer to improve the protection of the underlying aluminum-based materials.
  • Yttrium oxide is a ceramic material which has shown considerable promise in the protection of aluminum and aluminum alloy surfaces which are exposed to halogen-containing plasmas of the kind used in the fabrication of semiconductor devices.
  • An yttrium oxide coating has been used and applied over an anodized surface of a high purity aluminum alloy process chamber surface, or a process component surface, to produce excellent corrosion protection (e.g. U.S. Pat. No. 6,777,873 to Sun et al., mentioned above).
  • the protective coating may be applied using a method such as spray coating, physical vapor deposition (PVD) or chemical vapor deposition (CVD) by way of example.
  • the substrate base material of the chamber wall or liner, of an apparatus component may be a ceramic material (Al 2 O 3 , SiO 2 , AlN, etc.), may be aluminum, or stainless steel, or may be another metal or metal alloy. Any of these may have a sprayed film over the base material.
  • the film may be made of a compound of a III-B element of the periodic table, such as Y 2 O 3
  • the film may substantially comprise Al 2 O 3 and Y 2 O 3 .
  • a sprayed film of yttrium-aluminum-garnet (YAG) has also been mentioned. Examples of a sprayed film thickness range from 50 ⁇ m to 300 ⁇ m.
  • FIG. 1 is a graph 100 showing the processing time at temperature during the conversion of an upper portion of a metal yttrium substrate to yttrium oxide (Y 2 O 3 ).
  • FIG. 2 is a photomicrograph 200 showing the yttrium metal substrate 201 with an overlying yttrium oxide protective layer 202 .
  • FIGS. 3A and 3B show the difference between the rough surface of a prior art yttrium oxide coating which was created by plasma spraying ( FIG. 3A ) and the smooth surface of a yttrium oxide coating grown by the thermal oxidation method of the present invention ( FIG. 3B ).
  • FIGS. 4A through 4E show various aspects of the growth of a protective yttrium oxide coating over the surface of a metal yttrium substrate, in areas which have been machined to form openings through the metal yttrium substrate.
  • FIG. 4A shows an optical micrograph image of the upper surface of a yttrium metal test coupon with two openings machined through the test coupon. One opening was drilled to provide a diameter of about 2 mm. A second opening was drilled to provide a diameter of about 1 mm.
  • FIG. 4B shows the optical micrograph image of the upper surface of the test coupon shown in FIG. 4A , but after thermal oxidation of the surface of the yttrium metal to produce a yttrium oxide protective layer.
  • FIG. 4C shows an enlargement of the 1 mm diameter opening 402 after the thermal oxidation process, which is illustrated in FIG. 4B .
  • FIG. 4D shows a cross-sectional, side view, photomicrograph of the counter sunk drilled opening 402 shown in FIG. 4C , to illustrate the continuous, uniform yttrium oxide coating 412 which was produced all the way from the counter sunk upper opening area 436 , down through the lower section 432 of the opening 402 .
  • FIG. 4E shows the same image of the interface 203 of the yttrium oxide coating 202 with the yttrium metal substrate 201 which was shown in FIG. 2 , and also is marked to illustrate the rough surface 426 of the yttrium metal surface, as well as the smooth surface 424 of the yttrium oxide coating.
  • FIG. 5A shows a photomicrograph 500 of a side view cross-sectional image of an area of the yttrium metal substrate 502 , with interface 501 and yttrium oxide coating 504 .
  • FIG. 5B shows a photomicrograph 510 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a greater distance than shown in FIG. 5A , to better illustrate the overall texture of the yttrium oxide coating.
  • FIG. 5C shows a photomicrograph 520 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a closer distance than shown in FIG. 5A , to better illustrate the columnar structure 522 of the yttrium oxide coating crystals in general.
  • FIG. 6 is a phase diagram 600 which shows yttrium aluminum alloys (compounds) and the composition of oxides which are formed from those alloys at a thermal oxidation temperature of about 525° C.
  • Embodiments of the present invention pertain to specialty yttrium metal and yttrium metal alloy substrates having an yttrium oxide-comprising coating formed on the metal surface by a thermal oxidation process.
  • Such materials can be used in processing environments of the kind encountered in the production of semiconductor and MEMS devices.
  • the metal yttrium and the yttrium oxide produced by thermal oxidation possess similar thermal expansion coefficients, compared with the base substrate metal, and this provides an improved interface between the coating and the underlying substrate. As a result, less stress is created between the metal yttrium and the yttrium oxide coating, and the performance lifetime of the component is increased.
  • the metal yttrium and the yttrium oxide produced by thermal oxidation also exhibit similar thermal conductivity, and that improves the temperature uniformity of the entire component during semiconductor and MEMS processing operations.
  • the yttrium oxide coating produced by the thermal oxidation process in accordance with the present invention is placed in compression by the underlying metal substrate, when the substrate is cooled after the thermal oxidation process. Due to this compression, the porosity of the oxide coating is reduced as the distance from the coating surface toward the underlying substrate increases. This is in combination with a strong interface which tends not to crack or create voids of the kind which occur when a yttrium oxide coating is spray coated over an aluminum substrate, for example.
  • a surprising advantage of the creation of a yttrium oxide coating by thermal oxidation is the ability to form a thicker coating than those which can be obtained by an anodization process, for example.
  • a coating thickness of about 225 ⁇ m or greater, for example, can be obtained by thermal oxidation of a yttrium metal substrate at 750° C. for a time period of as little as 15 minutes, depending on the doping content of the yttrium metal. This compares with a maximum anodized yttrium oxide coating of about 8 ⁇ m-9 ⁇ m.
  • Embodiments of the present invention enable an ability to form a thicker coating than those known in the prior art.
  • a coating having a thickness ranging from 1 ⁇ m to about 500 ⁇ m, for example, and typically from about 10 ⁇ m to about 400 ⁇ m depends on the temperature profile used during the thermal oxidation process.
  • an advantageous temperature profile is one where the yttrium metal (including metal alloys) is rapidly heated initially, to a desired maximum temperature, the substrate is held at the maximum temperature for a time period, and then the temperature of the substrate is gradually decreased until a point at which the formation rate of the yttrium oxide is essentially stopped.
  • This temperature profile is based on the discovery by the inventors that it is advantageous to have a large grain size of the yttrium oxide crystal at the top surface of the forming yttrium oxide coating, as this permits better oxygen movement into the yttrium metal substrate. Further, it is advantageous to have a small grain size of yttrium oxide crystal at the interface with the yttrium metal substrate, to provide stability at the interface.
  • the temperature profile during the thermal oxidation process is set to achieve a large grain size of the yttrium oxide crystal at the top surface of the forming yttrium oxide coating. In another embodiment, the temperature profile during the thermal oxidation process is set to provide a small grain size of yttrium oxide crystal at the interface with the yttrium metal substrate.
  • the yttrium metal substrate is rapidly heated (at a rate of about 25° C./min, for example and not by way of limitation) to a maximum temperature in the range of about 700° C. to about 1050° C.
  • the component part being fabricated is then held at the maximum temperature for a time period during which large grain crystals are grown. This time period ranges in length from about 1% to about 5% of the time period during which the smaller grain yttrium oxide crystals are grown.
  • the smaller crystals grow beneath the larger crystals, due to the mechanism of crystal formation, which is described subsequently herein.
  • the smaller grain crystals of yttrium oxide which form during the temperature decrease become increasingly smaller as the process temperature drops, until the temperature reaches about 400° C. At lower temperatures, the yttrium oxide crystal growth is extremely slow.
  • the surprising ability to form thick yttrium oxide coatings with an average thickness in the range of up to about 225 ⁇ m or greater, for example, may be attributed to the specialized grain boundary structure of the yttrium oxide crystals which are formed, as this provides a channel for oxygen penetration as the oxidation process continues.
  • the grain boundary diffusion can be adjusted to a faster oxygen diffusion path, and a given, desired yttrium oxide (yttria) layer thickness on a yttrium metal substrate can be obtained, where the thickness depends basically on thermal oxidation time.
  • yttria yttrium oxide
  • the grain diffusion (lattice/void) yttrium oxide growth rate is slow.
  • the grain diffusion rate (void diffusion) can be improved by optimizing the oxygen partial pressure in the thermal oxidation atmosphere, which leads to the formation of more oxygen vacancy in the yttria layer.
  • the amount of dopant added to the yttrium metal substrate or to an alloy of yttrium metal substrate is less than about 0.1 weight percent.
  • the amount of oxygen which is present in the oxidation ambient environment may range from about 5% by volume to about 25% by volume.
  • An oxidation ambient environment which works particularly well contains about 10% by volume oxygen.
  • the amount of oxygen which is present in the oxidation ambient environment may range from about 5% by volume to 100% by volume. Air, which is about 21% by volume oxygen works well.
  • the reaction rate of the oxygen with the metal to form an oxide is slowed. Further, once the oxidation process is completed, and the component is returned to room temperature, or while the component is under ambient semiconductor or MEMS processing conditions (at temperatures typically lower than about 400° C.) the yttrium oxide is under compression applied by the underlying yttrium metal substrate.
  • the yttrium oxide grain size in the area of the interface with the yttrium metal can be tailored so that the amount of compression is sufficient to substantially reduce (essentially prevent) semiconductor processing reactive species from traveling down the yttria crystal to the surface of the yttrium metal substrate. At the same time, the amount of compression is not so large that it causes a fracture or separation of the yttrium oxide from the surface of the yttrium metal substrate.
  • a specialty yttrium metal and yttrium metal alloy substrates having a yttrium oxide-comprising coating formed on the metal surface by a thermal oxidation process is created for use in processing environments of the kind encountered in the production of semiconductor and MEMS devices.
  • the metal yttrium and the yttrium oxide produced by thermal oxidation possess similar thermal expansion coefficients, which provides an improved interface between the coating and the underlying substrate.
  • the metal yttrium and the yttrium oxide produced by thermal oxidation exhibit similar thermal conductivity, and that improves the temperature uniformity of the entire component during semiconductor and MEMS processing operations. As a result, less stress is created between the metal yttrium and the yttrium oxide coating, and the performance lifetime of the component is increased.
  • Table One shows a property comparison of metal yttrium with other metal materials which have been used to fabricate semiconductor processing apparatus components.
  • One of skill in the art can, in view of this table, see the advantages and disadvantages of using metal yttrium relative to the other materials listed.
  • the yttrium metal is similar to a number of the other materials which are used as base substrate materials for semiconductor processing equipment.
  • the electrical resistivity of the yttrium metal is very high compared with aluminum or the aluminum alloy, but is better than HASTALLOY® C276, the Titanium alloy, and SST316.
  • the electrical resistivity of the yttrium oxide shown in Table Two can be reduced by adding a dopant material such as zirconium oxide, hafnium oxide, scandium oxide, niobium oxide, samarium oxide, ytterbium oxide, erbium oxide, cerium oxide, neodymium oxide, terbium oxide, dysprosium oxide, and combinations thereof to the yttrium metal prior to oxidation.
  • a dopant material such as zirconium oxide, hafnium oxide, scandium oxide, niobium oxide, samarium oxide, ytterbium oxide, erbium oxide, cerium oxide, neodymium oxide, terbium oxide, dysprosium oxide, and combinations thereof to the yttrium metal prior to oxidation.
  • Table Two provides a property comparison between metal yttrium and yttrium oxide. It is readily apparent that the difference in coefficient of linear expansion between the two materials is sufficient to place a yttrium oxide layer, which is on the surface of the metal yttrium, in compression. At the same time, it has been determined that this difference in coefficient of expansion does not cause problems at an interface between the two materials when a layer of yttrium oxide is thermally created upon the surface of an yttrium metal substrate.
  • metal yttrium When metal yttrium is converted to yttrium oxide by thermal oxidation, two yttrium metal cells (each of which contains 8 yttrium atoms, for a total of 16 yttrium atoms) transforms into one yttrium oxide cell (which comprises Y 2 O 3 and contains a total of 16 yttrium atoms and 24 oxygen atoms). While this is a volume expansion process, the expansion percentage is smaller than that of the transformation from aluminum to Al 2 O 3 . When 2 moles of metal yttrium are converted to 1 mole of Y 2 O 3 by thermal oxidation, the volume increases 5.140 cm 3 .
  • the Y 2 O 3 is in a state of compressed stress.
  • FIG. 1 is a graph 100 showing the processing time at temperature during the conversion of metal yttrium to yttrium oxide (Y 2 O 3 ) on the surface of a test specimen having dimensions of 1-4 inches (2.5 cm ⁇ 10.1 cm) ⁇ 1-4 inches (2.4 cm ⁇ 10.1 cm) ⁇ a thickness ranging from about 0.1 inch (0.25 cm) to about 0.25 inches (0.6 cm).
  • the test specimens were produced from a large bar stock of yttrium metal and were machined to the dimensions described above. Although the average surface roughness on the machined specimens was about 0.1 ⁇ m Ra, a surface roughness of up to 1.0 ⁇ m Ra has been used with good results.
  • the rate of oxide formation was relatively linear. By way of theory and not by way of limitation, it appears as if the oxygen atoms are moving along grain boundaries, progressing down the crystalline structure. In this manner, the oxygen continues to travel downward through the grain boundaries so that the growth is constantly from the top of the structure. This is distinct from an anodization process, for example, which appears to be diffusion limited, and to require that the chemical reactant diffuse down into the crystalline structure to react at the base of the previously-formed reacted material. In the case of anodization, the typical maximum thickness for an anodized layer is about 8 ⁇ m.
  • the substrate used in this Example One was a trace element doped yttrium metal, where the trace metal content was smaller than 0.1 weight %. (In general the amount of dopant added to the yttrium metal or yttrium metal alloy will be less than about 0.1 weight %.) In particular, 20 ppm of magnesium, 100 ppm of aluminum, 200 ppm of copper, and 500 ppm of calcium was added to pure yttrium metal to produce the substrate. Through this doping, the grain boundary structure of the yttrium oxide layer formed will be adjusted as an oxygen diffusion path, providing a high diffusion rate for oxygen from the surface of the oxide toward the interface between the oxide and the underlying metal substrate. The relationship between the oxide layer thickness and the thermal process time is a linear relationship.
  • the advantageous concentration ranges for these dopants are: magnesium 10 ppm by weight to 30 ppm by weight; aluminum 10 ppm by weight to 110 ppm by weight; copper 50 ppm by weight to 300 ppm by weight; and, calcium 10 ppm by weight to 800 ppm by weight.
  • the specimen was placed in a thermal oxidation furnace, Model No. 55031-12, available from Applied Materials, Inc. of Santa Clara, Calif.
  • the ambient environment in the furnace was air.
  • Other gas compositions, where the oxygen content is lower or higher than the 21% by volume of air may be used as well.
  • the oxygen content may range from about 5% by volume up to 100% by volume.
  • dopant is used, the oxygen infuses faster and the oxygen content may range from about 5% by volume to about 15% by volume.
  • the time-temperature profile illustrated in FIG. 1 is the best known profile at this time, for a component having a pure yttrium metal substrate with a yttrium oxide overlying protective layer.
  • the time temperature profile is based on experimentation. At higher temperatures, 750° C., for example, the yttrium oxide crystal growth is rapid; however, the crystal growth is such that the average grain size is larger, in the range of about 5 ⁇ m to about 50 ⁇ m. A smaller grain size for the cubic yttrium oxide crystals is preferable at the interface between the hexagonal yttrium metal substrate and the cubic yttrium oxide overlying protective layer, to reduce the strain at this interface.
  • the crystal growth of the yttrium oxide tends to occur by transfer of the oxygen atoms down the yttrium oxide structure toward the yttrium metal crystalline interface.
  • the growth of the cubic yttrium oxide crystals is constantly taking place at the surface of the yttrium metal crystalline structure.
  • the smaller cubic yttrium oxide crystals will be present at the interfacial surface of the yttrium metal with the yttrium oxide, to reduce the strain between the cubic yttrium metal substrate and the overlying hexagonal yttrium oxide layer.
  • FIG. 1 which shows a graph 100 illustrating the thermal oxidation process used to create a yttrium oxide protective layer on the surface of an underlying yttrium metal substrate.
  • the processing temperature is shown on axis 104 in ° C, and the processing time is shown in minutes on axis 102 .
  • the yttrium metal substrate is heated at a rapid rate of about 25° C./min, for a time period of about 29 minutes, to increase the temperature of the yttrium metal substrate from room temperature to about 750° C., as illustrated on the graph 100 at 106 .
  • the temperature is then held at about 750° C.
  • the temperature is lowered more slowly, at a rate of about 5° C./min, as illustrated on graph 100 at 110 , for a time period of about 70 minutes, down to a temperature of about 400° C.
  • the crystal growth of yttrium oxide is very slow, and effectively, essentially ceases.
  • the substrate yttrium metal with overlying yttrium oxide protective layer is rapidly cooled, at about 25° C./min from the 400° C. to room temperature (about 25° C.), over a time period of about 15 minutes, as illustrated on graph 100 at 112 .
  • the metal or metal alloy substrate may be heated at a rapid rate ranging from about 20° C./min to about 100° C./min, to increase the temperature of the substrate from room temperature to a holding temperature, which may range from about 700° C. to about 1050° C.
  • the substrate temperature may be held at the holding temperature for thermal oxidation over a time period ranging from about 5 minutes to about 5 hours, to provide for a rapid initial growth of large grained oxide crystals.
  • the temperature of the substrate may be lowered more slowly, at a rate ranging from about 10° C./min to about 0.5° C./min down to a temperature of about 400° C. or lower, to permit the growth of smaller grain oxide crystals.
  • the substrate may be cooled more rapidly at a cooling range ranging from about 25° C./min to about 35° C./min to bring the substrate back to ambient temperature.
  • the maximum thickness of the yttrium oxide obtained on a yttrium metal surface was in the range of about 5.5 ⁇ m to about 8 ⁇ m, for example, because the reaction slows substantially as the oxide thickness increases.
  • the present method is not so limited, and the yttrium metal substrate treated in the manner described above and illustrated in FIG. 1 provided a yttrium oxide coating thickness of about 200 ⁇ m to 240 ⁇ m on the side of the yttrium metal which was exposed to the treatment. A portion of the substrate was consumed during the oxidation process, and the crystal structure packing adjusted. As a result, the thickness increase of the substrate after the thermal oxidation process having the profile shown in FIG. 1 was about 32 ⁇ m.
  • FIG. 2 is a photomicrograph 200 showing a sectional side view of a yttrium metal substrate 201 with an overlying yttrium oxide protective layer 202 , which was prepared using the time temperature profile illustrated in FIG. 1 .
  • the oxygen for the oxidation reaction was supplied by ambient was air, which was constantly flowed through the furnace during oxidation of the yttrium metal.
  • the scale on the photomicrograph represents 300 ⁇ m and is at a magnification of 100 ⁇ the original sample size.
  • the surface 203 of the yttrium metal is relatively rough and non-uniform; however, the yttrium oxide 202 makes a continuous interface with the yttrium metal substrate, without evidence of the presence of cracking or separation or voids at the interface.
  • a typical surface roughness for metal oxides created by the method described herein may range from about 0.1 ⁇ m Ra to about 10 ⁇ m Ra.
  • the average surface 204 roughness for the yttrium oxide coating 202 in this example was about 0.81 ⁇ m Ra. This is about 3.6 times less than the surface roughness of competitive yttrium oxides surfaces available in the market, and is an indication that the yttrium oxide coating developed by the present inventors will show a significant reduction in particle generation when a component prepared using the method described herein is exposed to a corrosive plasma.
  • the profile may be adjusted to account for a change in composition of the yttrium metal-comprising substrate.
  • the substrate may not be a pure metal, but may contain another element, and the metal may be selected from the group consisting of Nd, Sm, Tb, Dy, Er, Yb, Sc, Hf, and Nb, or combinations thereof, by way of example and not by way of limitation.
  • the amount of other elements which may be present in the yttrium metal-comprising substrate may vary from 0% by weight up to about 50% by weight.
  • other metals may be alloyed with one of the metals of the kind listed above to provide improved mechanical or electrical properties.
  • aluminum may be alloyed with any of the metals, or combinations of metals listed above.
  • FIG. 6 shows a phase diagram 600 for alloys of yttrium with aluminum.
  • the compound formed depend on the relative amounts of yttrium and aluminum which make up the alloy.
  • the oxides which are formed on thermal oxidation depend on the compound which is being oxidized. For example, when the yttrium content in the alloy is about is about 25 atomic %, the compound formed is YAl 3 ( 601 ) and the oxides formed at 527° C. may be Al 5 Y 3 O 12 ( 602 ), AlYO 3 ( 604 ), and Al 2 Y 4 O 9 ( 606 ).
  • the compound formed is YAl 2 ( 603 ), and the oxides formed are Al 2 Y 4 O 9 ( 606 ) and Y 2 O 3 ( 608 ).
  • yttrium concentrations of about 50 atomic % and higher, at 527° C., yttrium oxide will be formed.
  • the general shape of the advantageous time temperature profile for the thermal oxidation process remains essentially the same for yttrium alloys as the 106 , 108 , 110 , 112 portions of the graph shown in FIG. 1 .
  • the maximum temperature used, and the heating and cooling rates may be slightly different, to provide optimum results.
  • One of skill in the art after reading the present description will be able to optimize the crystal growth profile for a yttrium metal alloy in accordance with the concepts provided herein, with minimal experimentation necessary.
  • FIGS. 3A and 3B show the difference between the rough surface 302 of a prior art yttrium oxide coating prepared using a plasma spray process of the kind known in the art. ( FIG. 3A ) and the smooth surface of a yttrium oxide coating grown by the present thermal oxidation method ( FIG. 3B ).
  • FIG. 3A is a photomicrograph 300 which shows a yttrium oxide coating prepared by the plasma spray process as described above. This was one of the commonly used methods of providing a yttrium oxide coating prior to the present invention.
  • the scale on the photomicrograph represents 50 ⁇ m.
  • the average surface roughness for this yttrium oxide coating is about 3.11 ⁇ m Ra.
  • FIG. 3B is a photomicrograph 310 which shows a yttrium oxide coating prepared using the method described herein.
  • the scale on the photomicrograph represents 60 ⁇ m.
  • the average surface roughness for this yttrium oxide coating is about 0.86 ⁇ m Ra.
  • the topography of the surface of the yttrium oxide of the prior art illustrates that the previous yttrium oxide surface is susceptible to attack by a reactive plasma, and capable of producing particulates when the plasma eats away the connecting structure beneath the extended nodes.
  • the topography of the yttrium oxide of the kind produced by the present invention shown in photomicrograph 310 , illustrates that the surface of the yttrium oxide produced by the method of the invention is much less subject to attack by a reactive plasma, and that particulate formation should be substantially reduced, if not avoided altogether.
  • FIGS. 4A through 4E show various aspects of the growth of a protective yttrium oxide coating over the surface of a metal yttrium substrate, in areas which have been machined to form openings through the metal yttrium substrate.
  • FIG. 4A shows an optical micrograph image 400 of the upper surface 401 of a yttrium metal test coupon with two openings 402 and 404 machined through the test coupon.
  • the first opening 402 was drilled to provide a diameter ⁇ of about 2 mm.
  • a second opening 404 was drilled to provide a diameter ⁇ of about 1 mm.
  • FIG. 4B shows the optical micrograph image 410 of the upper surface 411 of the test coupon shown in FIG. 4A , but after thermal oxidation of the surface of the yttrium metal, using the method described herein, to produce yttrium oxide protective coatings 412 and 414 , respectively.
  • FIG. 4C shows an enlargement 420 of the ⁇ 1 mm diameter ⁇ opening after the thermal oxidation process, which is illustrated in FIG. 4B .
  • the surrounding yttrium metal 422 forms a nice transition with the yttrium oxide coating 424 .
  • the perimeter 426 of the coated opening 421 is very smooth and shows no evidence of separation or gaps between the yttrium oxide coating 424 material and the yttrium metal substrate 422 .
  • FIG. 4D shows a cross-sectional, side view, photomicrograph 430 of the counter sunk 436 , drilled opening 402 shown in FIG. 4C , to illustrate the continuous, uniform yttrium oxide coating 412 which was produced all the way from the counter sunk upper opening area 436 , down through the lower section 432 of the opening 402 .
  • FIG. 4E shows the same image of the interface 203 of the yttrium oxide coating 202 with the yttrium metal substrate 201 which was shown in FIG. 2 , and also is marked to illustrate the rough surface 426 of the yttrium metal, as well as the smooth surface 424 of the yttrium oxide coating.
  • FIG. 5A shows a photomicrograph 500 of a side view cross-sectional image of an area of the yttrium metal substrate 502 , with interface 501 and yttrium oxide coating 504 .
  • the scale on the photomicrograph represents 5 ⁇ m.
  • FIG. 5B shows a photomicrograph 510 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a greater distance (a smaller magnification) than shown in FIG. 5A , to better illustrate the overall texture of the yttrium oxide coating.
  • the scale on the photomicrograph represents 20 ⁇ m.
  • 5C shows a photomicrograph 520 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a closer distance (at higher magnification) than shown in FIG. 5A , to better illustrate the columnar structure 522 of the yttrium oxide coating crystals in general.
  • the scale on the photomicrograph represents 2.0 ⁇ m. As previously discussed, it is this columnar structure which permits the growth of thicker films of yttrium oxide, and the size of the crystal grains within the columnar structure is controlled to be larger at the top surface of the coating and smaller at the interface with the yttrium metal substrate.

Abstract

An article which is resistant to corrosion or erosion by chemically active plasmas and a method of making the article are described. The article is comprised of a metal or metal alloy substrate having on its surface a coating which is an oxide of the metal or metal alloy. The structure of the oxide coating is columnar in nature. The grain size of the crystals which make up the oxide is larger at the surface of the oxide coating than at the interface between the oxide coating and the metal or metal alloy substrate, and wherein the oxide coating is in compression at the interface between the oxide coating and the metal or metal alloy substrate. Typically the metal is selected from the group consisting of yttrium, neodymium, samarium, terbium, dysprosium, erbium, ytterbium, scandium, hafnium, niobium or combinations thereof.

Description

RELATED APPLICATIONS
The present application is related to the following applications: application Ser. No. 11/796,210, of Sun et al., filed Apr. 27, 2007, titled: “Method of Reducing The Erosion Rate Of Semiconductor Processing Apparatus Exposed To Halogen-Containing Plasmas”, which is currently pending; U.S. application Ser. No. 11/796,211, of Sun et al., filed Apr. 27, 2007, titled: “Method And Apparatus Which Reduce The Erosion Rate Of Surfaces Exposed To Halogen-Containing Plasmas”, which is currently pending; and, U.S. application Ser. No. 11/890,156 of Sun et al., filed Aug. 2, 2007, titled: “Plasma-resistant Ceramics With Controlled Electrical Resistivity”, which is currently pending. The subject matter of all of these patents and applications is hereby incorporated by reference into the present description.
BACKGROUND
1. Field
Embodiments of the present invention relate to an erosion-resistant semiconductor processing component which includes an yttrium metal substrate. A method of fabricating such a component is also described.
2. Background
This section describes background subject matter related to the disclosed embodiments of the present invention. There is no intention, either express or implied, that the background art discussed in this section legally constitutes prior art.
Corrosion (including erosion) resistance is a critical property for apparatus components and liners used in semiconductor processing chambers, where corrosive environments are present. Although corrosive plasmas are present in the majority of semiconductor processing environments, including plasma enhanced chemical vapor deposition (PECVD) and physical vapor deposition (PVD), the most corrosive plasma environments are those used for cleaning of processing apparatus and those used to etch semiconductor substrates. This is especially true where high-energy plasma is present and combined with chemical reactivity to act upon the surface of components present in the environment.
Process chamber liners and component apparatus present within the processing chambers used to fabricate electronic devices and micro-electro-mechanical systems (MEMS) are frequently constructed from aluminum and aluminum alloys. Surfaces of the process chamber and component apparatus (present within the chamber) are frequently anodized to provide a degree of protection from the corrosive environment. However, the integrity of the anodization layer may be deteriorated by impurities in the aluminum or aluminum alloy, so that corrosion begins to occur early, shortening the life span of the protective coating. The plasma resistance properties of aluminum oxide are not positive in comparison with some other ceramic materials. As a result, ceramic coatings of various compositions have been used in place of the aluminum oxide layer mentioned above; and, in some instances, have been used over the surface of the anodized layer to improve the protection of the underlying aluminum-based materials.
Yttrium oxide is a ceramic material which has shown considerable promise in the protection of aluminum and aluminum alloy surfaces which are exposed to halogen-containing plasmas of the kind used in the fabrication of semiconductor devices. An yttrium oxide coating has been used and applied over an anodized surface of a high purity aluminum alloy process chamber surface, or a process component surface, to produce excellent corrosion protection (e.g. U.S. Pat. No. 6,777,873 to Sun et al., mentioned above). The protective coating may be applied using a method such as spray coating, physical vapor deposition (PVD) or chemical vapor deposition (CVD) by way of example.
The substrate base material of the chamber wall or liner, of an apparatus component may be a ceramic material (Al2O3, SiO2, AlN, etc.), may be aluminum, or stainless steel, or may be another metal or metal alloy. Any of these may have a sprayed film over the base material. The film may be made of a compound of a III-B element of the periodic table, such as Y2O3 The film may substantially comprise Al2O3 and Y2O3. A sprayed film of yttrium-aluminum-garnet (YAG) has also been mentioned. Examples of a sprayed film thickness range from 50 μm to 300 μm.
There have been problems with aluminum and aluminum alloys which have been spray coated with an yttrium oxide-comprising film to provide corrosion and erosion resistance. While the yttrium oxide-comprising film surface is more corrosion and erosion resistant than the surface of aluminum, or aluminum alloys, or anodized aluminum, the resistance is significantly less than that of a solid yttrium oxide sintered component. However, the electrical conductivity of a solid, sintered yttrium oxide chamber liner or component may be a disadvantage in instances where a conductivity in the range of aluminum is desired. The mechanical properties of the solid, sintered yttrium oxide are a disadvantage compared with aluminum, which is not so brittle; for example, aluminum offers a better tensile strength, yield strength, and flexural strength.
There is a need in the semiconductor industry for improved materials which provide both a highly corrosion and erosion resistant surface, while providing electrical and mechanical properties which are competitive with aluminum.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the exemplary embodiments of the present invention are attained is clear and can be understood in detail, with reference to the particular description provided above, and with reference to the detailed description of exemplary embodiments, applicants have provided illustrating drawings. It is to be appreciated that drawings are provided only when necessary to understand the invention and that certain well known processes and apparatus are not illustrated herein in order not to obscure the inventive nature of the subject matter of the disclosure.
FIG. 1 is a graph 100 showing the processing time at temperature during the conversion of an upper portion of a metal yttrium substrate to yttrium oxide (Y2O3).
FIG. 2 is a photomicrograph 200 showing the yttrium metal substrate 201 with an overlying yttrium oxide protective layer 202.
FIGS. 3A and 3B, show the difference between the rough surface of a prior art yttrium oxide coating which was created by plasma spraying (FIG. 3A) and the smooth surface of a yttrium oxide coating grown by the thermal oxidation method of the present invention (FIG. 3B).
FIGS. 4A through 4E show various aspects of the growth of a protective yttrium oxide coating over the surface of a metal yttrium substrate, in areas which have been machined to form openings through the metal yttrium substrate.
FIG. 4A shows an optical micrograph image of the upper surface of a yttrium metal test coupon with two openings machined through the test coupon. One opening was drilled to provide a diameter of about 2 mm. A second opening was drilled to provide a diameter of about 1 mm.
FIG. 4B shows the optical micrograph image of the upper surface of the test coupon shown in FIG. 4A, but after thermal oxidation of the surface of the yttrium metal to produce a yttrium oxide protective layer.
FIG. 4C shows an enlargement of the 1 mm diameter opening 402 after the thermal oxidation process, which is illustrated in FIG. 4B.
FIG. 4D shows a cross-sectional, side view, photomicrograph of the counter sunk drilled opening 402 shown in FIG. 4C, to illustrate the continuous, uniform yttrium oxide coating 412 which was produced all the way from the counter sunk upper opening area 436, down through the lower section 432 of the opening 402.
FIG. 4E shows the same image of the interface 203 of the yttrium oxide coating 202 with the yttrium metal substrate 201 which was shown in FIG. 2, and also is marked to illustrate the rough surface 426 of the yttrium metal surface, as well as the smooth surface 424 of the yttrium oxide coating.
FIG. 5A shows a photomicrograph 500 of a side view cross-sectional image of an area of the yttrium metal substrate 502, with interface 501 and yttrium oxide coating 504.
FIG. 5B shows a photomicrograph 510 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a greater distance than shown in FIG. 5A, to better illustrate the overall texture of the yttrium oxide coating.
FIG. 5C shows a photomicrograph 520 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a closer distance than shown in FIG. 5A, to better illustrate the columnar structure 522 of the yttrium oxide coating crystals in general.
FIG. 6 is a phase diagram 600 which shows yttrium aluminum alloys (compounds) and the composition of oxides which are formed from those alloys at a thermal oxidation temperature of about 525° C.
DESCRIPTION
Embodiments of the present invention pertain to specialty yttrium metal and yttrium metal alloy substrates having an yttrium oxide-comprising coating formed on the metal surface by a thermal oxidation process. Such materials can be used in processing environments of the kind encountered in the production of semiconductor and MEMS devices. In one aspect, the metal yttrium and the yttrium oxide produced by thermal oxidation possess similar thermal expansion coefficients, compared with the base substrate metal, and this provides an improved interface between the coating and the underlying substrate. As a result, less stress is created between the metal yttrium and the yttrium oxide coating, and the performance lifetime of the component is increased. In one aspect, the metal yttrium and the yttrium oxide produced by thermal oxidation also exhibit similar thermal conductivity, and that improves the temperature uniformity of the entire component during semiconductor and MEMS processing operations.
It has been determined by experimentation that the yttrium oxide coating produced by the thermal oxidation process in accordance with the present invention, is placed in compression by the underlying metal substrate, when the substrate is cooled after the thermal oxidation process. Due to this compression, the porosity of the oxide coating is reduced as the distance from the coating surface toward the underlying substrate increases. This is in combination with a strong interface which tends not to crack or create voids of the kind which occur when a yttrium oxide coating is spray coated over an aluminum substrate, for example.
A surprising advantage of the creation of a yttrium oxide coating by thermal oxidation is the ability to form a thicker coating than those which can be obtained by an anodization process, for example. A coating thickness of about 225 μm or greater, for example, can be obtained by thermal oxidation of a yttrium metal substrate at 750° C. for a time period of as little as 15 minutes, depending on the doping content of the yttrium metal. This compares with a maximum anodized yttrium oxide coating of about 8 μm-9 μm.
Embodiments of the present invention enable an ability to form a thicker coating than those known in the prior art. For example, a coating having a thickness ranging from 1 μm to about 500 μm, for example, and typically from about 10 μm to about 400 μm, depends on the temperature profile used during the thermal oxidation process. In one embodiment, an advantageous temperature profile is one where the yttrium metal (including metal alloys) is rapidly heated initially, to a desired maximum temperature, the substrate is held at the maximum temperature for a time period, and then the temperature of the substrate is gradually decreased until a point at which the formation rate of the yttrium oxide is essentially stopped.
This temperature profile is based on the discovery by the inventors that it is advantageous to have a large grain size of the yttrium oxide crystal at the top surface of the forming yttrium oxide coating, as this permits better oxygen movement into the yttrium metal substrate. Further, it is advantageous to have a small grain size of yttrium oxide crystal at the interface with the yttrium metal substrate, to provide stability at the interface. In one of the embodiments of the present invention, the temperature profile during the thermal oxidation process is set to achieve a large grain size of the yttrium oxide crystal at the top surface of the forming yttrium oxide coating. In another embodiment, the temperature profile during the thermal oxidation process is set to provide a small grain size of yttrium oxide crystal at the interface with the yttrium metal substrate.
In one embodiment, the yttrium metal substrate is rapidly heated (at a rate of about 25° C./min, for example and not by way of limitation) to a maximum temperature in the range of about 700° C. to about 1050° C. The component part being fabricated is then held at the maximum temperature for a time period during which large grain crystals are grown. This time period ranges in length from about 1% to about 5% of the time period during which the smaller grain yttrium oxide crystals are grown. The smaller crystals grow beneath the larger crystals, due to the mechanism of crystal formation, which is described subsequently herein. The smaller grain crystals of yttrium oxide which form during the temperature decrease become increasingly smaller as the process temperature drops, until the temperature reaches about 400° C. At lower temperatures, the yttrium oxide crystal growth is extremely slow.
The surprising ability to form thick yttrium oxide coatings with an average thickness in the range of up to about 225 μm or greater, for example, may be attributed to the specialized grain boundary structure of the yttrium oxide crystals which are formed, as this provides a channel for oxygen penetration as the oxidation process continues. There are two kinds of diffusion approaches of oxygen from the surface to the interface between oxide and metal. One is grain boundary diffusion and the other is grain diffusion (lattice/void). By doping trace elements into yttrium metal, or by controlling the oxygen partial pressure in the thermal oxidation process atmosphere, the priority diffusion approach can be controlled. For example, through doping of trace elements into high purity yttrium metal, the grain boundary diffusion can be adjusted to a faster oxygen diffusion path, and a given, desired yttrium oxide (yttria) layer thickness on a yttrium metal substrate can be obtained, where the thickness depends basically on thermal oxidation time. In comparison with grain boundary diffusion, the grain diffusion (lattice/void) yttrium oxide growth rate is slow. However, the grain diffusion rate (void diffusion) can be improved by optimizing the oxygen partial pressure in the thermal oxidation atmosphere, which leads to the formation of more oxygen vacancy in the yttria layer.
Typically the amount of dopant added to the yttrium metal substrate or to an alloy of yttrium metal substrate is less than about 0.1 weight percent. When dopant (trace elements) are added to the yttrium metal or yttrium metal alloy substrate, the amount of oxygen which is present in the oxidation ambient environment may range from about 5% by volume to about 25% by volume. An oxidation ambient environment which works particularly well contains about 10% by volume oxygen. When dopant is not added to the yttrium metal or yttrium metal alloy substrate, the amount of oxygen which is present in the oxidation ambient environment may range from about 5% by volume to 100% by volume. Air, which is about 21% by volume oxygen works well. This is because, when the partial pressure of oxygen in the ambient environment is lower, the oxygen tends to infuse faster into the yttrium metal or yttrium metal alloy; however, the rate of oxide formation is slow. When the partial pressure of oxygen is higher, the oxygen infuses slower, but there is more oxygen available, so the rate of oxide formation is higher. By adding dopant, and using a lower partial pressure of oxygen in the ambient environment, it is possible to obtain a desirable smaller grain size crystal at the interface of the oxide with the substrate to still have an acceptable oxide formation rate for manufacturing.
At the lower oxidation temperatures, the reaction rate of the oxygen with the metal to form an oxide is slowed. Further, once the oxidation process is completed, and the component is returned to room temperature, or while the component is under ambient semiconductor or MEMS processing conditions (at temperatures typically lower than about 400° C.) the yttrium oxide is under compression applied by the underlying yttrium metal substrate. The yttrium oxide grain size in the area of the interface with the yttrium metal can be tailored so that the amount of compression is sufficient to substantially reduce (essentially prevent) semiconductor processing reactive species from traveling down the yttria crystal to the surface of the yttrium metal substrate. At the same time, the amount of compression is not so large that it causes a fracture or separation of the yttrium oxide from the surface of the yttrium metal substrate.
DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
As a preface to the detailed description, it should be noted that, as used in this specification and the appended claims, the singular forms “a”, “an”, and “the” include plural referents, unless the context clearly dictates otherwise.
When the word “about” is used herein, this is intended to mean that the nominal value presented is precise within ±10%.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation. It is to be noted that the appended drawings illustrate only exemplary embodiments of the invention where a drawing would be particularly helpful in understanding the embodiment. Not all embodiments require a drawing for understanding, and therefore the drawings are not to be considered as limiting of the scope of the invention, for the invention may admit to other equally effective embodiments.
In one embodiment a specialty yttrium metal and yttrium metal alloy substrates having a yttrium oxide-comprising coating formed on the metal surface by a thermal oxidation process is created for use in processing environments of the kind encountered in the production of semiconductor and MEMS devices. The metal yttrium and the yttrium oxide produced by thermal oxidation possess similar thermal expansion coefficients, which provides an improved interface between the coating and the underlying substrate. The metal yttrium and the yttrium oxide produced by thermal oxidation exhibit similar thermal conductivity, and that improves the temperature uniformity of the entire component during semiconductor and MEMS processing operations. As a result, less stress is created between the metal yttrium and the yttrium oxide coating, and the performance lifetime of the component is increased.
Table One, below, shows a property comparison of metal yttrium with other metal materials which have been used to fabricate semiconductor processing apparatus components. One of skill in the art can, in view of this table, see the advantages and disadvantages of using metal yttrium relative to the other materials listed. In terms of mechanical properties, the yttrium metal is similar to a number of the other materials which are used as base substrate materials for semiconductor processing equipment. The electrical resistivity of the yttrium metal is very high compared with aluminum or the aluminum alloy, but is better than HASTALLOY® C276, the Titanium alloy, and SST316. The electrical resistivity of the yttrium oxide shown in Table Two can be reduced by adding a dopant material such as zirconium oxide, hafnium oxide, scandium oxide, niobium oxide, samarium oxide, ytterbium oxide, erbium oxide, cerium oxide, neodymium oxide, terbium oxide, dysprosium oxide, and combinations thereof to the yttrium metal prior to oxidation.
TABLE ONE
Property Comparison of Yttrium Metal With Other Metals Used To
Fabricate Semiconductor Manufacturing Apparatus
Al Alloy Hastalloy
Property Yttrium Aluminum (6061) (C276) Ti6Al4V SST316
Density (g/cm3) 4.47-4.48 2.70 2.70 8.89 4.42 8.0
Melting Point (° K) 1522-1795 933 NA 1325-1370 1649 ± 15 NA
Boiling Point (° K) 3338-3611 2792 NA 2415-2500 NA NA
Electrical  1.75 37.7 27.0 0.77-0.80 0.59 1.35
Conductivity
(298° K) × 106
−1 · m−1)
Electrical Resistivity 57.0 2.65 3.70 125-130 170 74.0
(298° K) × 10
(Ω · cm)
Thermal Conductivity 17.2 237.0 180.0 9.8 7.2 16.3
(300° K) (373° K)
(W/mK)
Coeff. Linear 10.6 23.1 23.4 11.2 8.6 15.9
Expansion
(K−1) × 10−6
(20-100° C.)
Young's Modulus 66.3 70 70-80 205 114 193
(GPa) (Poly)
Bulk Modulus 37.3 76 NA NA NA NA
(GPA) (Poly)
Poisson's Ratio  0.24 0.35 0.33 NA NA NA
Brinell Hardness 30-60 245 NA  80-200 NA 217
(MPa) (Soft)
100-140
(Hard)
Vickers Hardness NA 167 NA NA NA NA
(MPa)
Mohs Hardness NA 2.75 NA NA NA NA
Hardness (HB500) NA NA 30 90HRB 36HRC 95HRB
Tensile Strength 130 (Soft) NA 115 790 897-1000 515
(MPa) 455 (Hard)
Yield Strength 57 (Soft) NA 48 355 NA 205
(MPa) 375 (Hard)
Shear Strength NA NA 83 NA NA NA
(MPa)
Fatigue Strength NA NA 62 NA NA NA
(MPa)
Elongation (%) NA NA 25 61 10-18 40
NA = Not Available
Table Two provides a property comparison between metal yttrium and yttrium oxide. It is readily apparent that the difference in coefficient of linear expansion between the two materials is sufficient to place a yttrium oxide layer, which is on the surface of the metal yttrium, in compression. At the same time, it has been determined that this difference in coefficient of expansion does not cause problems at an interface between the two materials when a layer of yttrium oxide is thermally created upon the surface of an yttrium metal substrate.
TABLE TWO
Property Comparison Of Metal Yttrium and Yttrium Oxide
Yttrium Yttrium
Property Metal Oxide
Coefficient of linear expansion (K−1) × 10.6   7.2
10−6 (20-100° C.)
Thermal Conductivity (300° K) (W/m ° K) 17.2  13.7
Electrical Resistivity (298° K) (Ω · cm) 57.0 × 10−6  >1014
Density (g/cm3) 4.47-4.48   4.92
Melting Point (° K) 1522-1795 2690
Boiling Point (° K) 3338-3611 4300
Strength
Tensile Strength (MPa) 130 soft NA
455 hard
Yield Strength (MPa) 57 soft NA
375 hard
Flexural Strength (MPa) NA  100
Hardness
Brinell Hardness (MPa) 30-60 soft NA
100-140 hard
Vickers Hardness (MPa) NA   5.7
Young's Modulus (GPa) 66.3  150
NA means Not Available.
When metal yttrium is converted to yttrium oxide by thermal oxidation, two yttrium metal cells (each of which contains 8 yttrium atoms, for a total of 16 yttrium atoms) transforms into one yttrium oxide cell (which comprises Y2O3 and contains a total of 16 yttrium atoms and 24 oxygen atoms). While this is a volume expansion process, the expansion percentage is smaller than that of the transformation from aluminum to Al2O3. When 2 moles of metal yttrium are converted to 1 mole of Y2O3 by thermal oxidation, the volume increases 5.140 cm3. When 2 moles of metal yttrium are converted to 1 mole of Y2O3 by thermal oxidation, the weight increases by 2.858 g. Table Three, below, shows the theoretical calculation of the crystal structure of metal yttrium and yttrium oxide.
TABLE THREE
Calculated Crystal Structure of Metal Yttrium and Yttrium Oxide
Metal Yttrium Y2O3
Space Group P63/mmc (Hexagonal) IA-3 (Cubic)
Cell Parameter a = b = 3.6471, c = 5.7285 a = b = c = 10.5961
α = β = 90°, γ = 120° α = β = γ = 90°
Theoretical  4.474   5.030
Density (g/cm3)
Cell Volume (A3) 65.99 1189.70
Molar Volume 19.86  44.86
(cm3/mol)
Volume Expansion when Weight Increase when 2
2 moles of metal Y moles of metal Y
transforms into 1 mole of transforms into 1 mole of
Y2O3. Y2O3
5.14 cm3 2.858 g
As can be seen from the above calculations, the Y2O3 is in a state of compressed stress.
EXAMPLES Example One
FIG. 1 is a graph 100 showing the processing time at temperature during the conversion of metal yttrium to yttrium oxide (Y2O3) on the surface of a test specimen having dimensions of 1-4 inches (2.5 cm×10.1 cm)×1-4 inches (2.4 cm×10.1 cm)×a thickness ranging from about 0.1 inch (0.25 cm) to about 0.25 inches (0.6 cm). The test specimens were produced from a large bar stock of yttrium metal and were machined to the dimensions described above. Although the average surface roughness on the machined specimens was about 0.1 μm Ra, a surface roughness of up to 1.0 μm Ra has been used with good results. This is a surprising advantage of the present method of producing a yttrium-comprising oxide on the surface of a yttrium metal substrate using a thermal oxidation process. As can be seen in FIG. 2, the interface between the yttrium metal substrate and the thermal oxidation-generated yttrium oxide coating on the substrate surface is free from voids and cracks and follows the surface of the yttrium metal.
Another surprising result was that the rate of oxide formation was relatively linear. By way of theory and not by way of limitation, it appears as if the oxygen atoms are moving along grain boundaries, progressing down the crystalline structure. In this manner, the oxygen continues to travel downward through the grain boundaries so that the growth is constantly from the top of the structure. This is distinct from an anodization process, for example, which appears to be diffusion limited, and to require that the chemical reactant diffuse down into the crystalline structure to react at the base of the previously-formed reacted material. In the case of anodization, the typical maximum thickness for an anodized layer is about 8 μm.
The substrate used in this Example One was a trace element doped yttrium metal, where the trace metal content was smaller than 0.1 weight %. (In general the amount of dopant added to the yttrium metal or yttrium metal alloy will be less than about 0.1 weight %.) In particular, 20 ppm of magnesium, 100 ppm of aluminum, 200 ppm of copper, and 500 ppm of calcium was added to pure yttrium metal to produce the substrate. Through this doping, the grain boundary structure of the yttrium oxide layer formed will be adjusted as an oxygen diffusion path, providing a high diffusion rate for oxygen from the surface of the oxide toward the interface between the oxide and the underlying metal substrate. The relationship between the oxide layer thickness and the thermal process time is a linear relationship. In general, the advantageous concentration ranges for these dopants are: magnesium 10 ppm by weight to 30 ppm by weight; aluminum 10 ppm by weight to 110 ppm by weight; copper 50 ppm by weight to 300 ppm by weight; and, calcium 10 ppm by weight to 800 ppm by weight.
The specimen was placed in a thermal oxidation furnace, Model No. 55031-12, available from Applied Materials, Inc. of Santa Clara, Calif. The ambient environment in the furnace was air. Other gas compositions, where the oxygen content is lower or higher than the 21% by volume of air may be used as well. As previously discussed, the oxygen content may range from about 5% by volume up to 100% by volume. When dopant is used, the oxygen infuses faster and the oxygen content may range from about 5% by volume to about 15% by volume.
The time-temperature profile illustrated in FIG. 1 is the best known profile at this time, for a component having a pure yttrium metal substrate with a yttrium oxide overlying protective layer. The time temperature profile is based on experimentation. At higher temperatures, 750° C., for example, the yttrium oxide crystal growth is rapid; however, the crystal growth is such that the average grain size is larger, in the range of about 5 μm to about 50 μm. A smaller grain size for the cubic yttrium oxide crystals is preferable at the interface between the hexagonal yttrium metal substrate and the cubic yttrium oxide overlying protective layer, to reduce the strain at this interface.
As previously described, the crystal growth of the yttrium oxide tends to occur by transfer of the oxygen atoms down the yttrium oxide structure toward the yttrium metal crystalline interface. As a result, the growth of the cubic yttrium oxide crystals is constantly taking place at the surface of the yttrium metal crystalline structure. Thus, if the temperature of reaction is high initially and then lower subsequently, the smaller cubic yttrium oxide crystals will be present at the interfacial surface of the yttrium metal with the yttrium oxide, to reduce the strain between the cubic yttrium metal substrate and the overlying hexagonal yttrium oxide layer. FIG. 1, which shows a graph 100 illustrating the thermal oxidation process used to create a yttrium oxide protective layer on the surface of an underlying yttrium metal substrate. The processing temperature is shown on axis 104 in ° C, and the processing time is shown in minutes on axis 102. Initially, the yttrium metal substrate is heated at a rapid rate of about 25° C./min, for a time period of about 29 minutes, to increase the temperature of the yttrium metal substrate from room temperature to about 750° C., as illustrated on the graph 100 at 106. The temperature is then held at about 750° C. for a time period of about 15 minutes to provide for a rapid initial growth of large grained yttrium oxide crystals, as illustrated on the graph 100 at 108. Subsequently, the temperature is lowered more slowly, at a rate of about 5° C./min, as illustrated on graph 100 at 110, for a time period of about 70 minutes, down to a temperature of about 400° C. At 400° C. the crystal growth of yttrium oxide is very slow, and effectively, essentially ceases. Subsequently, the substrate yttrium metal with overlying yttrium oxide protective layer is rapidly cooled, at about 25° C./min from the 400° C. to room temperature (about 25° C.), over a time period of about 15 minutes, as illustrated on graph 100 at 112.
In general, the metal or metal alloy substrate may be heated at a rapid rate ranging from about 20° C./min to about 100° C./min, to increase the temperature of the substrate from room temperature to a holding temperature, which may range from about 700° C. to about 1050° C. The substrate temperature may be held at the holding temperature for thermal oxidation over a time period ranging from about 5 minutes to about 5 hours, to provide for a rapid initial growth of large grained oxide crystals. Subsequently, the temperature of the substrate may be lowered more slowly, at a rate ranging from about 10° C./min to about 0.5° C./min down to a temperature of about 400° C. or lower, to permit the growth of smaller grain oxide crystals. Once the temperature of the substrate is in the range of about 400° C. or lower, the substrate may be cooled more rapidly at a cooling range ranging from about 25° C./min to about 35° C./min to bring the substrate back to ambient temperature.
In the past, when a layer of yttrium oxide was created using an electrolysis process, the maximum thickness of the yttrium oxide obtained on a yttrium metal surface, was in the range of about 5.5 μm to about 8 μm, for example, because the reaction slows substantially as the oxide thickness increases. The present method is not so limited, and the yttrium metal substrate treated in the manner described above and illustrated in FIG. 1 provided a yttrium oxide coating thickness of about 200 μm to 240 μm on the side of the yttrium metal which was exposed to the treatment. A portion of the substrate was consumed during the oxidation process, and the crystal structure packing adjusted. As a result, the thickness increase of the substrate after the thermal oxidation process having the profile shown in FIG. 1 was about 32 μm.
FIG. 2 is a photomicrograph 200 showing a sectional side view of a yttrium metal substrate 201 with an overlying yttrium oxide protective layer 202, which was prepared using the time temperature profile illustrated in FIG. 1. The oxygen for the oxidation reaction was supplied by ambient was air, which was constantly flowed through the furnace during oxidation of the yttrium metal. The scale on the photomicrograph represents 300 μm and is at a magnification of 100× the original sample size. The surface 203 of the yttrium metal is relatively rough and non-uniform; however, the yttrium oxide 202 makes a continuous interface with the yttrium metal substrate, without evidence of the presence of cracking or separation or voids at the interface. A typical surface roughness for metal oxides created by the method described herein may range from about 0.1 μm Ra to about 10 μm Ra. The average surface 204 roughness for the yttrium oxide coating 202 in this example was about 0.81 μm Ra. This is about 3.6 times less than the surface roughness of competitive yttrium oxides surfaces available in the market, and is an indication that the yttrium oxide coating developed by the present inventors will show a significant reduction in particle generation when a component prepared using the method described herein is exposed to a corrosive plasma.
Example Two
The profile may be adjusted to account for a change in composition of the yttrium metal-comprising substrate. For example, the substrate may not be a pure metal, but may contain another element, and the metal may be selected from the group consisting of Nd, Sm, Tb, Dy, Er, Yb, Sc, Hf, and Nb, or combinations thereof, by way of example and not by way of limitation. The amount of other elements which may be present in the yttrium metal-comprising substrate may vary from 0% by weight up to about 50% by weight. Further, other metals may be alloyed with one of the metals of the kind listed above to provide improved mechanical or electrical properties. For example, aluminum may be alloyed with any of the metals, or combinations of metals listed above.
FIG. 6 shows a phase diagram 600 for alloys of yttrium with aluminum. The compound formed depend on the relative amounts of yttrium and aluminum which make up the alloy. The oxides which are formed on thermal oxidation depend on the compound which is being oxidized. For example, when the yttrium content in the alloy is about is about 25 atomic %, the compound formed is YAl3 (601) and the oxides formed at 527° C. may be Al5Y3O12 (602), AlYO3 (604), and Al2Y4O9 (606). When the yttrium content in the alloy is about 33 atomic %, the compound formed is YAl2 (603), and the oxides formed are Al2Y4O9 (606) and Y2O3 (608). At yttrium concentrations of about 50 atomic % and higher, at 527° C., yttrium oxide will be formed.
The general shape of the advantageous time temperature profile for the thermal oxidation process remains essentially the same for yttrium alloys as the 106, 108, 110, 112 portions of the graph shown in FIG. 1. However, the maximum temperature used, and the heating and cooling rates may be slightly different, to provide optimum results. One of skill in the art after reading the present description will be able to optimize the crystal growth profile for a yttrium metal alloy in accordance with the concepts provided herein, with minimal experimentation necessary.
Example Three, Comparative Example
FIGS. 3A and 3B, show the difference between the rough surface 302 of a prior art yttrium oxide coating prepared using a plasma spray process of the kind known in the art. (FIG. 3A) and the smooth surface of a yttrium oxide coating grown by the present thermal oxidation method (FIG. 3B).
FIG. 3A is a photomicrograph 300 which shows a yttrium oxide coating prepared by the plasma spray process as described above. This was one of the commonly used methods of providing a yttrium oxide coating prior to the present invention. The scale on the photomicrograph represents 50 μm. The average surface roughness for this yttrium oxide coating is about 3.11 μm Ra. FIG. 3B is a photomicrograph 310 which shows a yttrium oxide coating prepared using the method described herein. The scale on the photomicrograph represents 60 μm. The average surface roughness for this yttrium oxide coating is about 0.86 μm Ra. The topography of the surface of the yttrium oxide of the prior art, shown in photomicrograph 300, illustrates that the previous yttrium oxide surface is susceptible to attack by a reactive plasma, and capable of producing particulates when the plasma eats away the connecting structure beneath the extended nodes. The topography of the yttrium oxide of the kind produced by the present invention, shown in photomicrograph 310, illustrates that the surface of the yttrium oxide produced by the method of the invention is much less subject to attack by a reactive plasma, and that particulate formation should be substantially reduced, if not avoided altogether.
Example Four
FIGS. 4A through 4E show various aspects of the growth of a protective yttrium oxide coating over the surface of a metal yttrium substrate, in areas which have been machined to form openings through the metal yttrium substrate.
FIG. 4A shows an optical micrograph image 400 of the upper surface 401 of a yttrium metal test coupon with two openings 402 and 404 machined through the test coupon. The first opening 402 was drilled to provide a diameter φ of about 2 mm. A second opening 404 was drilled to provide a diameter φ of about 1 mm.
FIG. 4B shows the optical micrograph image 410 of the upper surface 411 of the test coupon shown in FIG. 4A, but after thermal oxidation of the surface of the yttrium metal, using the method described herein, to produce yttrium oxide protective coatings 412 and 414, respectively. FIG. 4C shows an enlargement 420 of the ≅1 mm diameter φ opening after the thermal oxidation process, which is illustrated in FIG. 4B. The surrounding yttrium metal 422 forms a nice transition with the yttrium oxide coating 424. The perimeter 426 of the coated opening 421 is very smooth and shows no evidence of separation or gaps between the yttrium oxide coating 424 material and the yttrium metal substrate 422.
FIG. 4D shows a cross-sectional, side view, photomicrograph 430 of the counter sunk 436, drilled opening 402 shown in FIG. 4C, to illustrate the continuous, uniform yttrium oxide coating 412 which was produced all the way from the counter sunk upper opening area 436, down through the lower section 432 of the opening 402.
FIG. 4E shows the same image of the interface 203 of the yttrium oxide coating 202 with the yttrium metal substrate 201 which was shown in FIG. 2, and also is marked to illustrate the rough surface 426 of the yttrium metal, as well as the smooth surface 424 of the yttrium oxide coating.
Example Five
FIG. 5A shows a photomicrograph 500 of a side view cross-sectional image of an area of the yttrium metal substrate 502, with interface 501 and yttrium oxide coating 504. The scale on the photomicrograph represents 5 μm. FIG. 5B shows a photomicrograph 510 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a greater distance (a smaller magnification) than shown in FIG. 5A, to better illustrate the overall texture of the yttrium oxide coating. The scale on the photomicrograph represents 20 μm. FIG. 5C shows a photomicrograph 520 of a side view cross-sectional image of an area of yttrium oxide coating 504 from a closer distance (at higher magnification) than shown in FIG. 5A, to better illustrate the columnar structure 522 of the yttrium oxide coating crystals in general. The scale on the photomicrograph represents 2.0 μm. As previously discussed, it is this columnar structure which permits the growth of thicker films of yttrium oxide, and the size of the crystal grains within the columnar structure is controlled to be larger at the top surface of the coating and smaller at the interface with the yttrium metal substrate.
While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised in view of the present disclosure, without departing from the basic scope of the invention, and the scope thereof is determined by the claims which follow.

Claims (10)

We claim:
1. An article which is resistant to corrosion or erosion by chemically active plasmas, said article comprising:
a metal or metal alloy substrate comprising a metal selected from the group consisting of yttrium, neodymium, samarium, terbium, dysprosium, erbium, ytterbium, scandium, hafnium, niobium and combinations thereof, and at least one dopant selected from the group consisting of zirconium oxide, hafnium oxide, scandium oxide, niobium oxide, samarium oxide, ytterbium oxide, erbium oxide, cerium oxide, neodymium oxide, terbium oxide, dysprosium oxide, and combinations thereof; and
an oxide coating which is a thermal oxide of said metal or metal alloy including said at least one dopant, wherein a structure of said oxide coating is columnar in nature, wherein a grain size of crystals in said oxide coating is larger at an exposed surface of said oxide coating than at an interface between said oxide coating and said metal or metal alloy substrate, and wherein said oxide coating is in compression at said interface between said oxide coating and said metal or metal alloy substrate.
2. An article in accordance with claim 1, wherein said metal or metal alloy also contains aluminum.
3. An article in accordance with claim 1, wherein said metal is yttrium.
4. An article in accordance with claim 1, wherein said metal alloy includes yttrium.
5. An article in accordance with claim 1, wherein a thickness of said oxide coating ranges from about 1 μm to about 500 μm.
6. An article in accordance with claim 1, wherein an exterior surface of said coating, which is a thermal oxide of said metal or metal alloy including said at least one dopant, has a surface roughness ranging from about 0.1 μm Ra to about 10 μm Ra.
7. An article which is resistant to corrosion or erosion by chemically active plasmas, said article comprising:
a metal or metal alloy substrate comprising a metal selected from the group consisting of yttrium, neodymium, samarium, terbium, dysprosium, erbium, ytterbium, scandium, hafnium, niobium or combinations thereof, and at least one dopant selected from the group consisting of magnesium, aluminum, copper, calcium, and combinations thereof; and
an oxide coating which is a thermal oxide of said metal or metal alloy including said at least one dopant, wherein a structure of said oxide coating is columnar in nature, wherein a grain size of crystals in said oxide coating is larger at an exposed surface of said oxide coating than at an interface between said oxide coating and said metal or metal alloy substrate, and wherein said oxide coating is in compression at said interface between said oxide coating and said metal or metal alloy substrate.
8. An article in accordance with claim 7, wherein a thickness of said oxide coating ranges from about 1 μm to about 500 μm.
9. An article in accordance with claim 7, wherein said at least one dopant comprises a combination of magnesium, aluminum, copper, and calcium, and wherein the concentration range of magnesium ranges from about 10 ppm by weight to about 30 ppm by weight, the concentration range of aluminum ranges from about 10 ppm by weight to about 110 ppm by weight, the concentration range of copper ranges from about 50 ppm by weight to about 300 ppm by weight, and the concentration range of calcium ranges from about 10 ppm by weight to about 800 ppm by weight.
10. An article in accordance with claim 7, wherein an exterior surface of said coating, which is a thermal oxide of said metal or metal alloy including said at least one dopant, has a surface roughness ranging from about 0.1 μm Ra to about 10 μm Ra.
US12/004,907 2007-12-21 2007-12-21 Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating Active 2030-11-10 US8129029B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US12/004,907 US8129029B2 (en) 2007-12-21 2007-12-21 Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
PCT/US2008/013589 WO2009085117A2 (en) 2007-12-21 2008-12-10 Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components
JP2010539435A JP5408827B2 (en) 2007-12-21 2008-12-10 Erosion resistant yttrium-containing metal with oxide film for plasma chamber components
CN201210163630.1A CN102732857B (en) 2007-12-21 2008-12-10 Plasma chamber components with erosion resistant yttrium comprising metal with oxidized coating
CN2008801220603A CN101903558B (en) 2007-12-21 2008-12-10 Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components
KR1020107016350A KR101289815B1 (en) 2007-12-21 2008-12-10 Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components
TW097149445A TWI461572B (en) 2007-12-21 2008-12-18 Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components
US13/374,980 US8758858B2 (en) 2007-12-21 2012-01-25 Method of producing a plasma-resistant thermal oxide coating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/004,907 US8129029B2 (en) 2007-12-21 2007-12-21 Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/374,980 Division US8758858B2 (en) 2007-12-21 2012-01-25 Method of producing a plasma-resistant thermal oxide coating

Publications (2)

Publication Number Publication Date
US20090162647A1 US20090162647A1 (en) 2009-06-25
US8129029B2 true US8129029B2 (en) 2012-03-06

Family

ID=40789005

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/004,907 Active 2030-11-10 US8129029B2 (en) 2007-12-21 2007-12-21 Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US13/374,980 Active 2028-03-22 US8758858B2 (en) 2007-12-21 2012-01-25 Method of producing a plasma-resistant thermal oxide coating

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/374,980 Active 2028-03-22 US8758858B2 (en) 2007-12-21 2012-01-25 Method of producing a plasma-resistant thermal oxide coating

Country Status (6)

Country Link
US (2) US8129029B2 (en)
JP (1) JP5408827B2 (en)
KR (1) KR101289815B1 (en)
CN (2) CN102732857B (en)
TW (1) TWI461572B (en)
WO (1) WO2009085117A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10260160B2 (en) 2013-11-13 2019-04-16 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10745805B2 (en) 2017-03-17 2020-08-18 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10774436B2 (en) 2013-03-14 2020-09-15 Applied Materials, Inc. High purity aluminum top coat on substrate
US10815562B2 (en) 2014-04-25 2020-10-27 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20200354827A1 (en) * 2017-09-14 2020-11-12 Komico Ltd. Plasma etching apparatus member having improved plasma-resistant properties and manufacturing method therefor

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8251227B2 (en) * 2010-04-16 2012-08-28 Kellogg Brown & Root Llc Methods and apparatus for separating particulates from a particulate-fluid mixture
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5578383B2 (en) 2012-12-28 2014-08-27 Toto株式会社 Plasma resistant material
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
TWI751098B (en) 2013-11-21 2022-01-01 美商恩特葛瑞斯股份有限公司 Component of plasma-wetted system applied with coating and use of coating
US9384950B2 (en) 2014-01-31 2016-07-05 Applied Materials, Inc. Chamber coatings
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN106574356B (en) * 2014-09-05 2019-07-23 三菱日立电力系统株式会社 The manufacturing method and thermal spray powder of thermal spray powder
KR20160030812A (en) * 2014-09-11 2016-03-21 삼성전자주식회사 plasma processing equipment
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
DE102015206377A1 (en) * 2015-04-09 2016-10-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. DEVICE WITH A VARIETY OF PARTICLES AND METHOD FOR MANUFACTURING THE SAME
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN108018517A (en) * 2016-10-31 2018-05-11 通用电气公司 Kinds of anti-sulfur corrosion coating, includes its object, and uses its method
CN108018516A (en) * 2016-10-31 2018-05-11 通用电气公司 Kinds of anti-sulfur corrosion coating, includes its object, and uses its method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101981387B1 (en) * 2017-06-13 2019-05-22 강동원 A Method for Producing a Remote Plasma Source Block with a Coated Surface and the Surface-Coated Remote Plasma Source Block by the Same
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
KR20200086750A (en) 2017-12-07 2020-07-17 램 리써치 코포레이션 Conditioning the oxidation-resistant protective layer in the chamber
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
JP2022535145A (en) * 2019-06-08 2022-08-04 アプライド マテリアルズ インコーポレイテッド RF components with chemically resistant surfaces
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
TWI772910B (en) * 2019-09-30 2022-08-01 日商京瓷股份有限公司 Plasma processing device member and plasma processing device having the same
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
US10983269B1 (en) * 2019-10-02 2021-04-20 Verrillon, Inc. Optical fibers with two metal coatings surrounding the cladding
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
CN110616396B (en) * 2019-10-28 2021-03-26 西安特种设备检验检测院 Preparation method of AlN/Ti ceramic metal composite coating resistant to microbial corrosion
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113522688B (en) * 2020-03-30 2022-12-30 中微半导体设备(上海)股份有限公司 Plasma corrosion resistant component, preparation method thereof and plasma processing equipment
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
CN114068273B (en) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 Component, preparation method thereof and plasma reaction device
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN113237821B (en) * 2021-04-26 2023-03-10 江西科技师范大学 Preparation and detection method of yttrium-doped Inconel625 alloy applied to oxidative high-temperature chlorine corrosion environment
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03287797A (en) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd Corrosion resistant member
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20040191545A1 (en) 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20050037193A1 (en) 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20050100757A1 (en) * 2003-11-12 2005-05-12 General Electric Company Thermal barrier coating having a heat radiation absorbing topcoat
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070207A (en) * 1976-10-19 1978-01-24 Holdeman Louis B Method of producing porous copper workpieces and product thereof
CA2205052C (en) * 1994-11-09 2001-05-29 Alina C. Aguero Method of producing reactive element modified-aluminide diffusion coatings
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6815223B2 (en) * 2002-11-22 2004-11-09 Symetrix Corporation Low thermal budget fabrication of ferroelectric memory using RTP
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
DE602006005194D1 (en) * 2005-06-29 2009-04-02 Shinetsu Chemical Co Rare earth element with a high purity surface and process for its production
EP1845171B1 (en) * 2006-04-10 2016-12-14 Siemens Aktiengesellschaft Use of metallic powders having different particle sizes for forming a coating system
KR101344990B1 (en) * 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 Conductive, plasma-resistant member
CN100408719C (en) * 2006-05-25 2008-08-06 北京科技大学 Method for preparing chromium oxide composite coating

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03287797A (en) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd Corrosion resistant member
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US20040191545A1 (en) 2002-01-08 2004-09-30 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20050037193A1 (en) 2002-02-14 2005-02-17 Sun Jennifer Y. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20050100757A1 (en) * 2003-11-12 2005-05-12 General Electric Company Thermal barrier coating having a heat radiation absorbing topcoat

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
thefreedictionary.com. *

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10774436B2 (en) 2013-03-14 2020-09-15 Applied Materials, Inc. High purity aluminum top coat on substrate
US10119188B2 (en) 2013-06-20 2018-11-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10796888B2 (en) 2013-07-19 2020-10-06 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9812341B2 (en) 2013-07-20 2017-11-07 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9869012B2 (en) 2013-07-20 2018-01-16 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings
US11424136B2 (en) 2013-07-20 2022-08-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US10930526B2 (en) 2013-07-20 2021-02-23 Applied Materials, Inc. Rare-earth oxide based coatings based on ion assisted deposition
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10260160B2 (en) 2013-11-13 2019-04-16 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US11566318B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566317B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US11566319B2 (en) 2013-12-06 2023-01-31 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9797037B2 (en) 2013-12-06 2017-10-24 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US10563297B2 (en) 2014-04-25 2020-02-18 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10544500B2 (en) 2014-04-25 2020-01-28 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10815562B2 (en) 2014-04-25 2020-10-27 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US11773479B2 (en) 2014-04-25 2023-10-03 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9970095B2 (en) 2014-04-25 2018-05-15 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10604831B2 (en) 2014-05-16 2020-03-31 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US11578398B2 (en) 2014-05-16 2023-02-14 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10745805B2 (en) 2017-03-17 2020-08-18 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US20200354827A1 (en) * 2017-09-14 2020-11-12 Komico Ltd. Plasma etching apparatus member having improved plasma-resistant properties and manufacturing method therefor
US11827975B2 (en) * 2017-09-14 2023-11-28 Komico Ltd. Photoplasma etching apparatus having improved plasma-resistant and manufacturing method therefor using a thermal diffusion phenomenon of a rare-earth metal thin film

Also Published As

Publication number Publication date
CN102732857B (en) 2015-04-29
US8758858B2 (en) 2014-06-24
CN101903558B (en) 2012-07-11
TWI461572B (en) 2014-11-21
US20090162647A1 (en) 2009-06-25
WO2009085117A2 (en) 2009-07-09
CN102732857A (en) 2012-10-17
TW200946717A (en) 2009-11-16
WO2009085117A3 (en) 2009-09-17
US20120125488A1 (en) 2012-05-24
CN101903558A (en) 2010-12-01
JP5408827B2 (en) 2014-02-05
JP2011509343A (en) 2011-03-24
KR101289815B1 (en) 2013-07-26
KR20100099318A (en) 2010-09-10

Similar Documents

Publication Publication Date Title
US8129029B2 (en) Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
KR101456539B1 (en) A sintered solid solution coating which reduces the erosion rate of surfaces exposed to halogen plasma while exhibiting improved mechanical properties
US9017765B2 (en) Protective coatings resistant to reactive plasma processing
US20190019655A1 (en) Plasma resistant semiconductor processing chamber components
CN215183847U (en) Protective coating for semiconductor parts
CN115223833A (en) Protective coating for semiconductor parts and method for producing same
JP5950230B2 (en) Ceramic coating
JP2013181243A (en) Aluminum nitride coating film and coated member coated with the same
JP2002179457A (en) Corrosion-resisting member

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, JENNIFER Y;XU, LI;COLLINS, KENNETH S;AND OTHERS;SIGNING DATES FROM 20080312 TO 20080319;REEL/FRAME:020746/0007

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, JENNIFER Y;XU, LI;COLLINS, KENNETH S;AND OTHERS;SIGNING DATES FROM 20080312 TO 20080319;REEL/FRAME:020746/0007

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12