US8158017B2 - Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations - Google Patents

Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations Download PDF

Info

Publication number
US8158017B2
US8158017B2 US12/149,982 US14998208A US8158017B2 US 8158017 B2 US8158017 B2 US 8158017B2 US 14998208 A US14998208 A US 14998208A US 8158017 B2 US8158017 B2 US 8158017B2
Authority
US
United States
Prior art keywords
substrate
plasma
gases
gas
real
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/149,982
Other versions
US20090280581A1 (en
Inventor
Eric Hudson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US12/149,982 priority Critical patent/US8158017B2/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUDSON, ERIC
Priority to CN2009801174991A priority patent/CN102027576B/en
Priority to JP2011509471A priority patent/JP5536041B2/en
Priority to KR1020107025359A priority patent/KR101570552B1/en
Priority to PCT/US2009/002726 priority patent/WO2009139828A2/en
Priority to TW098115717A priority patent/TWI591742B/en
Priority to TW106100910A priority patent/TW201712776A/en
Publication of US20090280581A1 publication Critical patent/US20090280581A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FISCHER, ANDREAS, HUDSON, ERIC
Priority to US13/422,670 priority patent/US20120175060A1/en
Publication of US8158017B2 publication Critical patent/US8158017B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Abstract

A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.

Description

BACKGROUND
Plasma processing apparatuses are used to process substrates by techniques including etching, physical vapor deposition (PVD), chemical vapor deposition (CVD), ion implantation and resist removal. One type of plasma processing apparatus used in plasma processing includes a reaction chamber containing upper and bottom electrodes. An RF generated plasma between the electrodes produces energetic ions and neutral species that etch the wafer substrate and chamber parts within the reaction chamber.
SUMMARY
In one embodiment, a method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value.
In another embodiment, a plasma processing apparatus includes a substrate holder which supports a substrate within an interior of a reaction chamber. A gas supply supplies process gas to the interior of the reaction chamber using a gas distribution member. A power source supplies energy into the interior of the reaction chamber and energizes the process gas into a plasma state for processing the substrate. A gas sensor is adapted to monitor gas species in the reaction chamber during plasma processing to identify gas species produced by substrate arcing. An alarm generates a warning signal when gas species produced by substrate arcing are identified.
BRIEF DESCRIPTION OF FIGURES
FIG. 1A is a cross-sectional view of an exemplary embodiment of a plasma apparatus.
FIG. 1B is a cross-sectional view of an inductively coupled plasma processing apparatus.
FIG. 2A is a real-time signal from an RGA mass spectrometer of intensity as a function of atomic mass for processing of a silicon coupon coated with organic photoresist in Ar plasma.
FIG. 2B is a real-time signal from an RGA mass spectrometer of intensity as a function of atomic mass for processing of a silicon coupon coated with organic photoresist with thermal paste applied to the backside of the coupon during processing in Ar plasma.
FIG. 2C is a real-time signal from an RGA mass spectrometer of intensity as a function of atomic mass for processing of a silicon coupon during processing in Ar plasma.
FIG. 3 is a real-time signal from an RGA mass spectrometer of intensity as a function of atomic mass for processing of a silicon coupon in CF4 plasma.
FIG. 4A is a real-time signal from an RGA mass spectrometer of intensity as a function of atomic mass during the occurrence of an arcing event for processing of a silicon coupon coated with organic photoresist in Ar plasma.
FIG. 4B is a real-time signal from an RGA mass spectrometer of intensity as a function of time during the occurrence of an arcing event for processing of a silicon coupon coated with organic photoresist in Ar plasma.
FIG. 5 is a real-time signal from an RGA mass spectrometer of intensity as a function of atomic mass for a CF4/N2 gas mixture, in which no plasma is generated.
DETAILED DESCRIPTION
Semiconductor materials can be manufactured into specific electronic devices, such as transistors, diodes, capacitors and the like, by the selective build up and removal of layers of materials. In the fabrication of integrated circuits, the continuing increase in the number of devices on a chip and the accompanying decrease in the minimum feature sizes have placed increasingly difficult demands upon many of the fabrication steps used in integrated circuit fabrication including depositing layers of different materials onto sometimes difficult topologies and the removal of material and formation of features within those layers.
Plasma-enhanced chemical vapor deposition (PECVD) can be used to form various thin films in a semiconductor integrated circuit. For example, PECVD can form thin films such as SiO2, Si3N4, Si or the like with high purity and high quality. In the reaction process of forming a thin film, raw material can be supplied to a reaction chamber in the form of gaseous constituents so that gaseous molecules are thermally dissociated and combined in the gas and on a surface of the substrates so as to form a thin film.
Etching is one technique for removing layers of semiconductor or other materials from a given substrate and producing features on integrated circuits. For example, openings (e.g., trenches or vias) can be formed in a substrate layer by depositing an overlying mask layer, such as an organic photoresist. The mask layer can be patterned into the shape of a trench, contact or via, followed by etching.
Plasma etching is of particular interest in producing electronic devices due to better resolution and improved dimensional and shape control capabilities in comparison to various methods of wet etching. Accordingly, plasma etching is favorably utilized where superior pattern control and delineation are required, such as the processing of semiconductor wafers to form large scale integrated devices and integrated circuits.
A plasma reactor may be employed to perform various processes on a semiconductor wafer in microelectronic fabrication including dry etching or deposition. A wafer is placed inside a vacuum chamber of the reactor and process gases, including etchant or deposition gases, are introduced into the chamber. The gases are energized to ignite and maintain a plasma. Depending upon the composition of the gases from which the plasma is formed, the plasma may be employed to etch a particular material from the wafer or may be employed to deposit a thin film layer of material onto the wafer. The processing chambers are configured to receive processing gases (i.e., etch chemistries) while a radio frequency (RF) power is applied to one or more electrodes of the processing chamber. The pressure inside the processing chamber is also controlled for the particular process. Upon applying the desired RF power to the electrode(s), the process gases in the chamber are activated such that a plasma is created. The plasma is thus generated to perform the desired etching of the selected layers of the semiconductor wafer.
As integrated circuit devices continue to shrink in both their physical size and their operating voltages, their associated manufacturing yields become more susceptible to any defects affecting critical features. Defects on the wafer surface can locally disrupt pattern transfer during photolithography and etching steps.
One such source of defects is related to arcing events which can occur during plasma processing of the wafer. In general, arcing refers to transient high density plasma filaments that carry electrical current between two surfaces in the reactor and/or the wafer. Substrate arcing refers to arcing that occurs either between the reactor and the wafer; or between two locations within the wafer. Substrate arcing can potentially cause material degradation of the wafer, including undesirable sputtering of material, depositing of material or high temperature volatilization of some materials. Although arcs typically have small diameters and short duration, the power density of the arc can cause significant damage, even if the total power dissipation is small. For example, substrate arcing events can produce fine craters (i.e., on the orders of microns) which can damage critical features, including gate structures, intermetal dielectric layers (inorganic or organic) or metallic interconnect lines, resulting in the malfunction or failure of the integrated circuit component. Thus, a need exists for a reliable method of detecting substrate arcing in real-time to ensure the plasma processing apparatuses experiencing substrate arcing are taken off-line for maintenance before multiple wafers are damaged.
A method of detecting substrate arcing is provided, through the real-time monitoring of gas species and identification of gas species produced by substrate arcing in the plasma processing apparatus. Substrate arcing events within a wafer or between a wafer surface and a plasma chamber surface releases volatile species into the plasma. For example, a substrate arcing event can cause rapid heating of the substrate and release volatile decomposition products associated with silicon, aluminum, copper (i.e., aluminum-, copper-, or silicon-bearing species) or organic materials (i.e., photoresist or organic dielectrics), resulting in a sudden increase or spike in the concentration of decomposition products near the wafer surface. Products related to the decomposition of photoresist, for example, can produce more stable molecules, which are capable of diffusing to a sensor capable of measuring gas concentrations, located near the wafer surface. On the other hand, decomposition products related to the silicon, aluminum or copper may condense on the surface of the wafer prior to detection.
Although substrate arcing events can produce gaseous species (e.g., from decomposition of organic materials) some gaseous species may be undetectable due to the high background concentration of process gases (i.e., etching gases or CVD gases). Examples of process gases used for etching include hydrocarbon gases (e.g., CXHY), fluorocarbon gases (e.g., CXFY), hydrofluorocarbon gases (e.g., CXHYFz), halogen-containing gases (e.g., NF3, HBr, Cl2), oxygen-containing gases (e.g., O2), nitrogen-containing gases (e.g., N2, NH3) or inert gases (e.g., He, Ar). Examples of process gases used for thin-film deposition include silicon-containing reactant gas selected from the group consisting of SiH4, SiF4, Si2H6, tetraethylorthosilicate (TEOS), tetramethylcyclotetrasiloxane (TMCTS) and mixtures thereof. The process gases for thin-film deposition may include H2, O2, N2, NH3, NF3, N2O, and NO, and mixtures thereof.
FIG. 1 illustrates an exemplary semiconductor material plasma processing apparatus 100 for etching. Plasma processing apparatus 100 comprises a reaction chamber 102 containing a substrate support 104 on which a substrate 106 is supported during plasma processing. The substrate support 104 for supporting a substrate 106 in the interior of the reaction chamber 102 can include a clamping device, preferably an electrostatic chuck, which is operable to clamp the substrate 106 on the substrate support 104 during processing.
The exemplary plasma process chamber 100 shown in FIG. 1 includes a showerhead electrode assembly having a top plate 108 forming a wall of the reaction chamber 102 and a showerhead electrode 110 attached to the top plate 108. Gas supply 112 supplies process gas to the interior of the reaction chamber 102, via showerhead electrode 110. Showerhead electrode 110 includes multiple gas passages 114 extending through the thickness of the showerhead electrode 110 for injecting process gas into a space in a plasma reaction chamber 102 located between showerhead electrode 110 and the substrate support 104.
The process gas flows through showerhead electrode 110 and into the interior of the reaction chamber 102. Next, the process gas is energized into the plasma state in the plasma process chamber 100 by a power source 116A, such as an RF source driving showerhead electrode 110, and/or a power source 116B at one or more frequencies from about 0.3 to about 600 MHz (e.g., 2 MHz, 13.56 MHz, 60 MHz) driving an electrode in the substrate support 104 at one or more frequencies from about 0.3 to about 600 MHz (e.g., 2 MHz, 13.56 MHz, 60 MHz). The RF power applied to the showerhead electrode 110 can be changed to perform different process steps such as when different gas compositions are supplied into the plasma process apparatus 100. In another embodiment, showerhead electrode 110 can be grounded.
In one embodiment, the plasma can be generated in the interior of plasma process chamber 100 by supplying RF energy from two RF sources to the showerhead electrode 110 and/or the substrate support 104, or the showerhead electrode 110 can be electrically grounded and RF energy at a single frequency or multiple frequencies can be supplied to the substrate support 104. Additionally, a plasma confinement ring assembly 118 can be provided outwardly of showerhead electrode 110 and substrate support 104 to confine the plasma in the space located between the showerhead electrode 110 and substrate support 104. A detailed discussion of plasma confinement rings and secondary grounds used in RF capacitively coupled plasma reactors can be found in commonly assigned U.S. Pat. No. 5,534,751, which is hereby incorporated by reference.
Once a substrate arcing event occurs and upon the release of gaseous species associated with decomposition products, it would be preferable to detect these decomposition products before such gases become diluted in dynamic flow of the processing gases and the concentration of the decomposition products in the etching gases falls below the detection limit of the gas detector.
Gaseous species associated with substrate arcing can be detected by collecting volatile decomposition products for analysis by gas sensor 120 with a sampling structure 122 and transported to gas sensor along gas line 124. To prevent condensation of such gaseous species, gas line 124 can be heated. For greater sensitivity of the gaseous species associated with substrate arcing, sampling structure 122 can be placed in the vicinity of the substrate 106 placed on substrate support 104.
In one embodiment, sampling structure 122 can be a tube placed outwardly of the plasma confinement rings 118. Exemplary sampling tube materials can include quartz, silicon, silicon nitride or silicon carbide or other plasma resistant ceramic materials such as yttria. In another embodiment, sampling structure 122 can be a channel embedded directly in showerhead electrode 110. Once substrate arcing has been detected, a signal from alarm 126 is generated. For example, the alarm 126 can be an audio warning, visual warning, an electronic record or instructing an operator to take corrective action to minimize substrate arcing or to terminate plasma processing.
In another embodiment, as illustrated in FIG. 2, inductively coupled plasma (ICP) processing apparatus 200 can be used for depositing (e.g., plasma enhanced chemical vapor deposition or PECVD) and plasma etching of materials on substrates by supplying process gas into a vacuum chamber at a low pressure (i.e., below 50 mTorr) and the application of radio-frequency (RF) energy to the gas. FIG. 2 is a cross-sectional view of an embodiment of an ICP plasma processing apparatus 200. An example of an ICP plasma processing chamber is the TCP® etch or deposition system, manufactured by Lam Research Corporation, Fremont, Calif. The ICP plasma processing apparatus is also described, for example, in commonly-owned U.S. Pat. No. 4,948,458, which is incorporated by reference in its entirety. Reaction chamber 202 includes a substrate support 204 for supporting the substrate 206 in the interior of the reaction chamber 202. Dielectric window 208 forms a top wall of reaction chamber 202. Process gases are injected to the interior of the reaction chamber 202 through a gas distribution member 210. Examples of gas distribution member 210 include a showerhead, gas injector or other suitable arrangement. A gas supply 212 supplies process gases to the interior of reaction chamber 202 through gas distribution member 210.
Once process gases are introduced into the interior of reaction chamber 202, they are energized into a plasma state by an energy source 216 supplying energy into the interior of reaction chamber 202. Preferably, the energy source 216 is an external planar antenna powered by an RF source 218A and RF impedance matching circuitry 218B to inductively couple RF energy into reaction chamber 202. An electromagnetic field generated by the application of RF power to planar antenna energizes the process gas to form a high-density plasma P (e.g., 1011-1012 ions/cm3) above substrate 206.
A dielectric window 208 underlies planar antenna and gas distribution member 210 is placed below dielectric window 208. A high-density plasma is generated in the zone between gas distribution member 210 and substrate 206, for either deposition or etching of substrate 206.
Similar to the FIG. 1 embodiment, gaseous species associated with substrate arcing can be detected by collecting such gaseous species for analysis with gas sensor 220 with a sampling structure 222 and transported to gas sensor along gas line 224. To prevent condensation of the decomposition products, gas line 224 can be heated. For greater sensitivity of decomposition products, sampling structure 222 is placed in the vicinity of substrate 206 placed on substrate support 204. Once substrate arcing has been detected, a warning signal from alarm 226 is generated. For example, the alarm 226 can be an audio warning, visual warning, an electronic record or instructing an operator to take corrective action to minimize substrate arcing or to terminate plasma processing.
In a preferred embodiment, the gas sensor 120/220 can be a mass spectrometer, preferably, a residual gas analyzer (RGA) mass spectrometer. RGA mass spectrometers are suitable for measuring trace gas concentrations in vacuum systems and operate by analyzing the sample gas. The sample gas is ionized and the ions are separated based on mass-to-charge ratio by a quadrupolar electric field using a combination of direct current (DC) and radio-frequency (RF) potentials. The instrument measures the flux of ions versus mass-to-charge ratio, and thereby provides a detailed chemical analysis of the sample gas. The RGA can be equipped with an electron multiplier for additional sensitivity or higher scanning speeds (e.g., 20 Hz or more at a single mass). In the preferred embodiment, the RGA can be used to detect the decomposition by-products of photoresist, which is detectable over the background processing gases. For example, a real-time signal from a mass spectrometer can be generated during plasma processing. The real-time signal from the mass spectrometer can include either a full spectrum of atomic masses (e.g., up to 200 AMU) or collection at a single mass.
As described above, not all gaseous species relating to a substrate arcing event are readily detectable, due to the high background concentration of process gases. For example, the gaseous species relating to a substrate arcing event and the process gas may generate overlapping peaks (i.e., two peaks at the same AMU) during real-time RGA mass spectrometry characterization. In this case, due to the lower concentration of such gaseous species relative to the process gas, a peak associated with such gaseous species may be undetectable.
Thus, selected gas species associated with substrate arcing must be readily detected in the presence of processing gas. Selected gas species associated with substrate arcing can be identified by comparing: (i) baseline (or reference) spectrometry signals of the process gas in the absence of an arcing event; to (ii) spectrometry signals during a substrate arcing event. In comparing the two different spectrometry signals, selected gas species associated with substrate arcing can be readily identified.
In alterative embodiments, the gas sensors can include inductively coupled plasma optical emission (ICP-OE) spectrometers, infrared absorption spectrometers or a Fourier transform infrared (FTIR) spectrometers. However, the ICP-OE and FTIR techniques may be less than satisfactory for certain applications, because the ability to detect different gases is strongly dependent upon atomic and molecular structure.
EXAMPLE 1
To simulate an arcing event during plasma processing, silicon coupons (about 3 cm×3 cm) were coated with a 193 nm organic photoresist coating and subsequently thermally decomposed during plasma processing in Ar plasma. These tests demonstrated that thermal decomposition of by-products of organic photoresists in an inert gas plasma were detectable using the RGA mass spectrometry technique. Tests were performed in a 2300® EXELAN® FLEX-3X™ dielectric etching system, manufactured by Lam Research Corporation (Fremont, Calif.) and gas species were monitored by a RGA200 residual gas analyzer, manufactured by Stanford Research Systems (Sunnyvale, Calif.).
The thermal decomposition test was performed by coating a 193 nm organic photoresist on a silicon test coupon (about 3 cm×3 cm) and plasma processing the test coupon in Ar plasma. Each test coupon was placed over a bare silicon wafer. A gas mixture of 200 SCCM Ar was introduced into the etch chamber at a chamber pressure of 80 mTorr. Duel-frequency RF power was applied to the bottom electrode, about 1500 W at a frequency of about 2 MHz and about 800 W at a frequency of about 60 MHz. The temperature of the lower electrode was set at about 60° C.; the temperature of the upper electrode was set at about 120° C. During plasma processing, the temperature of the silicon wafer was about 20° C. to about 30° C. higher than the temperature of the lower electrode, which was set to about 60° C. The temperature of the test coupon mounted on the silicon wafer is potentially much higher, depending on the extent of thermal coupling between the coupon and the silicon wafer. In this case, with poor thermal contact, the test coupon is expected to achieve a temperature much higher than that of the silicon wafer. The total process time was about 120 seconds. During plasma processing, the composition of the gases flowing through the processing chamber were monitored by RGA mass spectrometry. The combination of the plasma exposure and heating resulted in the thermal decomposition of the organic photoresist. FIG. 2A is a real-time signal from an RGA mass spectrometer of intensity (in arbitrary units) as a function of atomic mass (in atomic mass units or AMU) for the decomposition of organic photoresist in an Ar plasma.
To identify the atomic mass peak associated with organic photoresist decomposition, the real-time signal from an RGA mass spectrometer for the silicon and silicon with a photoresist coating without decomposing was measured. The test conditions described above were repeated for: (i) a bare silicon wafer with no coupon in Ar plasma; and (ii) a photoresist-coated silicon coupon attached to a bare silicon wafer with thermal paste in Ar plasma.
To prevent thermal decomposition, the thermal paste was applied to the backside of the test coupon to facilitate the removal of heat from the photoresist coating due to plasma processing. This results in a much lower temperature at the test coupon, as compared to the case with no thermal paste. The real-time signals from the RGA mass spectrometer for the bare silicon coupon and the photoresist coated silicon coupon with thermal paste are illustrated in FIGS. 2B and 2C, respectively. The real-time signals in FIGS. 2A-2C were measured during the first few seconds of plasma processing.
In comparing FIGS. 2A-2C, it has been determined that a peak at atomic mass 86 was associated with the thermal decomposition of organic photoresist, which also exhibited a gradual decay as a function of time with continued plasma processing. Thus, this testing has demonstrated that the thermal decomposition of photoresist results in an extra peak at mass 86.
However, although a peak at mass 86 was identified as a potential indicator for photoresist decomposition, the use of fluorine-based etching gases may potentially mask the peak at mass 86 associated with photoresist decomposition. The above described test was repeated using CF4 gas instead of Ar for processing a bare silicon coupon. The real-time signal from the RGA mass spectrometer for bare silicon in CF4 plasma is illustrated in FIG. 3. From the real-time signal in FIG. 3, it has been determined that CF4 plasma is characterized by two intense peaks at mass 85 and at mass 86, in the absence of organic photoresist. Thus, the detection of a mass peak 86 to indicate organic photoresist decomposition could be less than completely satisfactory if the process gas is fluorocarbon based (e.g., CF4).
EXAMPLE 2
In the next set of tests, organic photoresist decomposition products were detected by RGA mass spectrometry during an arcing event. As described above, the tests were performed in a 2300® EXELAN® FLEX-3X™ dielectric etching system coupled with a RGA200 residual gas analyzer for real-time analysis of gas concentration during plasma processing.
A silicon coupon was coated with 193 nm organic photoresist and subjected to plasma processing in Ar plasma. During plasma processing, the composition of the gases flowing through the processing chamber were monitored by RGA mass spectrometry. To induce arcing, the photoresist coated wafer was attached to a bare silicon coupon (about 3 cm×3 cm) that was partially grounded by bonding a metal wire to the coupon.
Argon flowing at 575 SCCM was introduced into the etch chamber at a chamber pressure of 80 mTorr. RF power was applied to the bottom electrode with a power of about 1000 W at a frequency of about 27 MHz. The temperature of the lower electrode was set at about 20° C.; the temperature of the upper electrode was set at about 80° C. During plasma processing, the composition of the gases flowing through the processing chamber were monitored by RGA mass spectrometry. The silicon wafer was exposed to plasma processing for about 60 seconds, in which an arcing event was detected after about 25 seconds of processing. After testing was completed, the arcing event was confirmed by a visual inspection of the silicon coupon and the showerhead electrode. Both the silicon coupon and showerhead electrode exhibited discoloration that was characteristic of damage caused by arcing.
FIG. 4A is a real-time signal from the RGA mass spectrometer of intensity (in arbitrary units) as a function of atomic mass (in atomic mass units or AMU) for the decomposition of organic photoresist in Ar plasma. Regions of interest (i.e., mass 12.1, mass 15, mass 25.3, mass 26.3 and mass 85.6) in FIG. 4A, are indicated by the dark arrows. FIG. 4B is a real-time signal from the RGA mass spectrometer of intensity as a function of plasma processing time for mass 12.1, mass 15, mass 25.3, mass 26.3 and mass 85.6. As illustrated in FIG. 4B, five atomic masses experienced a sharp increase in intensity at about 20 seconds. From about 25 to 30 seconds, the five atomic masses reached a maximum intensity. At about 35 seconds, the intensities of the five atomic masses fell to their original levels. Thus, this testing has demonstrated that the thermal decomposition of photoresist associated with an arcing event results in extra peaks at mass 12.1, mass 15, mass 25.3, mass 26.3 and mass 85.6.
As described above, the use of fluorine-based etching gases may potentially mask the mass peaks which indicate the occurrence of photoresist decomposition associated with an arcing event. Real-time analysis of gas concentration of a CF4/N2 etching gas mixture was performed by flowing 150 SCCM CF4/50 N2 into the etching chamber at a pressure of 80 mTorr. No plasma was generated. The real-time signal from the RGA mass spectrometer for the CF4/N2 gas mixture is illustrated in FIG. 5. From FIG. 5, the CF4/N2 real-time signal from the mass spectrometer is characterized by intense peaks at mass 12.1 and 25.3, potentially masking any increase in intensity due to arcing. In comparing the real-time signals of FIG. 4A and FIG. 5, it has been determined that arcing events resulting in extra peaks at mass 15 and mass 26.3 are readily detectable in a CF4 etching gas.
The preferred embodiments are merely illustrative and should not be considered restrictive in any way. The scope of the invention is given by the appended claims, rather than the preceding description, and all variations and equivalents which fall within the range of the claims are intended to be embraced therein.

Claims (13)

1. A method of detecting substrate arcing in a semiconductor plasma processing apparatus, comprising:
placing a substrate on a substrate support in a reaction chamber of a plasma processing apparatus;
introducing process gas into the reaction chamber;
generating a plasma from the process gas;
processing the substrate with the plasma;
monitoring intensities of real-time mass-spectrometry signals of selected gas species produced in the reaction chamber during plasma processing, wherein the selected gas species are generated by a substrate arcing event; and
detecting the arcing event when the intensities are above a threshold value.
2. The method of claim 1, wherein the substrate contains aluminum, copper, silicon, organic dielectric or organic photoresist; and the selected gas species generated by the substrate arcing event include aluminum-, copper- or silicon-bearing species and/or decomposition products of the organic material.
3. The method of claim 1, further comprising identifying selected gas species generated by the substrate arcing event, comprising:
monitoring intensities of real-time mass-spectrometry signals of the process gases in the absence of the substrate arcing event;
monitoring intensities of real-time mass-spectrometry signals during the substrate arcing event; and
comparing the intensities of real-time mass-spectrometry signals of the process gases in the absence of the substrate arcing event to the intensities of real-time mass-spectrometry signals during the substrate arcing event.
4. The method of claim 1, wherein monitoring intensities of real-time mass-spectrometry signals is performed by a residual gas analyzer (RGA) mass spectrometer.
5. The method of claim 3, wherein the selected gas species include decomposition products which produce signals at 12, 15, 25, 26, 85 or 86 atomic mass units (AMU) in a residual gas analyzer (RGA).
6. The method of claim 1, further comprising generating a warning signal when an arcing event is detected to terminate the plasma process.
7. The method of claim 1, wherein introducing process gases into the reaction chamber is performed by injecting processing gases through a showerhead; and monitoring intensities of real-time mass-spectrometry signals of selected gas species includes collecting gas species from a channel in the showerhead or a sampling tube adjacent to the substrate.
8. The method of claim 1, wherein processing the substrate with the plasma includes: (a) plasma etching of semiconductors, metals or dielectrics; or (b) deposition of conductive or dielectric material.
9. The method of claim 8, wherein the process gases for plasma etching include hydrocarbon gases, fluorocarbon gases, hydrofluorocarbon gases, halogen-containing gases, oxygen-containing gases, nitrogen-containing gases and inert gases; and mixtures thereof.
10. The method of claim 8, wherein process gases for deposition include silicon-containing reactant gas selected from the group consisting of SiH4, SiF4, Si2H6, tetraethylorthosilicate (TEOS), tetramethylcyclotetrasiloxane (TMCTS), alone or in combination with additional process gases including H2, O2, N2, NH3, NF3, N2O and NO; and mixtures thereof.
11. The method of claim 1, wherein the process gas is a fluorine containing etch gas and the signals monitored are at 12.1, 15, 25.3, 26.3 and 85.6 atomic mass units (AMU) in a residual gas analyzer (RGA).
12. The method of claim 1, wherein the selected gas species generated by the substrate arcing event are decomposition products of at least one of the process gas or a photoresist.
13. The method of claim 3, wherein the selected gas species include decomposition products which produce signals that are not masked by the substrate arcing event or by the plasma at 12.1, 15, 25.3, 26.3, 85, 85.6 or 86 atomic mass units (AMU) in a residual gas analyzer (RGA).
US12/149,982 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations Active 2031-02-14 US8158017B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US12/149,982 US8158017B2 (en) 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
CN2009801174991A CN102027576B (en) 2008-05-12 2009-05-04 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
JP2011509471A JP5536041B2 (en) 2008-05-12 2009-05-04 Method for detecting arcing phenomenon during wafer plasma processing by monitoring trace gas concentration, and plasma processing apparatus
KR1020107025359A KR101570552B1 (en) 2008-05-12 2009-05-04 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
PCT/US2009/002726 WO2009139828A2 (en) 2008-05-12 2009-05-04 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
TW106100910A TW201712776A (en) 2008-05-12 2009-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
TW098115717A TWI591742B (en) 2008-05-12 2009-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US13/422,670 US20120175060A1 (en) 2008-05-12 2012-03-16 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/149,982 US8158017B2 (en) 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/422,670 Division US20120175060A1 (en) 2008-05-12 2012-03-16 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Publications (2)

Publication Number Publication Date
US20090280581A1 US20090280581A1 (en) 2009-11-12
US8158017B2 true US8158017B2 (en) 2012-04-17

Family

ID=41267171

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/149,982 Active 2031-02-14 US8158017B2 (en) 2008-05-12 2008-05-12 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
US13/422,670 Abandoned US20120175060A1 (en) 2008-05-12 2012-03-16 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/422,670 Abandoned US20120175060A1 (en) 2008-05-12 2012-03-16 Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations

Country Status (6)

Country Link
US (2) US8158017B2 (en)
JP (1) JP5536041B2 (en)
KR (1) KR101570552B1 (en)
CN (1) CN102027576B (en)
TW (2) TW201712776A (en)
WO (1) WO2009139828A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10773282B2 (en) 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10892145B2 (en) * 2018-11-22 2021-01-12 Samsung Electronics Co., Ltd. Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ITRM20080304A1 (en) * 2008-06-11 2009-12-12 Univ Palermo PORTABLE DEVICE FOR DETECTION OF PARTIAL DISCHARGES
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US8502689B2 (en) * 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
KR101843443B1 (en) 2011-10-19 2018-05-15 삼성전자주식회사 plasma equipment and maintenance method of the same
DE102012200211A1 (en) * 2012-01-09 2013-07-11 Carl Zeiss Nts Gmbh Device and method for surface treatment of a substrate
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
KR101288047B1 (en) 2012-05-25 2013-07-23 주식회사 나노텍 Gas analysis apparatus using multi-frequency
CN103834927B (en) * 2014-03-17 2016-08-17 上海华虹宏力半导体制造有限公司 The method judging Magnet performance
JP6220319B2 (en) * 2014-07-17 2017-10-25 株式会社日立ハイテクノロジーズ Data analysis method, plasma etching method, and plasma processing apparatus
WO2017087378A1 (en) * 2015-11-16 2017-05-26 Tokyo Electron Limited Advanced optical sensor and method for plasma chamber
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
KR102090057B1 (en) 2017-12-11 2020-03-17 주식회사 이엘 TOF MS gas mass analysis monitoring system for semiconductor process chamber and gas line
KR102524810B1 (en) 2017-12-26 2023-04-24 삼성전자주식회사 Method for controlling semiconductor process
US10975470B2 (en) * 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11817297B2 (en) 2020-03-06 2023-11-14 Applied Materials, Inc. System and method for managing substrate outgassing

Citations (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5192894A (en) 1991-08-20 1993-03-09 Leybold Aktiengesellschaft Device for the suppression of arcs
US5241152A (en) 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5698082A (en) 1993-08-04 1997-12-16 Balzers Und Leybold Method and apparatus for coating substrates in a vacuum chamber, with a system for the detection and suppression of undesirable arcing
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5979225A (en) 1997-08-26 1999-11-09 Applied Materials, Inc. Diagnosis process of vacuum failure in a vacuum chamber
US5993615A (en) 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
US6146492A (en) 1997-12-30 2000-11-14 Samsung Electronics Co., Ltd. Plasma process apparatus with in situ monitoring, monitoring method, and in situ residue cleaning method
US6192287B1 (en) 1997-09-23 2001-02-20 On-Line Technologies, Inc. Method and apparatus for fault detection and control
US20010019903A1 (en) 1996-12-23 2001-09-06 Paul Kevin Shufflebotham Inductively coupled plasma CVD
US6286362B1 (en) 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6332961B1 (en) 1997-09-17 2001-12-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in RF plasma systems
US20020005159A1 (en) * 1997-06-30 2002-01-17 Masatoshi Kitagawa Method of producing thin semiconductor film and apparatus therefor
US6346428B1 (en) 1998-08-17 2002-02-12 Tegal Corporation Method and apparatus for minimizing semiconductor wafer arcing during semiconductor wafer processing
US20020102856A1 (en) * 2001-01-31 2002-08-01 Applied Materials, Inc. Interface with dielectric layer and method of making
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6500389B1 (en) 2000-03-02 2002-12-31 United Microelectronics Corp. Plasma arcing sensor
US6517670B2 (en) 1998-06-26 2003-02-11 Matsushita Electric Industrial Co., Ltd. Etching and cleaning apparatus
US6603538B1 (en) 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US20030205327A1 (en) * 2002-05-06 2003-11-06 Howald Arthur M. Apparatus and methods for minimizing arcing in a plasma processing chamber
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20040031699A1 (en) * 2002-08-19 2004-02-19 Applied Materials, Inc. Method for performing real time arcing detection
US6703250B2 (en) 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6736944B2 (en) 2002-04-12 2004-05-18 Schneider Automation Inc. Apparatus and method for arc detection
US6741092B2 (en) 2001-12-28 2004-05-25 Formfactor, Inc. Method and system for detecting an arc condition
US20040115943A1 (en) 2002-12-17 2004-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing visible light induced arcing in a semiconductor wafer manufacturing process
US6753499B1 (en) 2000-03-28 2004-06-22 Japan Science And Technology Corporation Method and apparatus for detecting anomalous discharge in plasma processing equipment using weakly-ionized thermal non-equilibrium plasma
US6759342B2 (en) 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6815362B1 (en) 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US20050067386A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US6881276B2 (en) 1997-08-01 2005-04-19 Applied Materials, Inc. Detecting the endpoint of a chamber cleaning
US6894474B2 (en) 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US6927076B2 (en) 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US6977184B1 (en) 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US20060027249A1 (en) * 2004-07-23 2006-02-09 Johnson Andrew D Method for removing carbon-containing residues from a substrate
US7006205B2 (en) 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
US7026174B2 (en) 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
US7064812B2 (en) 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
US7092077B2 (en) 2001-09-24 2006-08-15 Entegris, Inc. System and method for monitoring contamination
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7199327B2 (en) 2002-06-28 2007-04-03 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US7202169B2 (en) 2002-09-27 2007-04-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US7247252B2 (en) 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US7289866B2 (en) 2004-01-08 2007-10-30 Tokyo Electron Limited Plasma processing method and apparatus
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313280A (en) * 2000-04-02 2001-11-09 Axcelis Technologies Inc Postetched photoresist and method for removing residue
JP3541359B2 (en) 2001-09-19 2004-07-07 独立行政法人 科学技術振興機構 Substrate mounting table incorporating part of ultrasonic probe and sealing device for ultrasonic probe through hole
JP4074079B2 (en) * 2001-11-01 2008-04-09 Necエレクトロニクス株式会社 Plasma processing apparatus and plasma processing method
KR100488545B1 (en) * 2003-07-23 2005-05-11 삼성전자주식회사 Residual gas analyzer of semiconductor product device
US7146237B2 (en) * 2004-04-07 2006-12-05 Mks Instruments, Inc. Controller and method to mediate data collection from smart sensors for fab applications
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
JP4754419B2 (en) * 2006-07-03 2011-08-24 学校法人立命館 Plasma abnormal discharge diagnostic method, plasma abnormal discharge diagnostic system, and computer program

Patent Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241152A (en) 1990-03-23 1993-08-31 Anderson Glen L Circuit for detecting and diverting an electrical arc in a glow discharge apparatus
US5192894A (en) 1991-08-20 1993-03-09 Leybold Aktiengesellschaft Device for the suppression of arcs
US5718813A (en) 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
US5698082A (en) 1993-08-04 1997-12-16 Balzers Und Leybold Method and apparatus for coating substrates in a vacuum chamber, with a system for the detection and suppression of undesirable arcing
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US20010019903A1 (en) 1996-12-23 2001-09-06 Paul Kevin Shufflebotham Inductively coupled plasma CVD
US5993615A (en) 1997-06-19 1999-11-30 International Business Machines Corporation Method and apparatus for detecting arcs
US20020005159A1 (en) * 1997-06-30 2002-01-17 Masatoshi Kitagawa Method of producing thin semiconductor film and apparatus therefor
US6881276B2 (en) 1997-08-01 2005-04-19 Applied Materials, Inc. Detecting the endpoint of a chamber cleaning
US5979225A (en) 1997-08-26 1999-11-09 Applied Materials, Inc. Diagnosis process of vacuum failure in a vacuum chamber
US6332961B1 (en) 1997-09-17 2001-12-25 Tokyo Electron Limited Device and method for detecting and preventing arcing in RF plasma systems
US6192287B1 (en) 1997-09-23 2001-02-20 On-Line Technologies, Inc. Method and apparatus for fault detection and control
US6146492A (en) 1997-12-30 2000-11-14 Samsung Electronics Co., Ltd. Plasma process apparatus with in situ monitoring, monitoring method, and in situ residue cleaning method
US6517670B2 (en) 1998-06-26 2003-02-11 Matsushita Electric Industrial Co., Ltd. Etching and cleaning apparatus
US6346428B1 (en) 1998-08-17 2002-02-12 Tegal Corporation Method and apparatus for minimizing semiconductor wafer arcing during semiconductor wafer processing
US6286362B1 (en) 1999-03-31 2001-09-11 Applied Materials, Inc. Dual mode leak detector
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6500389B1 (en) 2000-03-02 2002-12-31 United Microelectronics Corp. Plasma arcing sensor
US6753499B1 (en) 2000-03-28 2004-06-22 Japan Science And Technology Corporation Method and apparatus for detecting anomalous discharge in plasma processing equipment using weakly-ionized thermal non-equilibrium plasma
US6603538B1 (en) 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US20020102856A1 (en) * 2001-01-31 2002-08-01 Applied Materials, Inc. Interface with dielectric layer and method of making
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6815362B1 (en) 2001-05-04 2004-11-09 Lam Research Corporation End point determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US7092077B2 (en) 2001-09-24 2006-08-15 Entegris, Inc. System and method for monitoring contamination
US6977184B1 (en) 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US6741092B2 (en) 2001-12-28 2004-05-25 Formfactor, Inc. Method and system for detecting an arc condition
US6703250B2 (en) 2002-02-14 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling plasma etch process
US6736944B2 (en) 2002-04-12 2004-05-18 Schneider Automation Inc. Apparatus and method for arc detection
US20030205327A1 (en) * 2002-05-06 2003-11-06 Howald Arthur M. Apparatus and methods for minimizing arcing in a plasma processing chamber
US7086347B2 (en) 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US7006205B2 (en) 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
US6894474B2 (en) 2002-06-07 2005-05-17 Applied Materials, Inc. Non-intrusive plasma probe
US7247252B2 (en) 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US7199327B2 (en) 2002-06-28 2007-04-03 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040031699A1 (en) * 2002-08-19 2004-02-19 Applied Materials, Inc. Method for performing real time arcing detection
US7202169B2 (en) 2002-09-27 2007-04-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US7026174B2 (en) 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
US6927076B2 (en) 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US6759342B2 (en) 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US20040115943A1 (en) 2002-12-17 2004-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of reducing visible light induced arcing in a semiconductor wafer manufacturing process
US6787484B2 (en) 2002-12-17 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd Method of reducing visible light induced arcing in a semiconductor wafer manufacturing process
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7064812B2 (en) 2003-08-19 2006-06-20 Tokyo Electron Limited Method of using a sensor gas to determine erosion level of consumable system components
US20050067386A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7289866B2 (en) 2004-01-08 2007-10-30 Tokyo Electron Limited Plasma processing method and apparatus
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US20060027249A1 (en) * 2004-07-23 2006-02-09 Johnson Andrew D Method for removing carbon-containing residues from a substrate
US7334477B1 (en) 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US7305311B2 (en) 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
International Search Report and Written Opinion mailed Dec. 4, 2009 for PCT/US2009/002726.

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10773282B2 (en) 2016-03-31 2020-09-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US11273469B2 (en) 2016-03-31 2022-03-15 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US10446453B2 (en) 2017-03-17 2019-10-15 Tokyo Electron Limited Surface modification control for etch metric enhancement
US10892145B2 (en) * 2018-11-22 2021-01-12 Samsung Electronics Co., Ltd. Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching

Also Published As

Publication number Publication date
US20090280581A1 (en) 2009-11-12
CN102027576B (en) 2013-02-27
KR101570552B1 (en) 2015-11-19
CN102027576A (en) 2011-04-20
KR20110021768A (en) 2011-03-04
TW201712776A (en) 2017-04-01
JP5536041B2 (en) 2014-07-02
JP2011523774A (en) 2011-08-18
TW201001582A (en) 2010-01-01
WO2009139828A2 (en) 2009-11-19
US20120175060A1 (en) 2012-07-12
WO2009139828A3 (en) 2010-01-21
TWI591742B (en) 2017-07-11

Similar Documents

Publication Publication Date Title
US8158017B2 (en) Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
CN107424898B (en) Cleaning method of plasma processing apparatus
US6136214A (en) Plasma processing method and apparatus
US6492186B1 (en) Method for detecting an endpoint for an oxygen free plasma process
Yonekura et al. Investigation of ash damage to ultralow-k inorganic materials
US9412567B2 (en) Plasma monitoring method and plasma monitoring system
TWI808080B (en) Method of etching a substrate, substrate processing chamber, and optical emission spectrometer assembly
CN101536155A (en) Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US7842619B2 (en) Plasma processing method
JP2008505493A (en) End point determination method and apparatus for plasma processing system
TW201833980A (en) Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
KR100690144B1 (en) Gas analyzer using plasma
Seong et al. Characterization of SiO2 over poly-Si mask etching in Ar/C4F8 capacitively coupled plasma
US6793833B2 (en) Etching method of organic insulating film
US7758763B2 (en) Plasma for resist removal and facet control of underlying features
JP3563214B2 (en) Plasma etching method
US6743733B2 (en) Process for producing a semiconductor device including etching using a multi-step etching treatment having different gas compositions in each step
Pearton et al. Optical emission spectroscopy of electron cyclotron resonance discharges for III-V semiconductor processing
KR19990081761A (en) Etching method
Lee et al. In-Situ Optical Monitoring of Atmospheric Pressure Plasma During Organic Surface Removal
Prasanna Substrate cleaning using a remote hydrogen rf-plasma

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HUDSON, ERIC;REEL/FRAME:021320/0434

Effective date: 20080509

AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUDSON, ERIC;FISCHER, ANDREAS;REEL/FRAME:025345/0254

Effective date: 20101102

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12