US8884346B2 - Semiconductor structure - Google Patents

Semiconductor structure Download PDF

Info

Publication number
US8884346B2
US8884346B2 US14/156,442 US201414156442A US8884346B2 US 8884346 B2 US8884346 B2 US 8884346B2 US 201414156442 A US201414156442 A US 201414156442A US 8884346 B2 US8884346 B2 US 8884346B2
Authority
US
United States
Prior art keywords
carbon
silicon germanium
cap layer
layer
containing silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/156,442
Other versions
US20140124835A1 (en
Inventor
Chin-I Liao
Chin-Cheng Chien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US14/156,442 priority Critical patent/US8884346B2/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHIEN, CHIN-CHENG, LIAO, CHIN-I
Publication of US20140124835A1 publication Critical patent/US20140124835A1/en
Application granted granted Critical
Publication of US8884346B2 publication Critical patent/US8884346B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Definitions

  • the present invention relates generally to a semiconductor structure and a process thereof, and more specifically to a semiconductor structure and a process thereof, that forms a carbon-containing silicon germanium cap layer on an epitaxial layer.
  • MOS transistors metal-oxide-semiconductor (MOS) transistors faster by making them smaller.
  • MOS metal-oxide-semiconductor
  • Crystal strain technology In order to improve device performances, crystal strain technology has been developed. Crystal strain technology is becoming more and more attractive as a mean to obtain better performances in the field of CMOS transistor fabrication. Putting a strain on a semiconductor crystal alters the speed at which charges move through that crystal. Strain makes CMOS transistors work better by enabling electrical charges, such as electrons, to pass more easily through the silicon lattice of the gate channel.
  • ingredients of the epitaxial layer are complex and will diffuse easily and pollute the peripheries during subsequent processes.
  • the present invention provides a semiconductor structure and a process thereof that forms a carbon-containing silicon germanium cap layer on an epitaxial layer, to prevent germanium in the epitaxial layer or in the cap layer from precipitating to the surface of the cap layer.
  • the present invention provides a semiconductor structure including a gate structure, an epitaxial layer and a carbon-containing silicon germanium cap layer.
  • the gate structure is located on a substrate.
  • the epitaxial layer is located in the substrate beside the gate structure.
  • the carbon-containing silicon germanium cap layer is located on the epitaxial layer.
  • the present invention provides a semiconductor process including the following steps.
  • a gate structure is formed on a substrate.
  • An epitaxial layer is formed in the substrate beside the gate structure.
  • An in-situ epitaxial process is performed to form a carbon-containing silicon germanium cap layer on the epitaxial layer.
  • the present invention provides a semiconductor process including the following steps.
  • a gate structure is formed on a substrate.
  • An epitaxial layer is formed in the substrate beside the gate structure.
  • a silicon germanium cap layer is formed on the epitaxial layer. Carbon is doped into the silicon germanium cap layer to form a carbon-containing silicon germanium cap layer on the epitaxial layer.
  • the present invention provides a semiconductor structure and a process thereof that forms a carbon-containing silicon germanium cap layer on an epitaxial layer, to prevent germanium in the epitaxial layer or in the cap layer from precipitating to the surface of the cap layer. Therefore, black spots formed on the surface of the cap layer are avoided.
  • FIGS. 1-4 schematically depict cross-sectional views of a semiconductor process according to a first embodiment of the present invention.
  • FIGS. 5-10 schematically depict cross-sectional views of a semiconductor process according to a second embodiment of the present invention.
  • FIGS. 1-4 schematically depict cross-sectional views of a semiconductor process according to a first embodiment of the present invention.
  • a substrate 110 is provided.
  • the substrate 110 may be a semiconductor substrate such as a silicon substrate, a silicon containing substrate, an III-V group-on-silicon (such as GaN-on-silicon) substrate, a graphene-on-silicon substrate or a silicon-on-insulator (SOI) substrate.
  • An isolation structure 10 is formed between every transistor to electrically isolate these transistors.
  • the isolation structure 10 may be a shallow trench isolation structure, but it is not limited thereto.
  • a gate structure G is formed on the substrate 110 .
  • the gate structure G may include a stacked structure composed of a buffer layer 122 , a dielectric layer 124 , a gate layer 126 , a cap layer 128 and a spacer 129 located on the substrate 110 beside the buffer layer 122 , the dielectric layer 124 , the gate layer 126 and the cap layer 128 .
  • methods of forming the gate structure G may include: entirely covering a buffer layer (not shown), a dielectric layer (not shown), a gate layer (not shown) and a cap layer (not shown) on the substrate 110 ; patterning layers of them to form a buffer layer 122 , a dielectric layer 124 , a gate layer 126 and a cap layer 128 ; conformally covering a spacer (not shown) on the cap layer 128 and the substrate 110 ; then, forming the spacer 129 by an etch process.
  • the buffer layer 122 may include an oxide layer.
  • the gate dielectric layer 124 may be a dielectric layer having a high dielectric constant, such as the group selected from hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), zirconium oxide (ZrO 2 ), strontium titanate oxide (SrTiO 3 ), zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), strontium bismuth tantalite (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1 -xO 3 , PZT) and barium strontium titanate (B
  • the gate layer 126 may include a polysilicon layer, or a sacrificial layer, which may be replaced by a metal layer to form a metal gate in subsequent processes.
  • the cap layer 128 may be a nitride layer or etc.
  • the spacer 129 may be a single layer or a multilayer structure composed of silicon nitride, silicon oxide or etc.
  • the aforesaid materials of the buffer layer 122 , the dielectric layer 124 , the gate layer 126 and the cap layer 128 are just some cases, but the present invention is not restricted thereto.
  • two recesses R are formed in the substrate 110 beside the gate structure G by methods such as sequentially performing a dry etching process and a wet etching process.
  • an epitaxial layer 130 is formed in the recesses R beside the gate structure G through a selectively epitaxial process as shown in FIG. 3 .
  • An ion implantation process or an in-situ doping process may be performed before the recesses R are formed, after an epitaxial layer 130 is formed, or as an epitaxial layer 130 is formed, to implant dopants into the epitaxial layer 130 for forming a source/drain region in a transistor.
  • each recess R has a diamond-shaped cross-sectional profile structure or other shaped cross-sectional profile structure.
  • the sidewalls of each recess R below the gate structure G has a sharp corner, enabling the epitaxial layer 130 formed in each recess R to have a diamond shaped cross-sectional profile structure as well.
  • the epitaxial layer 130 may be a silicon germanium epitaxial layer, and the concentration of germanium is larger than 36% for forming a P-MOS transistor.
  • the epitaxial layer 130 may be a silicon carbide epitaxial layer for forming an N-MOS transistor.
  • the epitaxial layer 130 may be a silicon epitaxial layer, or an epitaxial layer with different material layers, so that the epitaxial layer 130 may be composed of a lower silicon germanium epitaxial layer and an upper silicon epitaxial layer.
  • An epitaxial layer (not shown) with low concentration of germanium such as 25% of germanium or a pure silicon epitaxial layer may also be selectively formed in each recess R trough a selective epitaxial process to prevent the threshold voltage of the transistors from decreasing dramatically due to the great difference of lattice constant while contacting the surfaces between the epitaxial layer 130 and the substrate 110 , but it is not limited thereto.
  • an epitaxial process is performed to form a silicon epitaxial layer 140 a with low germanium concentration on the epitaxial layer 130 to serve as a reacting region during a later salicide process, so that agglomeration of metals and germanium during the salicide process can be avoided.
  • the epitaxial layer 130 is a silicon germanium epitaxial layer, and germanium in the epitaxial layer 130 may diffuse to the surface S of the silicon epitaxial layer 140 a , resulting in black spots generated on the surface S of the silicon epitaxial layer 140 a.
  • FIGS. 5-10 schematically depict cross-sectional views of a semiconductor process according to a second embodiment of the present invention.
  • a carbon-containing silicon germanium cap layer 140 b is formed on the epitaxial layer 130 .
  • a first forming method is shown in FIG. 5
  • a second forming method is shown in FIGS. 6-7 .
  • the first method is a first method:
  • an in-situ epitaxial process P 1 is performed to form a carbon-containing silicon germanium cap layer 140 b on the epitaxial layer 130 . More precisely, the in-situ epitaxial process P 1 is implanting carbon atoms in the cap layer while the silicon germanium cap is formed.
  • the processing gas imported in the in-situ epitaxial process P 1 may be methyl silane (MS) or monomethyl silane (MMS) etc, and the chemical formula may be (CH 3 ) x Si 4 ⁇ x ; X>1, so that carbon can be implanted into the silicon germanium cap layer while the silicon germanium cap layer is formed, so that the carbon-containing silicon germanium cap layer 140 b can be formed, but it is not limited thereto.
  • a silicon germanium cap layer 140 b ′ is formed on the epitaxial layer 130 .
  • a doping process P 2 is performed to implant carbon atoms into the silicon germanium cap layer 140 b ′. Therefore, a carbon-containing silicon germanium cap layer 140 b can be formed in the epitaxial layer 130 .
  • the processing gas imported while doping carbon may be methyl silane (MS) or monomethyl silane (MMS) etc, and the chemical formula may be (CH 3 ) x Si 4 ⁇ x ; X>1. Otherwise, an ion implantation process may be performed to implant carbon, but it is not limited thereto.
  • the carbon-containing silicon germanium cap layer 140 b can be formed by the epitaxial layer 130 by the first method or the second method.
  • the carbon-containing silicon germanium cap layer 140 b is higher than the top surface S 2 of the substrate 110 . Due to the carbon-containing silicon germanium cap layer 140 b containing carbon, diffusion of germanium in the epitaxial layer 130 and in the carbon-containing silicon germanium cap layer 140 b upwards to the surface of the carbon-containing silicon germanium cap layer 140 b during subsequent processes, such as a salicide process or etc, which leads to the formation of black spots on the surface of the carbon-containing silicon germanium cap layer 140 b and degrades the performances of transistors, can be avoided.
  • the chemical formula of the carbon-containing silicon germanium cap layer 140 b is SiGe x C z and the carbon concentration of the carbon-containing silicon germanium cap layer 140 b is 0.1% ⁇ 1%, while the X value is larger than or equal to 0%.
  • the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b is a gradient from top to bottom.
  • the diffusion of germanium in the epitaxial layer 130 or in the carbon-containing silicon germanium cap layer 140 b can be avoided and the tensile stresses induced by the carbon-containing silicon germanium cap layer 140 b on the gate channel C can be reduced by adjusting the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b .
  • the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b is a gradient decreasing vertically from top to bottom.
  • the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b may be a gradient decreasing horizontally from away to close to the gate structure G, but it is not limited thereto.
  • the distribution of the germanium content of the carbon-containing silicon germanium cap layer 140 b may be formed as a gradient decreasing from bottom to top, in order to preventing germanium in the carbon-containing silicon germanium cap layer 140 b from diffusing to the surface of the carbon-containing silicon germanium cap layer 140 b . Due to the upwards diffusion of germanium in the epitaxial layer 130 , the distribution of germanium in the epitaxial layer 130 may be a gradient decreasing from bottom to top.
  • a doping process P 3 may be selectively performed to implant boron atoms into the carbon-containing silicon germanium cap layer 140 b , so that a carbon and boron-containing silicon germanium cap layer 140 c can be formed.
  • the carbon and boron-containing silicon germanium cap layer 140 c will be consumed partially or entirely as a metal silicide covers the carbon and boron-containing silicon germanium cap layer 140 c . Since the carbon and boron-containing silicon germanium cap layer 140 c remains, the carbon and boron-containing silicon germanium cap layer 140 c can reduce the contact resistance.
  • boron is implanted into the carbon-containing silicon germanium cap layer 140 b and the epitaxial layer 130 at the same time while the doping process P 3 is performed.
  • the epitaxial layer 130 is a silicon germanium epitaxial layer and boron is implanted into the inside of the epitaxial layer 130 .
  • a photoresist may be used as a mask (not shown) selectively, enabling boron being implanted only in the inside of the epitaxial layer 130 , so that an internal epitaxial layer area 132 and an external epitaxial layer area 134 undoped with boron are formed, wherein the external epitaxial layer area 134 coats the sidewall S 3 and the bottom surface S 4 of the internal epitaxial layer area 132 .
  • boron may just be implanted into the carbon-containing silicon germanium cap layer 140 b.
  • boron may be implanted into the silicon germanium cap layer 140 b ′ by the doping process P 3 to form a boron containing silicon germanium cap layer (not shown). Then, carbon is implanted into the boron containing silicon germanium cap layer (not shown) by the doping process P 2 to form a carbon and boron-containing silicon germanium cap layer 140 c on the epitaxial layer 130 . Furthermore, boron may be implanted into the epitaxial layer 130 as well while boron is implanted into the silicon germanium cap layer 140 b′.
  • boron may be implanted into the cap layer or the epitaxial layer before the cap layer is formed, or after the cap layer is formed.
  • a doping process P 4 may be performed to implant boron into the epitaxial layer 130 . As shown in FIG. 9 , after the epitaxial layer 130 is formed (as shown in FIG. 3 ), a doping process P 4 may be performed to implant boron into the epitaxial layer 130 . As shown in FIG.
  • a photoresist may be used as a selective mask (not shown), enabling boron being implanted into the inside of the epitaxial layer 130 , so that an internal epitaxial layer area 132 and an external epitaxial layer area 134 without boron doping are formed, wherein the external epitaxial layer area 134 coats the sidewalls S 3 and the bottom surface S 4 of the internal epitaxial layer area 132 .
  • the carbon-containing silicon germanium cap layer 140 b is formed on the epitaxial layer 130 by said first method or said second method.
  • the carbon-containing silicon germanium cap layer 140 b does not have boron at this time, so that boron may be further implanted into the carbon-containing silicon germanium cap layer 140 b . Due to the epitaxial layer 130 already containing boron, boron atoms already present inside may diffuse to the carbon-containing silicon germanium cap layer 140 b during following thermal processes. Or, boron may be just implanted into the carbon-containing silicon germanium cap layer 140 b as the carbon-containing silicon germanium cap layer 140 b is formed on the epitaxial layer 130 , so that a carbon and boron-containing silicon germanium cap layer 140 c can be formed.
  • a silicon-containing cap layer may further be formed on the carbon-containing silicon germanium cap layer 140 b or on the carbon and boron-containing silicon germanium cap layer 140 c , to provide a layer that would be consumed during a later salicide process, thereby structures under the silicon-containing cap layer (not shown) can be reserved.
  • the present invention provides a semiconductor structure and a process thereof that forms a carbon-containing silicon germanium cap layer on an epitaxial layer, to prevent germanium in the epitaxial layer or in the cap layer from precipitating to the surface of the cap layer. Therefore, the formation of black spots on the surface of the cap layer is avoided.
  • methods of forming the carbon-containing silicon germanium cap layer on the epitaxial layer may include: (1) a carbon-containing silicon germanium cap layer is formed on the epitaxial layer by an in-situ epitaxial process; or, (2) a silicon germanium cap layer is formed on the epitaxial layer, and then carbon is implanted into the silicon germanium cap layer by a doping process, so that a carbon-containing silicon germanium cap layer is formed on the epitaxial layer.

Abstract

A semiconductor structure includes a gate structure, an epitaxial layer and a carbon-containing silicon germanium cap layer. The gate structure is located on a substrate. The epitaxial layer is located in the substrate beside the gate structure. The carbon-containing silicon germanium cap layer is located on the epitaxial layer. Otherwise, semiconductor processes for forming said semiconductor structure are also provided.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application is a divisional application of and claims the benefit of U.S. patent application Ser. No. 13/440,978, filed Apr. 5, 2012.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates generally to a semiconductor structure and a process thereof, and more specifically to a semiconductor structure and a process thereof, that forms a carbon-containing silicon germanium cap layer on an epitaxial layer.
2. Description of the Prior Art
For decades, chip manufacturers have made metal-oxide-semiconductor (MOS) transistors faster by making them smaller. As the semiconductor processes advance to very deep sub micron era, such as 65-nm node or beyond, how to increase the driving current for MOS transistors has become a critical issue.
In order to improve device performances, crystal strain technology has been developed. Crystal strain technology is becoming more and more attractive as a mean to obtain better performances in the field of CMOS transistor fabrication. Putting a strain on a semiconductor crystal alters the speed at which charges move through that crystal. Strain makes CMOS transistors work better by enabling electrical charges, such as electrons, to pass more easily through the silicon lattice of the gate channel.
In the prior arts, attempts have been made to use a strained silicon layer, which was grown epitaxially on a silicon substrate with a silicon germanium (SiGe) layer disposed in between. In this type of MOS transistor, a biaxial tensile strain occurs in the epitaxial silicon layer due to the silicon germanium having a larger lattice constant than the silicon one, and, as a result, the band structure alters, and the carrier mobility is increased. This enhances the speed performances of the MOS transistors.
However, ingredients of the epitaxial layer are complex and will diffuse easily and pollute the peripheries during subsequent processes.
SUMMARY OF THE INVENTION
The present invention provides a semiconductor structure and a process thereof that forms a carbon-containing silicon germanium cap layer on an epitaxial layer, to prevent germanium in the epitaxial layer or in the cap layer from precipitating to the surface of the cap layer.
The present invention provides a semiconductor structure including a gate structure, an epitaxial layer and a carbon-containing silicon germanium cap layer. The gate structure is located on a substrate. The epitaxial layer is located in the substrate beside the gate structure. The carbon-containing silicon germanium cap layer is located on the epitaxial layer.
The present invention provides a semiconductor process including the following steps. A gate structure is formed on a substrate. An epitaxial layer is formed in the substrate beside the gate structure. An in-situ epitaxial process is performed to form a carbon-containing silicon germanium cap layer on the epitaxial layer.
The present invention provides a semiconductor process including the following steps. A gate structure is formed on a substrate. An epitaxial layer is formed in the substrate beside the gate structure. A silicon germanium cap layer is formed on the epitaxial layer. Carbon is doped into the silicon germanium cap layer to form a carbon-containing silicon germanium cap layer on the epitaxial layer.
According to the above, the present invention provides a semiconductor structure and a process thereof that forms a carbon-containing silicon germanium cap layer on an epitaxial layer, to prevent germanium in the epitaxial layer or in the cap layer from precipitating to the surface of the cap layer. Therefore, black spots formed on the surface of the cap layer are avoided.
These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1-4 schematically depict cross-sectional views of a semiconductor process according to a first embodiment of the present invention.
FIGS. 5-10 schematically depict cross-sectional views of a semiconductor process according to a second embodiment of the present invention.
DETAILED DESCRIPTION
FIGS. 1-4 schematically depict cross-sectional views of a semiconductor process according to a first embodiment of the present invention. A substrate 110 is provided. The substrate 110 may be a semiconductor substrate such as a silicon substrate, a silicon containing substrate, an III-V group-on-silicon (such as GaN-on-silicon) substrate, a graphene-on-silicon substrate or a silicon-on-insulator (SOI) substrate. An isolation structure 10 is formed between every transistor to electrically isolate these transistors. The isolation structure 10 may be a shallow trench isolation structure, but it is not limited thereto. A gate structure G is formed on the substrate 110. The gate structure G may include a stacked structure composed of a buffer layer 122, a dielectric layer 124, a gate layer 126, a cap layer 128 and a spacer 129 located on the substrate 110 beside the buffer layer 122, the dielectric layer 124, the gate layer 126 and the cap layer 128. More precisely, methods of forming the gate structure G may include: entirely covering a buffer layer (not shown), a dielectric layer (not shown), a gate layer (not shown) and a cap layer (not shown) on the substrate 110; patterning layers of them to form a buffer layer 122, a dielectric layer 124, a gate layer 126 and a cap layer 128; conformally covering a spacer (not shown) on the cap layer 128 and the substrate 110; then, forming the spacer 129 by an etch process.
The buffer layer 122 may include an oxide layer. The gate dielectric layer 124 may be a dielectric layer having a high dielectric constant, such as the group selected from hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalite (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT) and barium strontium titanate (BaxSr1-xTiO3, BST). The gate layer 126 may include a polysilicon layer, or a sacrificial layer, which may be replaced by a metal layer to form a metal gate in subsequent processes. The cap layer 128 may be a nitride layer or etc. The spacer 129 may be a single layer or a multilayer structure composed of silicon nitride, silicon oxide or etc. The aforesaid materials of the buffer layer 122, the dielectric layer 124, the gate layer 126 and the cap layer 128 are just some cases, but the present invention is not restricted thereto.
As shown in FIG. 2, two recesses R are formed in the substrate 110 beside the gate structure G by methods such as sequentially performing a dry etching process and a wet etching process. After cleaning steps, an epitaxial layer 130 is formed in the recesses R beside the gate structure G through a selectively epitaxial process as shown in FIG. 3. An ion implantation process or an in-situ doping process may be performed before the recesses R are formed, after an epitaxial layer 130 is formed, or as an epitaxial layer 130 is formed, to implant dopants into the epitaxial layer 130 for forming a source/drain region in a transistor. In this embodiment, the surface S1 of the epitaxial layer 130 is higher than the top surface S2 of the substrate 110, so that improving the performances of the epitaxial layer 130 induces stresses on the gate channel C right below the gate structure G, but it is not limited thereto. In a preferred embodiment, each recess R has a diamond-shaped cross-sectional profile structure or other shaped cross-sectional profile structure. In other words, the sidewalls of each recess R below the gate structure G has a sharp corner, enabling the epitaxial layer 130 formed in each recess R to have a diamond shaped cross-sectional profile structure as well. Thus, the stresses induced by the epitaxial layer 130 on the gate channel C can be increased. In this embodiment, the epitaxial layer 130 may be a silicon germanium epitaxial layer, and the concentration of germanium is larger than 36% for forming a P-MOS transistor. In another embodiment, the epitaxial layer 130 may be a silicon carbide epitaxial layer for forming an N-MOS transistor. Or, the epitaxial layer 130 may be a silicon epitaxial layer, or an epitaxial layer with different material layers, so that the epitaxial layer 130 may be composed of a lower silicon germanium epitaxial layer and an upper silicon epitaxial layer. An epitaxial layer (not shown) with low concentration of germanium such as 25% of germanium or a pure silicon epitaxial layer may also be selectively formed in each recess R trough a selective epitaxial process to prevent the threshold voltage of the transistors from decreasing dramatically due to the great difference of lattice constant while contacting the surfaces between the epitaxial layer 130 and the substrate 110, but it is not limited thereto.
As shown in FIG. 4, an epitaxial process is performed to form a silicon epitaxial layer 140 a with low germanium concentration on the epitaxial layer 130 to serve as a reacting region during a later salicide process, so that agglomeration of metals and germanium during the salicide process can be avoided. In this embodiment, the epitaxial layer 130 is a silicon germanium epitaxial layer, and germanium in the epitaxial layer 130 may diffuse to the surface S of the silicon epitaxial layer 140 a, resulting in black spots generated on the surface S of the silicon epitaxial layer 140 a.
A second embodiment solving the problem of the first embodiment is presented in the following. FIGS. 5-10 schematically depict cross-sectional views of a semiconductor process according to a second embodiment of the present invention.
After the epitaxial layer 130 is formed (as shown in FIG. 3), a carbon-containing silicon germanium cap layer 140 b is formed on the epitaxial layer 130. A first forming method is shown in FIG. 5, and a second forming method is shown in FIGS. 6-7.
The first method:
As shown in FIG. 5, an in-situ epitaxial process P1 is performed to form a carbon-containing silicon germanium cap layer 140 b on the epitaxial layer 130. More precisely, the in-situ epitaxial process P1 is implanting carbon atoms in the cap layer while the silicon germanium cap is formed. The processing gas imported in the in-situ epitaxial process P1 may be methyl silane (MS) or monomethyl silane (MMS) etc, and the chemical formula may be (CH3)xSi4−x; X>1, so that carbon can be implanted into the silicon germanium cap layer while the silicon germanium cap layer is formed, so that the carbon-containing silicon germanium cap layer 140 b can be formed, but it is not limited thereto.
The second method:
As shown in FIG. 6, a silicon germanium cap layer 140 b′ is formed on the epitaxial layer 130. As shown in FIG. 7, a doping process P2 is performed to implant carbon atoms into the silicon germanium cap layer 140 b′. Therefore, a carbon-containing silicon germanium cap layer 140 b can be formed in the epitaxial layer 130. The processing gas imported while doping carbon may be methyl silane (MS) or monomethyl silane (MMS) etc, and the chemical formula may be (CH3)xSi4−x; X>1. Otherwise, an ion implantation process may be performed to implant carbon, but it is not limited thereto.
The carbon-containing silicon germanium cap layer 140 b can be formed by the epitaxial layer 130 by the first method or the second method. In this embodiment, the carbon-containing silicon germanium cap layer 140 b is higher than the top surface S2 of the substrate 110. Due to the carbon-containing silicon germanium cap layer 140 b containing carbon, diffusion of germanium in the epitaxial layer 130 and in the carbon-containing silicon germanium cap layer 140 b upwards to the surface of the carbon-containing silicon germanium cap layer 140 b during subsequent processes, such as a salicide process or etc, which leads to the formation of black spots on the surface of the carbon-containing silicon germanium cap layer 140 b and degrades the performances of transistors, can be avoided. However, as the carbon content of the carbon-containing silicon germanium cap layer 140 b is too high, tensile stresses on the gate channel C induced by the silicon germanium cap layer 140 b will cancel out the compressive stresses induced by the epitaxial layer 130 on the channel C. The effect of the epitaxial layer 130 inducing forces on the channel C is therefore reduced. In a preferred embodiment, the chemical formula of the carbon-containing silicon germanium cap layer 140 b is SiGexCz and the carbon concentration of the carbon-containing silicon germanium cap layer 140 b is 0.1%˜1%, while the X value is larger than or equal to 0%. The distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b is a gradient from top to bottom. So, the diffusion of germanium in the epitaxial layer 130 or in the carbon-containing silicon germanium cap layer 140 b can be avoided and the tensile stresses induced by the carbon-containing silicon germanium cap layer 140 b on the gate channel C can be reduced by adjusting the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b. In one case, the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b is a gradient decreasing vertically from top to bottom. In another way, the distribution of the carbon content of the carbon-containing silicon germanium cap layer 140 b may be a gradient decreasing horizontally from away to close to the gate structure G, but it is not limited thereto. Furthermore, the distribution of the germanium content of the carbon-containing silicon germanium cap layer 140 b may be formed as a gradient decreasing from bottom to top, in order to preventing germanium in the carbon-containing silicon germanium cap layer 140 b from diffusing to the surface of the carbon-containing silicon germanium cap layer 140 b. Due to the upwards diffusion of germanium in the epitaxial layer 130, the distribution of germanium in the epitaxial layer 130 may be a gradient decreasing from bottom to top.
As shown in FIG. 8, after the carbon-containing silicon germanium cap layer 140 b is formed, a doping process P3 may be selectively performed to implant boron atoms into the carbon-containing silicon germanium cap layer 140 b, so that a carbon and boron-containing silicon germanium cap layer 140 c can be formed. The carbon and boron-containing silicon germanium cap layer 140 c will be consumed partially or entirely as a metal silicide covers the carbon and boron-containing silicon germanium cap layer 140 c. Since the carbon and boron-containing silicon germanium cap layer 140 c remains, the carbon and boron-containing silicon germanium cap layer 140 c can reduce the contact resistance. In this embodiment, boron is implanted into the carbon-containing silicon germanium cap layer 140 b and the epitaxial layer 130 at the same time while the doping process P3 is performed. In this embodiment, the epitaxial layer 130 is a silicon germanium epitaxial layer and boron is implanted into the inside of the epitaxial layer 130. A photoresist may be used as a mask (not shown) selectively, enabling boron being implanted only in the inside of the epitaxial layer 130, so that an internal epitaxial layer area 132 and an external epitaxial layer area 134 undoped with boron are formed, wherein the external epitaxial layer area 134 coats the sidewall S3 and the bottom surface S4 of the internal epitaxial layer area 132. In another embodiment, boron may just be implanted into the carbon-containing silicon germanium cap layer 140 b.
In another way, after the silicon germanium cap layer 140 b′ is formed on the epitaxial layer 130 by the second method (as shown in FIG. 6), boron may be implanted into the silicon germanium cap layer 140 b′ by the doping process P3 to form a boron containing silicon germanium cap layer (not shown). Then, carbon is implanted into the boron containing silicon germanium cap layer (not shown) by the doping process P2 to form a carbon and boron-containing silicon germanium cap layer 140 c on the epitaxial layer 130. Furthermore, boron may be implanted into the epitaxial layer 130 as well while boron is implanted into the silicon germanium cap layer 140 b′.
In another way, boron may be implanted into the cap layer or the epitaxial layer before the cap layer is formed, or after the cap layer is formed. As shown in FIG. 9, after the epitaxial layer 130 is formed (as shown in FIG. 3), a doping process P4 may be performed to implant boron into the epitaxial layer 130. As shown in FIG. 9, a photoresist may be used as a selective mask (not shown), enabling boron being implanted into the inside of the epitaxial layer 130, so that an internal epitaxial layer area 132 and an external epitaxial layer area 134 without boron doping are formed, wherein the external epitaxial layer area 134 coats the sidewalls S3 and the bottom surface S4 of the internal epitaxial layer area 132. As shown in FIG. 10, the carbon-containing silicon germanium cap layer 140 b is formed on the epitaxial layer 130 by said first method or said second method. The carbon-containing silicon germanium cap layer 140 b does not have boron at this time, so that boron may be further implanted into the carbon-containing silicon germanium cap layer 140 b. Due to the epitaxial layer 130 already containing boron, boron atoms already present inside may diffuse to the carbon-containing silicon germanium cap layer 140 b during following thermal processes. Or, boron may be just implanted into the carbon-containing silicon germanium cap layer 140 b as the carbon-containing silicon germanium cap layer 140 b is formed on the epitaxial layer 130, so that a carbon and boron-containing silicon germanium cap layer 140 c can be formed.
After the carbon-containing silicon germanium cap layer 140 b or the carbon and boron-containing silicon germanium cap layer 140 c are formed on the epitaxial layer 130 by applying the first method or the second method, a silicon-containing cap layer (not shown) may further be formed on the carbon-containing silicon germanium cap layer 140 b or on the carbon and boron-containing silicon germanium cap layer 140 c, to provide a layer that would be consumed during a later salicide process, thereby structures under the silicon-containing cap layer (not shown) can be reserved.
In summary, the present invention provides a semiconductor structure and a process thereof that forms a carbon-containing silicon germanium cap layer on an epitaxial layer, to prevent germanium in the epitaxial layer or in the cap layer from precipitating to the surface of the cap layer. Therefore, the formation of black spots on the surface of the cap layer is avoided. Specifically, methods of forming the carbon-containing silicon germanium cap layer on the epitaxial layer may include: (1) a carbon-containing silicon germanium cap layer is formed on the epitaxial layer by an in-situ epitaxial process; or, (2) a silicon germanium cap layer is formed on the epitaxial layer, and then carbon is implanted into the silicon germanium cap layer by a doping process, so that a carbon-containing silicon germanium cap layer is formed on the epitaxial layer.
Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (11)

What is claimed is:
1. A semiconductor structure, comprising:
a gate structure located on a substrate;
an epitaxial layer located in the substrate beside the gate structure; and
a carbon-containing silicon germanium cap layer located on the epitaxial layer, wherein the carbon-containing silicon germanium cap layer is higher than a top surface of the substrate, and the distribution of the carbon content of the carbon-containing silicon germanium cap layer has a gradient decreasing from top to bottom.
2. The semiconductor structure according to claim 1, wherein the carbon-containing silicon germanium cap layer comprises a carbon and boron-containing silicon germanium cap layer.
3. The semiconductor structure according to claim 1, wherein the chemical formula of the carbon-containing silicon germanium cap layer is SiGexCz, and the carbon concentration of the carbon-containing silicon germanium cap layer is 0.1%˜1%.
4. The semiconductor structure according to claim 1, wherein the distribution of the germanium content of the carbon-containing silicon germanium cap layer has a gradient decreasing from bottom to top.
5. The semiconductor structure according to claim 1, wherein the carbon-containing silicon germanium cap layer is higher than a bottom surface of the substrate.
6. The semiconductor structure according to claim 1, further comprising a silicon-containing cap layer located on the carbon-containing silicon germanium cap layer.
7. The semiconductor structure according to claim 1, wherein the epitaxial layer comprises a silicon germanium epitaxial layer.
8. The semiconductor structure according to claim 1, wherein the epitaxial layer comprises a silicon germanium epitaxial layer coating sidewalls and a bottom surface of a boron containing silicon germanium epitaxial layer.
9. The semiconductor structure according to claim 1, wherein the surface of the carbon-containing silicon germanium cap layer is higher than the surface of the substrate.
10. A semiconductor structure, comprising:
a gate structure located on a substrate;
an epitaxial layer located in the substrate beside the gate structure; and
a carbon-containing silicon germanium cap layer located on the epitaxial layer, wherein the carbon-containing silicon germanium cap layer is higher than the top surface of the substrate, and the distribution of the germanium content of the carbon-containing silicon germanium cap layer has a gradient decreasing from bottom to top.
11. A semiconductor structure, comprising:
a gate structure located on a substrate;
an epitaxial layer located in the substrate beside the gate structure, wherein the epitaxial layer comprises a silicon germanium epitaxial layer coating sidewalls and a bottom surface of a boron containing silicon germanium epitaxial layer; and
a carbon-containing silicon germanium cap layer located on the epitaxial layer, wherein the carbon-containing silicon germanium cap layer is higher than the top surface of the substrate.
US14/156,442 2012-04-05 2014-01-15 Semiconductor structure Active US8884346B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/156,442 US8884346B2 (en) 2012-04-05 2014-01-15 Semiconductor structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/440,978 US8664069B2 (en) 2012-04-05 2012-04-05 Semiconductor structure and process thereof
US14/156,442 US8884346B2 (en) 2012-04-05 2014-01-15 Semiconductor structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/440,978 Division US8664069B2 (en) 2012-04-05 2012-04-05 Semiconductor structure and process thereof

Publications (2)

Publication Number Publication Date
US20140124835A1 US20140124835A1 (en) 2014-05-08
US8884346B2 true US8884346B2 (en) 2014-11-11

Family

ID=49291609

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/440,978 Active US8664069B2 (en) 2012-04-05 2012-04-05 Semiconductor structure and process thereof
US14/156,442 Active US8884346B2 (en) 2012-04-05 2014-01-15 Semiconductor structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/440,978 Active US8664069B2 (en) 2012-04-05 2012-04-05 Semiconductor structure and process thereof

Country Status (1)

Country Link
US (2) US8664069B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US9721947B2 (en) * 2014-02-12 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
CN107403835B (en) * 2016-05-19 2021-12-14 联芯集成电路制造(厦门)有限公司 Semiconductor device and manufacturing process thereof

Citations (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5217910A (en) 1990-11-05 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device having sidewall spacers and oblique implantation
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5356830A (en) 1988-09-19 1994-10-18 Kabushiki Kaisha Tobshiba Semiconductor device and its manufacturing method
US5372957A (en) 1993-07-22 1994-12-13 Taiwan Semiconductor Manufacturing Company Multiple tilted angle ion implantation MOSFET method
US5385630A (en) 1993-06-29 1995-01-31 Digital Equipment Corporation Process for increasing sacrificial oxide etch rate to reduce field oxide loss
US5399506A (en) 1992-08-13 1995-03-21 Sony Corporation Semiconductor fabricating process
US5625217A (en) 1992-12-11 1997-04-29 Intel Corporation MOS transistor having a composite gate electrode and method of fabrication
US5777364A (en) 1992-11-30 1998-07-07 International Business Machines Corporation Graded channel field effect transistor
US5783479A (en) 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
US5960322A (en) 1997-12-19 1999-09-28 Advanced Micro Devices, Inc. Suppression of boron segregation for shallow source and drain junctions in semiconductors
US6030874A (en) 1997-01-21 2000-02-29 Texas Instruments Incorporated Doped polysilicon to retard boron diffusion into and through thin gate dielectrics
US6048756A (en) 1997-07-31 2000-04-11 Electronics And Telecommunications Research Institute Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
US6110787A (en) 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
US6165881A (en) 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6165826A (en) 1994-12-23 2000-12-26 Intel Corporation Transistor with low resistance tip and method of fabrication in a CMOS process
US6191052B1 (en) 1999-01-25 2001-02-20 Taiwan Semiconductor Manufacturing Company Method for fabricating an ultra-shallow junction with low resistance using a screen oxide formed by poly re-oxidation in a nitrogen containing atmosphere
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6274447B1 (en) 1996-03-22 2001-08-14 Seiko Epson Corporation Semiconductor device comprising a MOS element and a fabrication method thereof
US6355533B2 (en) 1999-12-24 2002-03-12 Hyundai Electronics Industries Co., Ltd. Method for manufacturing semiconductor device
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6368926B1 (en) 2000-03-13 2002-04-09 Advanced Micro Devices, Inc. Method of forming a semiconductor device with source/drain regions having a deep vertical junction
US20020045317A1 (en) 2000-10-12 2002-04-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6444591B1 (en) 2000-09-30 2002-09-03 Newport Fab, Llc Method for reducing contamination prior to epitaxial growth and related structure
US20020160587A1 (en) 2001-04-30 2002-10-31 Basanth Jagannathan Method to increase carbon and boron doping concentrations in Si and SiGe films
US20020182423A1 (en) 2001-04-20 2002-12-05 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6537370B1 (en) 1998-09-10 2003-03-25 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium on a substrate of single-crystal silicon, and products obtained
US6544822B2 (en) 2000-06-21 2003-04-08 Hynix Semiconductor, Inc. Method for fabricating MOSFET device
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US20030181005A1 (en) 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US20030203599A1 (en) 2000-03-27 2003-10-30 Matsushita Electric Industrial Co. , Ltd. Semiconductor wafer and method for fabricating the same
US6642122B1 (en) 2002-09-26 2003-11-04 Advanced Micro Devices, Inc. Dual laser anneal for graded halo profile
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6676764B2 (en) 2001-04-30 2004-01-13 Hynix Semiconductor Inc. Method for cleaning a substrate in selective epitaxial growth process
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20040045499A1 (en) 2002-06-10 2004-03-11 Amberwave Systems Corporation Source and drain elements
US20040067631A1 (en) 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US6809402B1 (en) 2002-08-14 2004-10-26 Advanced Micro Devices, Inc. Reflowable-doped HDP film
US20040227164A1 (en) 2003-05-14 2004-11-18 Samsung Electronics Co., Ltd. MOS transistor with elevated source/drain structure and method of fabricating the same
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US6869867B2 (en) 1997-10-01 2005-03-22 Kabushiki Kaisha Toshiba Semiconductor device comprising metal silicide films formed to cover gate electrode and source-drain diffusion layers and method of manufacturing the same wherein the silicide on gate is thicker than on source-drain
US20050070076A1 (en) 2001-10-26 2005-03-31 Dion Michel Maurice Method of depositing high-quality sige on sige substrates
US20050079692A1 (en) 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050082616A1 (en) 2003-10-20 2005-04-21 Huajie Chen High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US20050093075A1 (en) 2003-10-31 2005-05-05 Bentum Ralf V. Advanced technique for forming a transistor having raised drain and source regions
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US20050139231A1 (en) 2003-12-31 2005-06-30 Commissariat A L'energie Atomique Method of wet cleaning a surface, especially of a material of the silicon-germanium type
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US6946350B2 (en) 2003-12-31 2005-09-20 Intel Corporation Controlled faceting of source/drain regions
US6962856B2 (en) 2002-07-19 2005-11-08 Hynix Semiconductor, Inc. Method for forming device isolation film of semiconductor device
US20050260830A1 (en) 2004-03-25 2005-11-24 Doo-Won Kwon Methods of fabricating a semiconductor device using a dilute aqueous solution of an ammonia and peroxide mixture
US6972461B1 (en) 2004-06-30 2005-12-06 International Business Machines Corporation Channel MOSFET with strained silicon channel on strained SiGe
US20050285193A1 (en) 2004-06-28 2005-12-29 Lee Sung-Young Semiconductor device and method of manufacturing same
US20050287752A1 (en) 2004-06-24 2005-12-29 Applied Materials, Inc. Methods for forming a transistor
US6991991B2 (en) 2003-11-12 2006-01-31 United Microelectronics Corp. Method for preventing to form a spacer undercut in SEG pre-clean process
US6991979B2 (en) 2003-09-22 2006-01-31 International Business Machines Corporation Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs
US20060051922A1 (en) 2004-09-09 2006-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US20060057859A1 (en) 2004-09-16 2006-03-16 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US20060076627A1 (en) 2004-10-12 2006-04-13 International Business Machines Corporation Ultra shallow junction formation by epitaxial interface limited diffusion
US20060088968A1 (en) 2004-06-17 2006-04-27 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US7037773B2 (en) 2004-03-29 2006-05-02 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US20060115949A1 (en) 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US20060115934A1 (en) 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7060579B2 (en) 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US7064399B2 (en) 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US20060166414A1 (en) 2004-12-01 2006-07-27 Carlson David K Selective deposition
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7118952B2 (en) 2004-07-14 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making transistor with strained source/drain
US20060228842A1 (en) 2005-04-07 2006-10-12 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US20060231826A1 (en) 2005-04-18 2006-10-19 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US20060258126A1 (en) 2003-02-04 2006-11-16 Sumco Corporation Semiconductor substrate, field-effect transistor, and their production methods
US20060281288A1 (en) 2005-06-13 2006-12-14 Fujitsu Limited Semiconductor device fabrication method
US20060292779A1 (en) 2004-09-29 2006-12-28 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US20060292783A1 (en) 2005-02-28 2006-12-28 Young-Eun Lee CMOS transistor and method of manufacturing the same
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US20070023847A1 (en) 2005-07-26 2007-02-01 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20070034906A1 (en) 2005-08-10 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with reduced recess on substrate surface
US7183596B2 (en) 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
US20070049014A1 (en) 2005-08-25 2007-03-01 Ming-Tsung Chen Method of performing salicide processes on MOS transistors
US20070072353A1 (en) 2005-09-23 2007-03-29 Chih-Ning Wu Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US20070072376A1 (en) 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US7202124B2 (en) 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US20070093033A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US7217627B2 (en) 2003-09-18 2007-05-15 Dongbu Electronics Co., Ltd. Semiconductor devices having diffusion barrier regions and halo implant regions and methods of fabricating the same
US20070128783A1 (en) 2005-12-06 2007-06-07 Shyh-Fann Ting Method for fabricating strained-silicon cmos transistors
US20070166929A1 (en) 2006-01-18 2007-07-19 Sumco Corporation Method of producing semiconductor wafer
US7288822B1 (en) 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US20070262396A1 (en) 2006-04-25 2007-11-15 International Business Machines Corporation Transistors Having V-shape Source/Drain Metal Contacts
US7303999B1 (en) 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US20080014688A1 (en) 2006-07-06 2008-01-17 Voon-Yew Thean Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US20080061366A1 (en) 2006-09-11 2008-03-13 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US20080067545A1 (en) 2006-09-20 2008-03-20 Samsung Electronics Co., Ltd Semiconductor device including field effect transistor and method of forming the same
US20080076236A1 (en) 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US20080085577A1 (en) 2006-10-05 2008-04-10 Hung-Lin Shih Method of manufacturing complementary metal oxide semiconductor transistor
US20080116525A1 (en) 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US20080124874A1 (en) 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080128746A1 (en) 2006-12-05 2008-06-05 Yin-Pin Wang Dual-SiGe epitaxy for MOS devices
US20080142886A1 (en) 2006-12-18 2008-06-19 Chin-I Liao Treatment method of semiconductor, method for manufacturing mos, and mos structure
US7410859B1 (en) 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US20080220579A1 (en) 2007-03-07 2008-09-11 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
US20080233746A1 (en) 2007-03-21 2008-09-25 Hui-Ling Huang Method for manufacturing mos transistors utilizing a hybrid hard mask
US20080233722A1 (en) 2007-03-23 2008-09-25 United Microelectronics Corp. Method of forming selective area compound semiconductor epitaxial layer
US7462239B2 (en) 2001-05-30 2008-12-09 Asm America, Inc. Low temperature load and bake
US20090039388A1 (en) 2007-08-07 2009-02-12 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090039389A1 (en) 2007-08-09 2009-02-12 Chu-Yin Tseng Method of fabricating metal oxide semiconductor transistor
US20090045456A1 (en) 2007-08-13 2009-02-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US20090081836A1 (en) 2007-09-24 2009-03-26 International Business Machines Corporation Method of forming cmos with si:c source/drain by laser melting and recrystallization
US20090095992A1 (en) 2006-12-22 2009-04-16 Tomoya Sanuki Semiconductor device including mos field effect transistor and method for manufacturing the semiconductor device
US20090108291A1 (en) 2007-10-26 2009-04-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20090117715A1 (en) 2006-02-27 2009-05-07 Fujitsu Limited Semiconductor device fabricated by selective epitaxial growth method
US20090124056A1 (en) 2007-11-12 2009-05-14 United Microelectronics Corp. Method of fabricating semiconductor device
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US20090186475A1 (en) 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US20090184402A1 (en) 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US20090246922A1 (en) 2008-03-27 2009-10-01 Meng-Yi Wu Method of forming cmos transistor
US7612389B2 (en) 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20090278170A1 (en) 2008-05-07 2009-11-12 Yun-Chi Yang Semiconductor device and manufacturing method thereof
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US7667227B2 (en) 2004-12-28 2010-02-23 Fujitsu Microelectronics Limited Semiconductor device and fabrication method thereof
US7691752B2 (en) 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US20100093147A1 (en) 2008-10-14 2010-04-15 Chin-I Liao Method for forming a semiconductor device
US7781799B2 (en) 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7838370B2 (en) 2006-12-27 2010-11-23 Texas Instruments Incorporated Highly selective liners for semiconductor fabrication
US20110117732A1 (en) 2009-11-17 2011-05-19 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110171795A1 (en) 2010-01-12 2011-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and Source Drain Implant Technique
US8093634B2 (en) 2008-05-30 2012-01-10 Globalfoundries Inc. In situ formed drain and source regions in a silicon/germanium containing transistor device
US20140113424A1 (en) * 2010-12-03 2014-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/Drain Stressor Having Enhanced Carrier Mobility and Method for Manufacturing Same

Patent Citations (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5356830A (en) 1988-09-19 1994-10-18 Kabushiki Kaisha Tobshiba Semiconductor device and its manufacturing method
US5217910A (en) 1990-11-05 1993-06-08 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device having sidewall spacers and oblique implantation
US5399506A (en) 1992-08-13 1995-03-21 Sony Corporation Semiconductor fabricating process
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5777364A (en) 1992-11-30 1998-07-07 International Business Machines Corporation Graded channel field effect transistor
US5783478A (en) 1992-12-11 1998-07-21 Intel Corporation Method of frabricating a MOS transistor having a composite gate electrode
US5625217A (en) 1992-12-11 1997-04-29 Intel Corporation MOS transistor having a composite gate electrode and method of fabrication
US5385630A (en) 1993-06-29 1995-01-31 Digital Equipment Corporation Process for increasing sacrificial oxide etch rate to reduce field oxide loss
US5372957A (en) 1993-07-22 1994-12-13 Taiwan Semiconductor Manufacturing Company Multiple tilted angle ion implantation MOSFET method
US6165826A (en) 1994-12-23 2000-12-26 Intel Corporation Transistor with low resistance tip and method of fabrication in a CMOS process
US6274447B1 (en) 1996-03-22 2001-08-14 Seiko Epson Corporation Semiconductor device comprising a MOS element and a fabrication method thereof
US6030874A (en) 1997-01-21 2000-02-29 Texas Instruments Incorporated Doped polysilicon to retard boron diffusion into and through thin gate dielectrics
US5783479A (en) 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
US6048756A (en) 1997-07-31 2000-04-11 Electronics And Telecommunications Research Institute Method for making a silicon-on-insulator MOS transistor using a selective SiGe epitaxy
US6869867B2 (en) 1997-10-01 2005-03-22 Kabushiki Kaisha Toshiba Semiconductor device comprising metal silicide films formed to cover gate electrode and source-drain diffusion layers and method of manufacturing the same wherein the silicide on gate is thicker than on source-drain
US5960322A (en) 1997-12-19 1999-09-28 Advanced Micro Devices, Inc. Suppression of boron segregation for shallow source and drain junctions in semiconductors
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6699763B2 (en) 1998-07-15 2004-03-02 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6537370B1 (en) 1998-09-10 2003-03-25 FRANCE TéLéCOM Process for obtaining a layer of single-crystal germanium on a substrate of single-crystal silicon, and products obtained
US6165881A (en) 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
US6887762B1 (en) 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6191052B1 (en) 1999-01-25 2001-02-20 Taiwan Semiconductor Manufacturing Company Method for fabricating an ultra-shallow junction with low resistance using a screen oxide formed by poly re-oxidation in a nitrogen containing atmosphere
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6110787A (en) 1999-09-07 2000-08-29 Chartered Semiconductor Manufacturing Ltd. Method for fabricating a MOS device
US6355533B2 (en) 1999-12-24 2002-03-12 Hyundai Electronics Industries Co., Ltd. Method for manufacturing semiconductor device
US6368926B1 (en) 2000-03-13 2002-04-09 Advanced Micro Devices, Inc. Method of forming a semiconductor device with source/drain regions having a deep vertical junction
US20030203599A1 (en) 2000-03-27 2003-10-30 Matsushita Electric Industrial Co. , Ltd. Semiconductor wafer and method for fabricating the same
US6544822B2 (en) 2000-06-21 2003-04-08 Hynix Semiconductor, Inc. Method for fabricating MOSFET device
US7064399B2 (en) 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6444591B1 (en) 2000-09-30 2002-09-03 Newport Fab, Llc Method for reducing contamination prior to epitaxial growth and related structure
US20020045317A1 (en) 2000-10-12 2002-04-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020182423A1 (en) 2001-04-20 2002-12-05 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6676764B2 (en) 2001-04-30 2004-01-13 Hynix Semiconductor Inc. Method for cleaning a substrate in selective epitaxial growth process
US20020160587A1 (en) 2001-04-30 2002-10-31 Basanth Jagannathan Method to increase carbon and boron doping concentrations in Si and SiGe films
US7462239B2 (en) 2001-05-30 2008-12-09 Asm America, Inc. Low temperature load and bake
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US20050070076A1 (en) 2001-10-26 2005-03-31 Dion Michel Maurice Method of depositing high-quality sige on sige substrates
US6861318B2 (en) 2001-11-01 2005-03-01 Intel Corporation Semiconductor transistor having a stressed channel
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6703271B2 (en) 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6806151B2 (en) 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
US20030181005A1 (en) 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US20040045499A1 (en) 2002-06-10 2004-03-11 Amberwave Systems Corporation Source and drain elements
US6962856B2 (en) 2002-07-19 2005-11-08 Hynix Semiconductor, Inc. Method for forming device isolation film of semiconductor device
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6858506B2 (en) 2002-08-08 2005-02-22 Macronix International Co., Ltd. Method for fabricating locally strained channel
US6809402B1 (en) 2002-08-14 2004-10-26 Advanced Micro Devices, Inc. Reflowable-doped HDP film
US6642122B1 (en) 2002-09-26 2003-11-04 Advanced Micro Devices, Inc. Dual laser anneal for graded halo profile
US20040067631A1 (en) 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US20060258126A1 (en) 2003-02-04 2006-11-16 Sumco Corporation Semiconductor substrate, field-effect transistor, and their production methods
US20060163558A1 (en) 2003-05-14 2006-07-27 Samsung Electronics, Co., Ltd. MOS transistor with elevated source/drain structure
US20040227164A1 (en) 2003-05-14 2004-11-18 Samsung Electronics Co., Ltd. MOS transistor with elevated source/drain structure and method of fabricating the same
US6891192B2 (en) 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6930007B2 (en) 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US7217627B2 (en) 2003-09-18 2007-05-15 Dongbu Electronics Co., Ltd. Semiconductor devices having diffusion barrier regions and halo implant regions and methods of fabricating the same
US6991979B2 (en) 2003-09-22 2006-01-31 International Business Machines Corporation Method for avoiding oxide undercut during pre-silicide clean for thin spacer FETs
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20070082451A1 (en) 2003-10-10 2007-04-12 Samoilov Arkadii V Methods to fabricate mosfet devices using a selective deposition process
US20050079692A1 (en) 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20050082616A1 (en) 2003-10-20 2005-04-21 Huajie Chen High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7060576B2 (en) 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US20050093075A1 (en) 2003-10-31 2005-05-05 Bentum Ralf V. Advanced technique for forming a transistor having raised drain and source regions
US6991991B2 (en) 2003-11-12 2006-01-31 United Microelectronics Corp. Method for preventing to form a spacer undercut in SEG pre-clean process
US20050139231A1 (en) 2003-12-31 2005-06-30 Commissariat A L'energie Atomique Method of wet cleaning a surface, especially of a material of the silicon-germanium type
US6946350B2 (en) 2003-12-31 2005-09-20 Intel Corporation Controlled faceting of source/drain regions
US20050260830A1 (en) 2004-03-25 2005-11-24 Doo-Won Kwon Methods of fabricating a semiconductor device using a dilute aqueous solution of an ammonia and peroxide mixture
US7037773B2 (en) 2004-03-29 2006-05-02 United Microelectronics Corp. Method of manufacturing metal-oxide-semiconductor transistor
US20060088968A1 (en) 2004-06-17 2006-04-27 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
US20050287752A1 (en) 2004-06-24 2005-12-29 Applied Materials, Inc. Methods for forming a transistor
US20050285193A1 (en) 2004-06-28 2005-12-29 Lee Sung-Young Semiconductor device and method of manufacturing same
US6972461B1 (en) 2004-06-30 2005-12-06 International Business Machines Corporation Channel MOSFET with strained silicon channel on strained SiGe
US7169675B2 (en) 2004-07-07 2007-01-30 Chartered Semiconductor Manufacturing, Ltd Material architecture for the fabrication of low temperature transistor
US7118952B2 (en) 2004-07-14 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making transistor with strained source/drain
US7060579B2 (en) 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US20060051922A1 (en) 2004-09-09 2006-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon device manufacturing method
US20060057859A1 (en) 2004-09-16 2006-03-16 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US20060292779A1 (en) 2004-09-29 2006-12-28 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7202124B2 (en) 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US20060076627A1 (en) 2004-10-12 2006-04-13 International Business Machines Corporation Ultra shallow junction formation by epitaxial interface limited diffusion
US20060115949A1 (en) 2004-12-01 2006-06-01 Freescale Semiconductor, Inc. Semiconductor fabrication process including source/drain recessing and filling
US20060166414A1 (en) 2004-12-01 2006-07-27 Carlson David K Selective deposition
US20060115934A1 (en) 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US7667227B2 (en) 2004-12-28 2010-02-23 Fujitsu Microelectronics Limited Semiconductor device and fabrication method thereof
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US20060292783A1 (en) 2005-02-28 2006-12-28 Young-Eun Lee CMOS transistor and method of manufacturing the same
US20060228842A1 (en) 2005-04-07 2006-10-12 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US20060231826A1 (en) 2005-04-18 2006-10-19 Toshiba America Electronic Components, Inc. Step-embedded SiGe structure for PFET mobility enhancement
US20060281288A1 (en) 2005-06-13 2006-12-14 Fujitsu Limited Semiconductor device fabrication method
US7183596B2 (en) 2005-06-22 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Composite gate structure in an integrated circuit
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US20070023847A1 (en) 2005-07-26 2007-02-01 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20070034906A1 (en) 2005-08-10 2007-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with reduced recess on substrate surface
US20070049014A1 (en) 2005-08-25 2007-03-01 Ming-Tsung Chen Method of performing salicide processes on MOS transistors
US7612389B2 (en) 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070072353A1 (en) 2005-09-23 2007-03-29 Chih-Ning Wu Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US7491615B2 (en) 2005-09-23 2009-02-17 United Microelectronics Corp. Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors
US20070072376A1 (en) 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
US7727845B2 (en) * 2005-10-24 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US20070093033A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra shallow junction formation by solid phase diffusion
US7410859B1 (en) 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US20070128783A1 (en) 2005-12-06 2007-06-07 Shyh-Fann Ting Method for fabricating strained-silicon cmos transistors
US7303999B1 (en) 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US20070166929A1 (en) 2006-01-18 2007-07-19 Sumco Corporation Method of producing semiconductor wafer
US20090117715A1 (en) 2006-02-27 2009-05-07 Fujitsu Limited Semiconductor device fabricated by selective epitaxial growth method
US7494856B2 (en) 2006-03-30 2009-02-24 Freescale Semiconductor, Inc. Semiconductor fabrication process using etch stop layer to optimize formation of source/drain stressor
US7288822B1 (en) 2006-04-07 2007-10-30 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US20070262396A1 (en) 2006-04-25 2007-11-15 International Business Machines Corporation Transistors Having V-shape Source/Drain Metal Contacts
US20080014688A1 (en) 2006-07-06 2008-01-17 Voon-Yew Thean Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US20080061366A1 (en) 2006-09-11 2008-03-13 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US20080067545A1 (en) 2006-09-20 2008-03-20 Samsung Electronics Co., Ltd Semiconductor device including field effect transistor and method of forming the same
US20080076236A1 (en) 2006-09-21 2008-03-27 Jih-Shun Chiang Method for forming silicon-germanium epitaxial layer
US20080085577A1 (en) 2006-10-05 2008-04-10 Hung-Lin Shih Method of manufacturing complementary metal oxide semiconductor transistor
US20080124874A1 (en) 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US20080116525A1 (en) 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US20080128746A1 (en) 2006-12-05 2008-06-05 Yin-Pin Wang Dual-SiGe epitaxy for MOS devices
US20080142886A1 (en) 2006-12-18 2008-06-19 Chin-I Liao Treatment method of semiconductor, method for manufacturing mos, and mos structure
US20090095992A1 (en) 2006-12-22 2009-04-16 Tomoya Sanuki Semiconductor device including mos field effect transistor and method for manufacturing the semiconductor device
US7838370B2 (en) 2006-12-27 2010-11-23 Texas Instruments Incorporated Highly selective liners for semiconductor fabrication
US20080220579A1 (en) 2007-03-07 2008-09-11 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
US20080233746A1 (en) 2007-03-21 2008-09-25 Hui-Ling Huang Method for manufacturing mos transistors utilizing a hybrid hard mask
US20080233722A1 (en) 2007-03-23 2008-09-25 United Microelectronics Corp. Method of forming selective area compound semiconductor epitaxial layer
US7691752B2 (en) 2007-03-30 2010-04-06 Intel Corporation Methods of forming improved EPI fill on narrow isolation bounded source/drain regions and structures formed thereby
US20090039388A1 (en) 2007-08-07 2009-02-12 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090039389A1 (en) 2007-08-09 2009-02-12 Chu-Yin Tseng Method of fabricating metal oxide semiconductor transistor
US20090045456A1 (en) 2007-08-13 2009-02-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20090081836A1 (en) 2007-09-24 2009-03-26 International Business Machines Corporation Method of forming cmos with si:c source/drain by laser melting and recrystallization
US7781799B2 (en) 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US20090108291A1 (en) 2007-10-26 2009-04-30 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20090124056A1 (en) 2007-11-12 2009-05-14 United Microelectronics Corp. Method of fabricating semiconductor device
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US20090186475A1 (en) 2008-01-21 2009-07-23 Shyh-Fann Ting Method of manufacturing a MOS transistor
US20090184402A1 (en) 2008-01-22 2009-07-23 United Microelectronics Corp. Method of fabricating a shallow trench isolation structure including forming a second liner covering the corner of the trench and first liner.
US20090246922A1 (en) 2008-03-27 2009-10-01 Meng-Yi Wu Method of forming cmos transistor
US20090278170A1 (en) 2008-05-07 2009-11-12 Yun-Chi Yang Semiconductor device and manufacturing method thereof
US8093634B2 (en) 2008-05-30 2012-01-10 Globalfoundries Inc. In situ formed drain and source regions in a silicon/germanium containing transistor device
US20090302348A1 (en) 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US20100001317A1 (en) 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US20100093147A1 (en) 2008-10-14 2010-04-15 Chin-I Liao Method for forming a semiconductor device
US20110117732A1 (en) 2009-11-17 2011-05-19 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110171795A1 (en) 2010-01-12 2011-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and Source Drain Implant Technique
US20140113424A1 (en) * 2010-12-03 2014-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/Drain Stressor Having Enhanced Carrier Mobility and Method for Manufacturing Same

Also Published As

Publication number Publication date
US20130264613A1 (en) 2013-10-10
US20140124835A1 (en) 2014-05-08
US8664069B2 (en) 2014-03-04

Similar Documents

Publication Publication Date Title
US10134626B2 (en) Mechanisms for forming FinFETs with different fin heights
US9406805B2 (en) Fin-FET
US9397184B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US8575708B2 (en) Structure of field effect transistor with fin structure
US9093477B1 (en) Implantation processing step for a recess in finFET
US9318609B2 (en) Semiconductor device with epitaxial structure
US8278184B1 (en) Fabrication method of a non-planar transistor
US8772120B2 (en) Semiconductor process
US8884346B2 (en) Semiconductor structure
US9450094B1 (en) Semiconductor process and fin-shaped field effect transistor
US20160190011A1 (en) Epitaxial structure and process thereof for forming fin-shaped field effect transistor
US20160049496A1 (en) Mos transistor and semiconductor process for forming epitaxial structure
US9685541B2 (en) Method for forming semiconductor structure
US8962433B2 (en) MOS transistor process
US8486794B1 (en) Method for manufacturing semiconductor structure
US8647941B2 (en) Method of forming semiconductor device
TWI532174B (en) Semiconductor structure and process thereof
TWI543370B (en) Mos transistor process
US8709910B2 (en) Semiconductor process
KR20040107427A (en) Semiconductor device and manufacturing method thereof
TWI508139B (en) Method of forming semiconductor device
US9455321B1 (en) Method for fabricating semiconductor device
TWI508293B (en) Semiconductor device having metal gate and manufacturing method thereof
TW201318075A (en) Method of forming a non-planar transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIAO, CHIN-I;CHIEN, CHIN-CHENG;REEL/FRAME:031979/0650

Effective date: 20120320

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8