US8953628B2 - Processor with packet ordering device - Google Patents
Processor with packet ordering device Download PDFInfo
- Publication number
- US8953628B2 US8953628B2 US13/154,413 US201113154413A US8953628B2 US 8953628 B2 US8953628 B2 US 8953628B2 US 201113154413 A US201113154413 A US 201113154413A US 8953628 B2 US8953628 B2 US 8953628B2
- Authority
- US
- United States
- Prior art keywords
- packets
- packet
- processor
- processor cores
- networking
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active, expires
Links
Images
Classifications
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L49/00—Packet switching elements
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L49/00—Packet switching elements
- H04L49/30—Peripheral units, e.g. input or output ports
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F12/00—Accessing, addressing or allocating within memory systems or architectures
- G06F12/02—Addressing or allocation; Relocation
- G06F12/08—Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
- G06F12/0802—Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
- G06F12/0806—Multiuser, multiprocessor or multiprocessing cache systems
- G06F12/0813—Multiuser, multiprocessor or multiprocessing cache systems with a network or matrix configuration
Landscapes
- Engineering & Computer Science (AREA)
- Computer Networks & Wireless Communication (AREA)
- Signal Processing (AREA)
- Memory System Of A Hierarchy Structure (AREA)
Abstract
Description
TABLE 1 | |||
Transmit Agents | Allocated Credits (Total of 256) | ||
Core-0 | 0 | ||
Core-1 | 32 | ||
Core-2 | 32 | ||
Core-3 | 32 | ||
Core-4 | 0 | ||
Core-5 | 32 | ||
Core-6 | 32 | ||
Core-7 | 32 | ||
POD0 | 32 | ||
RGMII_0 | 32 | ||
All |
0 | ||
Claims (31)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/154,413 US8953628B2 (en) | 2002-10-08 | 2011-06-06 | Processor with packet ordering device |
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US41683802P | 2002-10-08 | 2002-10-08 | |
US49023603P | 2003-07-25 | 2003-07-25 | |
US10/682,579 US20040103248A1 (en) | 2002-10-08 | 2003-10-08 | Advanced telecommunications processor |
US10/898,008 US7334086B2 (en) | 2002-10-08 | 2004-07-23 | Advanced processor with system on a chip interconnect technology |
US10/930,456 US7961723B2 (en) | 2002-10-08 | 2004-08-31 | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
US13/154,413 US8953628B2 (en) | 2002-10-08 | 2011-06-06 | Processor with packet ordering device |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/930,456 Continuation US7961723B2 (en) | 2002-10-08 | 2004-08-31 | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
Publications (2)
Publication Number | Publication Date |
---|---|
US20120008631A1 US20120008631A1 (en) | 2012-01-12 |
US8953628B2 true US8953628B2 (en) | 2015-02-10 |
Family
ID=46302714
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/930,456 Expired - Fee Related US7961723B2 (en) | 2002-10-08 | 2004-08-31 | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
US13/154,413 Active 2024-10-09 US8953628B2 (en) | 2002-10-08 | 2011-06-06 | Processor with packet ordering device |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/930,456 Expired - Fee Related US7961723B2 (en) | 2002-10-08 | 2004-08-31 | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
Country Status (1)
Country | Link |
---|---|
US (2) | US7961723B2 (en) |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7924828B2 (en) * | 2002-10-08 | 2011-04-12 | Netlogic Microsystems, Inc. | Advanced processor with mechanism for fast packet queuing operations |
US20050033889A1 (en) * | 2002-10-08 | 2005-02-10 | Hass David T. | Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip |
US7627721B2 (en) * | 2002-10-08 | 2009-12-01 | Rmi Corporation | Advanced processor with cache coherency |
US8176298B2 (en) | 2002-10-08 | 2012-05-08 | Netlogic Microsystems, Inc. | Multi-core multi-threaded processing systems with instruction reordering in an in-order pipeline |
US8478811B2 (en) | 2002-10-08 | 2013-07-02 | Netlogic Microsystems, Inc. | Advanced processor with credit based scheme for optimal packet flow in a multi-processor system on a chip |
US8015567B2 (en) * | 2002-10-08 | 2011-09-06 | Netlogic Microsystems, Inc. | Advanced processor with mechanism for packet distribution at high line rate |
US8037224B2 (en) * | 2002-10-08 | 2011-10-11 | Netlogic Microsystems, Inc. | Delegating network processor operations to star topology serial bus interfaces |
US7346757B2 (en) | 2002-10-08 | 2008-03-18 | Rmi Corporation | Advanced processor translation lookaside buffer management in a multithreaded system |
US7334086B2 (en) * | 2002-10-08 | 2008-02-19 | Rmi Corporation | Advanced processor with system on a chip interconnect technology |
US9088474B2 (en) | 2002-10-08 | 2015-07-21 | Broadcom Corporation | Advanced processor with interfacing messaging network to a CPU |
US7984268B2 (en) * | 2002-10-08 | 2011-07-19 | Netlogic Microsystems, Inc. | Advanced processor scheduling in a multithreaded system |
US7961723B2 (en) | 2002-10-08 | 2011-06-14 | Netlogic Microsystems, Inc. | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
US7360008B2 (en) * | 2004-12-30 | 2008-04-15 | Intel Corporation | Enforcing global ordering through a caching bridge in a multicore multiprocessor system |
US7904704B2 (en) * | 2006-08-14 | 2011-03-08 | Marvell World Trade Ltd. | Instruction dispatching method and apparatus |
US8266466B2 (en) * | 2007-05-21 | 2012-09-11 | Cisco Technology, Inc. | Globally synchronized timestamp value counter |
US9596324B2 (en) | 2008-02-08 | 2017-03-14 | Broadcom Corporation | System and method for parsing and allocating a plurality of packets to processor core threads |
US8671220B1 (en) | 2008-11-28 | 2014-03-11 | Netlogic Microsystems, Inc. | Network-on-chip system, method, and computer program product for transmitting messages utilizing a centralized on-chip shared memory switch |
US8934332B2 (en) | 2012-02-29 | 2015-01-13 | International Business Machines Corporation | Multi-threaded packet processing |
CN104471610A (en) * | 2012-05-18 | 2015-03-25 | 需求解决方案咨询私人有限公司 | System and method for object delivery and pickup |
US9654408B2 (en) * | 2013-11-06 | 2017-05-16 | Amazon Technologies, Inc. | Strict queue ordering in a distributed system |
US9372723B2 (en) * | 2014-04-01 | 2016-06-21 | Freescale Semiconductor, Inc. | System and method for conditional task switching during ordering scope transitions |
US9372724B2 (en) * | 2014-04-01 | 2016-06-21 | Freescale Semiconductor, Inc. | System and method for conditional task switching during ordering scope transitions |
US9733981B2 (en) | 2014-06-10 | 2017-08-15 | Nxp Usa, Inc. | System and method for conditional task switching during ordering scope transitions |
US9940270B2 (en) * | 2015-08-28 | 2018-04-10 | Nxp Usa, Inc. | Multiple request notification network for global ordering in a coherent mesh interconnect |
WO2022212220A1 (en) * | 2021-03-27 | 2022-10-06 | Ceremorphic, Inc. | Mitigation of branch misprediction penalty in a hardware multi-thread microprocessor |
US20220308887A1 (en) * | 2021-03-27 | 2022-09-29 | Redpine Signals, Inc. | Mitigation of branch misprediction penalty in a hardware multi-thread microprocessor |
US20220308888A1 (en) * | 2021-03-27 | 2022-09-29 | Redpine Signals, Inc. | Method for reducing lost cycles after branch misprediction in a multi-thread microprocessor |
Citations (168)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5105188A (en) | 1987-05-14 | 1992-04-14 | Siemens Aktiengesellschaft | Method for initiating configuration of a communication network after the interruption of at least two-ring shaped networks arranged in parallel |
US5179715A (en) | 1987-03-11 | 1993-01-12 | Toyo Communication Co., Ltd. | Multiprocessor computer system with process execution allocated by process managers in a ring configuration |
US5369376A (en) | 1991-11-29 | 1994-11-29 | Standard Microsystems, Inc. | Programmable phase locked loop circuit and method of programming same |
US5428781A (en) | 1989-10-10 | 1995-06-27 | International Business Machines Corp. | Distributed mechanism for the fast scheduling of shared objects and apparatus |
US5574939A (en) | 1993-05-14 | 1996-11-12 | Massachusetts Institute Of Technology | Multiprocessor coupling system with integrated compile and run time scheduling for parallelism |
US5867663A (en) | 1995-07-19 | 1999-02-02 | Fujitsu Network Communications, Inc. | Method and system for controlling network service parameters in a cell based communications network |
US5933627A (en) | 1996-07-01 | 1999-08-03 | Sun Microsystems | Thread switch on blocked load or store using instruction thread field |
US5940872A (en) | 1996-11-01 | 1999-08-17 | Intel Corporation | Software and hardware-managed translation lookaside buffer |
US5987492A (en) | 1997-10-31 | 1999-11-16 | Sun Microsystems, Inc. | Method and apparatus for processor sharing |
US6018792A (en) | 1997-07-02 | 2000-01-25 | Micron Electronics, Inc. | Apparatus for performing a low latency memory read with concurrent snoop |
US6032218A (en) | 1998-05-28 | 2000-02-29 | 3Com Corporation | Configurable weighted round robin arbiter |
US6049867A (en) | 1995-06-07 | 2000-04-11 | International Business Machines Corporation | Method and system for multi-thread switching only when a cache miss occurs at a second or higher level |
US6067301A (en) | 1998-05-29 | 2000-05-23 | Cabletron Systems, Inc. | Method and apparatus for forwarding packets from a plurality of contending queues to an output |
US6084856A (en) | 1997-12-18 | 2000-07-04 | Advanced Micro Devices, Inc. | Method and apparatus for adjusting overflow buffers and flow control watermark levels |
US6157955A (en) | 1998-06-15 | 2000-12-05 | Intel Corporation | Packet processing system including a policy engine having a classification unit |
US6182210B1 (en) | 1997-12-16 | 2001-01-30 | Intel Corporation | Processor having multiple program counters and trace buffers outside an execution pipeline |
US6233393B1 (en) | 1996-09-27 | 2001-05-15 | Sony Corporation | Apparatus for transmitting data in isochronous and asynchronous manners, an apparatus for receiving the same, and a system and method for such transmitting and receiving of such data |
US6240152B1 (en) | 1998-08-18 | 2001-05-29 | Sun Microsystems, Inc. | Apparatus and method for switching frequency modes in a phase locked loop system |
US6272520B1 (en) | 1997-12-31 | 2001-08-07 | Intel Corporation | Method for detecting thread switch events |
US6275749B1 (en) | 1998-12-22 | 2001-08-14 | Philips Electronics North America Corporation | Interrupt-controlled thread processing |
US20010049763A1 (en) | 2000-02-24 | 2001-12-06 | Barry Edwin Frank | Methods and apparatus for scalable array processor interrupt detection and response |
US6338095B1 (en) | 1997-10-23 | 2002-01-08 | Hitachi, Ltd. | Data transfer method for reduced number of messages by message passing library and direct intermemory data transfer library and computer system suitable therefor |
US6341337B1 (en) | 1998-01-30 | 2002-01-22 | Sun Microsystems, Inc. | Apparatus and method for implementing a snoop bus protocol without snoop-in and snoop-out logic |
US6341347B1 (en) | 1999-05-11 | 2002-01-22 | Sun Microsystems, Inc. | Thread switch logic in a multiple-thread processor |
US20020010836A1 (en) | 2000-06-09 | 2002-01-24 | Barroso Luiz Andre | Method and system for exclusive two-level caching in a chip-multiprocessor |
US20020013861A1 (en) | 1999-12-28 | 2002-01-31 | Intel Corporation | Method and apparatus for low overhead multithreaded communication in a parallel processing environment |
US6370606B1 (en) | 1998-11-05 | 2002-04-09 | Compaq Computer Corporation | System and method for simulating hardware interrupts in a multiprocessor computer system |
US20020046324A1 (en) | 2000-06-10 | 2002-04-18 | Barroso Luiz Andre | Scalable architecture based on single-chip multiprocessing |
US6385715B1 (en) | 1996-11-13 | 2002-05-07 | Intel Corporation | Multi-threading for a processor utilizing a replay queue |
US6389468B1 (en) | 1999-03-01 | 2002-05-14 | Sun Microsystems, Inc. | Method and apparatus for distributing network traffic processing on a multiprocessor computer |
US20020069328A1 (en) | 2000-08-21 | 2002-06-06 | Gerard Chauvel | TLB with resource ID field |
US20020069345A1 (en) | 2000-12-05 | 2002-06-06 | Conexant Systems, Inc. | High performance VLIW processor |
US20020078122A1 (en) | 1999-05-11 | 2002-06-20 | Joy William N. | Switching method in a multi-threaded processor |
US20020078121A1 (en) | 2000-03-21 | 2002-06-20 | Joseph Ballantyne | Real-time scheduler |
US20020095562A1 (en) | 2001-01-12 | 2002-07-18 | Mitsubishi Denki Kabushiki Kaisha | Arithmetic unit comprising a memory shared by a plurality of processors |
US6438671B1 (en) | 1999-07-01 | 2002-08-20 | International Business Machines Corporation | Generating partition corresponding real address in partitioned mode supporting system |
US20020118692A1 (en) * | 2001-01-04 | 2002-08-29 | Oberman Stuart F. | Ensuring proper packet ordering in a cut-through and early-forwarding network switch |
US20020122387A1 (en) * | 2001-03-02 | 2002-09-05 | Broadcom Corporation | Algorithm for time based queuing in network traffic engineering |
US6452933B1 (en) | 1997-02-07 | 2002-09-17 | Lucent Technologies Inc. | Fair queuing system with adaptive bandwidth redistribution |
US6456628B1 (en) | 1998-04-17 | 2002-09-24 | Intelect Communications, Inc. | DSP intercommunication network |
US20020147889A1 (en) | 2000-10-06 | 2002-10-10 | Kruckemyer David A. | Cache coherent protocol in which exclusive and modified data is transferred to requesting agent from snooping agent |
US20030009626A1 (en) | 2001-07-06 | 2003-01-09 | Fred Gruner | Multi-processor system |
US20030014607A1 (en) | 2001-07-10 | 2003-01-16 | Micron Technology, Inc. | Dynamic arrays and overlays with bounds policies |
US20030018856A1 (en) | 2001-07-18 | 2003-01-23 | Rowlands Joseph B. | Internal evict with external request |
US20030028633A1 (en) * | 2001-04-24 | 2003-02-06 | Lindsay Steven B. | ASF memory loading and handling system and method |
US20030033507A1 (en) | 2001-08-09 | 2003-02-13 | Mcgrath Kevin J. | Instruction causing swap of segment register and another register |
US20030037228A1 (en) | 1999-12-22 | 2003-02-20 | Kelsey Nicholas J. | System and method for instruction level multithreading scheduling in a embedded processor |
US20030041173A1 (en) | 2001-08-10 | 2003-02-27 | Hoyle Stephen L. | Synchronization objects for multi-computer systems |
US20030043803A1 (en) | 2001-08-31 | 2003-03-06 | Hooper Donald F. | Transmitting multicast data packets |
US20030046495A1 (en) | 2001-08-28 | 2003-03-06 | Venkitakrishnan Padmanabha I. | Streamlined cache coherency protocol system and method for a multiple processor single chip device |
US20030046521A1 (en) | 2001-08-29 | 2003-03-06 | Ken Shoemaker | Apparatus and method for switching threads in multi-threading processors` |
US20030046464A1 (en) | 2001-08-31 | 2003-03-06 | Keshav Murty | Mechanism for interrupt handling in computer systems that support concurrent execution of multiple threads |
US20030050954A1 (en) | 1999-12-08 | 2003-03-13 | Tayyar Haitham F. | Weighted fair queuing scheduler |
US20030056200A1 (en) | 2001-09-19 | 2003-03-20 | Jun Li | Runtime monitoring in component-based systems |
US20030067930A1 (en) | 2001-10-05 | 2003-04-10 | International Business Machines Corporation | Packet preprocessing interface for multiprocessor network handler |
US20030081615A1 (en) | 2001-10-22 | 2003-05-01 | Sun Microsystems, Inc. | Method and apparatus for a packet classifier |
US6567839B1 (en) | 1997-10-23 | 2003-05-20 | International Business Machines Corporation | Thread switch control in a multithreaded processor system |
US20030101322A1 (en) | 2001-10-25 | 2003-05-29 | Gardner Robert D. | Protection of user process data in a secure platform architecture |
US20030101440A1 (en) | 2001-01-17 | 2003-05-29 | Ajile Systems, Inc. | Multiple virtual machine environment management system |
US6574725B1 (en) | 1999-11-01 | 2003-06-03 | Advanced Micro Devices, Inc. | Method and mechanism for speculatively executing threads of instructions |
US20030105799A1 (en) | 2001-12-03 | 2003-06-05 | Avaz Networks, Inc. | Distributed processing architecture with scalable processing layers |
US20030110166A1 (en) | 2001-12-12 | 2003-06-12 | Gilbert Wolrich | Queue management |
US6584101B2 (en) | 1998-12-04 | 2003-06-24 | Pmc-Sierra Ltd. | Communication method for packet switching systems |
US20030123447A1 (en) * | 2001-12-31 | 2003-07-03 | Tippingpoint Technologies, Inc. | System and method for classifying network packets with packet content |
US20030128712A1 (en) | 2002-01-09 | 2003-07-10 | Norihiko Moriwaki | Packet communication apparatus and controlling method thereof |
US6594701B1 (en) | 1998-08-04 | 2003-07-15 | Microsoft Corporation | Credit-based methods and systems for controlling data flow between a sender and a receiver with reduced copying of data |
US20030154352A1 (en) | 2002-01-24 | 2003-08-14 | Sujat Jamil | Methods and apparatus for cache intervention |
US6618379B1 (en) | 1998-12-08 | 2003-09-09 | Nec Corporation | RRGS-round-robin greedy scheduling for input/output terabit switches |
US20030172257A1 (en) | 2001-07-02 | 2003-09-11 | Globespan Virata Incorporated | Communications system using rings architecture |
US6629268B1 (en) | 2000-01-25 | 2003-09-30 | International Business Machines Corporation | Method and apparatus for servicing a processing system through a test port |
US20030208521A1 (en) | 2002-05-02 | 2003-11-06 | International Business Machines Corporation | System and method for thread scheduling with weak preemption policy |
US6651231B2 (en) | 2001-04-23 | 2003-11-18 | Mitsubishi Denki Kabushiki Kaisha | Clock synchronizing circuit and method of designing the same |
US20030217237A1 (en) | 2002-05-15 | 2003-11-20 | Internation Business Machines Corporation | Selective memory controller access path for directory caching |
US6665791B1 (en) | 2000-03-30 | 2003-12-16 | Agere Systems Inc. | Method and apparatus for releasing functional units in a multithreaded VLIW processor |
US20030231627A1 (en) | 2002-06-04 | 2003-12-18 | Rajesh John | Arbitration logic for assigning input packet to available thread of a multi-threaded multi-engine network processor |
US20030231645A1 (en) | 2002-06-14 | 2003-12-18 | Chandra Prashant R. | Efficient multi-threaded multi-processor scheduling implementation |
US20040019456A1 (en) | 2002-07-23 | 2004-01-29 | Edgar Circenis | Hardware based utilization metering |
US6687903B1 (en) | 2000-06-28 | 2004-02-03 | Emc Corporation | Inhibiting starvation in a multitasking operating system |
US20040024904A1 (en) | 2002-07-31 | 2004-02-05 | Dimambro Francesco R. | Load balancing packet transmission among multiple transmit rings |
US20040059984A1 (en) | 2002-02-22 | 2004-03-25 | Cavanna Vicente V. | Methods for computing the CRC of a message from the incremental CRCs of composite sub-messages |
US20040098720A1 (en) | 2002-11-19 | 2004-05-20 | Hooper Donald F. | Allocation of packets and threads |
US20040100954A1 (en) | 1999-03-05 | 2004-05-27 | Broadcom Corporation | Packet switching fabric having a segmented ring with token based resource control protocol and output queuing control |
US20040128401A1 (en) | 2002-12-31 | 2004-07-01 | Michael Fallon | Scheduling processing threads |
US20040128563A1 (en) | 2002-12-26 | 2004-07-01 | Kaushik Shivnandan D. | Mechanism for processor power state aware distribution of lowest priority interrupt |
US6772268B1 (en) | 2000-12-22 | 2004-08-03 | Nortel Networks Ltd | Centralized look up engine architecture and interface |
US20040154012A1 (en) | 2003-01-31 | 2004-08-05 | Hong Wang | Safe store for speculative helper threads |
US6794896B1 (en) | 2003-04-21 | 2004-09-21 | Xilinx, Inc. | Method and apparatus for multithreading |
US20040216120A1 (en) | 2003-04-24 | 2004-10-28 | International Business Machines Corporation | Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor |
US20040230752A1 (en) | 2003-05-12 | 2004-11-18 | International Business Machines Corporation | Bus protocol for a "switchless" distributed shared memory computer system |
US20040240472A1 (en) | 2003-05-28 | 2004-12-02 | Alok Kumar | Method and system for maintenance of packet order using caching |
US20040252686A1 (en) * | 2003-06-16 | 2004-12-16 | Hooper Donald F. | Processing a data packet |
US20040260829A1 (en) | 2001-04-13 | 2004-12-23 | Husak David J. | Manipulating data streams in data stream processors |
US6845105B1 (en) * | 2000-09-28 | 2005-01-18 | Telefonaktiebolaget Lm Ericsson | Method and apparatus for maintaining sequence numbering in header compressed packets |
US6848003B1 (en) | 1999-11-09 | 2005-01-25 | International Business Machines Corporation | Multi-node data processing system and communication protocol that route write data utilizing a destination ID obtained from a combined response |
US20050027793A1 (en) | 2002-10-08 | 2005-02-03 | Hass David T. | Advanced processor with mechanism for packet distribution at high line rate |
WO2005013061A2 (en) | 2003-07-25 | 2005-02-10 | Raza Microelectronics, Inc. | Advanced processor |
US20050033889A1 (en) | 2002-10-08 | 2005-02-10 | Hass David T. | Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip |
US20050041666A1 (en) | 2002-10-08 | 2005-02-24 | Hass David T. | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
US20050044308A1 (en) | 2002-10-08 | 2005-02-24 | Abbas Rashid | Advanced processor with interfacing messaging network to a CPU |
US20050044323A1 (en) | 2002-10-08 | 2005-02-24 | Hass David T. | Advanced processor with out of order load store scheduling in an in order pipeline |
US20050044319A1 (en) | 2003-08-19 | 2005-02-24 | Sun Microsystems, Inc. | Multi-core multi-thread processor |
US20050041651A1 (en) | 2002-10-08 | 2005-02-24 | Hass David T. | Advanced processor with mechanism for fast packet queuing operations |
US6862282B1 (en) | 2000-08-29 | 2005-03-01 | Nortel Networks Limited | Method and apparatus for packet ordering in a data processing system |
US20050055540A1 (en) | 2002-10-08 | 2005-03-10 | Hass David T. | Advanced processor scheduling in a multithreaded system |
US20050055503A1 (en) | 2002-10-08 | 2005-03-10 | Hass David T. | Advanced processor with cache coherency |
US20050060462A1 (en) | 2003-08-29 | 2005-03-17 | Eiji Ota | Method and system for efficiently directing interrupts |
US6876657B1 (en) * | 2000-12-14 | 2005-04-05 | Chiaro Networks, Ltd. | System and method for router packet control and ordering |
US6876649B1 (en) | 1999-09-27 | 2005-04-05 | Nortel Networks Limited | High-capacity WDM-TDM packet switch |
US20050080953A1 (en) | 2003-10-14 | 2005-04-14 | Broadcom Corporation | Fragment storage for data alignment and merger |
US20050088445A1 (en) | 2003-10-22 | 2005-04-28 | Alienware Labs Corporation | Motherboard for supporting multiple graphics cards |
US20050125582A1 (en) | 2003-12-08 | 2005-06-09 | Tu Steven J. | Methods and apparatus to dispatch interrupts in multi-processor systems |
US6909312B2 (en) | 2003-01-31 | 2005-06-21 | Renesas Technology Corp. | Synchronization circuit and synchronization method |
US20050138622A1 (en) | 2003-12-18 | 2005-06-23 | Mcalpine Gary L. | Apparatus and method for parallel processing of network data on a single processing thread |
US6931641B1 (en) | 2000-04-04 | 2005-08-16 | International Business Machines Corporation | Controller for multiple instruction thread processors |
US20050182887A1 (en) | 2004-02-17 | 2005-08-18 | Toshimi Sakurai | PCI-express to PCI/PCI X translator |
US6944850B2 (en) | 2000-12-21 | 2005-09-13 | Intel Corporation | Hop method for stepping parallel hardware threads |
US20050210229A1 (en) | 2004-03-22 | 2005-09-22 | Prashant Sethi | Method and system for configuration of processor integrated devices in multi-processor systems |
US20050213585A1 (en) | 2004-03-26 | 2005-09-29 | Samsung Electronics Co., Ltd. | Apparatus and method for distributing forwarding table lookup operations among a plurality of microengines in a high-speed routing node |
US6952749B2 (en) | 2001-05-02 | 2005-10-04 | Portalplayer, Inc. | Multiprocessor interrupt handling system and method |
US6952824B1 (en) | 1999-12-30 | 2005-10-04 | Intel Corporation | Multi-threaded sequenced receive for fast network port stream of packets |
US20050240745A1 (en) | 2003-12-18 | 2005-10-27 | Sundar Iyer | High speed memory control and I/O processor system |
US20050238022A1 (en) | 2004-04-26 | 2005-10-27 | Rina Panigrahy | Stateful flow of network packets within a packet parsing processor |
US6963921B1 (en) | 2001-02-16 | 2005-11-08 | 3Com Corporation | Method and apparatus for hardware assisted TCP packet re-assembly |
US6976155B2 (en) | 2001-06-12 | 2005-12-13 | Intel Corporation | Method and apparatus for communicating between processing entities in a multi-processor |
US6981079B2 (en) | 2002-03-21 | 2005-12-27 | International Business Machines Corporation | Critical datapath error handling in a multiprocessor architecture |
US20060041715A1 (en) | 2004-05-28 | 2006-02-23 | Chrysos George Z | Multiprocessor chip having bidirectional ring interconnect |
US7007099B1 (en) | 1999-05-03 | 2006-02-28 | Lucent Technologies Inc. | High speed multi-port serial-to-PCI bus interface |
US20060053424A1 (en) | 2002-06-28 | 2006-03-09 | Tommi Koistinen | Load balancing devices and method therefor |
US20060062152A1 (en) * | 2000-03-23 | 2006-03-23 | Cisco Technology, Inc., A California Corporation | Apparatus and method for rate-based polling of input interface queues in networking devices |
US7020713B1 (en) | 2000-10-10 | 2006-03-28 | Novell, Inc. | System and method for balancing TCP/IP/workload of multi-processor system based on hash buckets |
US7024519B2 (en) | 2002-05-06 | 2006-04-04 | Sony Computer Entertainment Inc. | Methods and apparatus for controlling hierarchical cache memory |
US7035998B1 (en) | 2000-11-03 | 2006-04-25 | Mips Technologies, Inc. | Clustering stream and/or instruction queues for multi-streaming processors |
US20060095716A1 (en) | 2004-08-30 | 2006-05-04 | The Boeing Company | Super-reconfigurable fabric architecture (SURFA): a multi-FPGA parallel processing architecture for COTS hybrid computing framework |
US7058738B2 (en) | 2004-04-28 | 2006-06-06 | Microsoft Corporation | Configurable PCI express switch which allows multiple CPUs to be connected to multiple I/O devices |
US7076545B2 (en) | 2002-07-31 | 2006-07-11 | Sun Microsystems, Inc. | Load balancing the servicing of received packets |
US7089341B2 (en) | 2004-03-31 | 2006-08-08 | International Business Machines Corporation | Method and apparatus for supporting interrupt devices configured for a particular architecture on a different platform |
US20060195663A1 (en) | 2005-02-25 | 2006-08-31 | International Business Machines Corporation | Virtualized I/O adapter for a multi-processor data processing system |
US20060200825A1 (en) * | 2003-03-07 | 2006-09-07 | Potter Kenneth H Jr | System and method for dynamic ordering in a network processor |
US7111162B1 (en) | 2001-09-10 | 2006-09-19 | Cisco Technology, Inc. | Load balancing approach for scaling secure sockets layer performance |
US20060224659A1 (en) | 2002-11-06 | 2006-10-05 | Shaohua Yu | Multiple service ring of n-ringlet structure based on multiple fe, ge and 10ge |
US7131125B2 (en) | 2000-12-22 | 2006-10-31 | Nortel Networks Limited | Method and system for sharing a computer resource between instruction threads of a multi-threaded process |
US7130368B1 (en) | 2002-09-19 | 2006-10-31 | Nortel Network Limited | Clock recovery using a direct smoothing process |
US20060277369A1 (en) | 2005-06-03 | 2006-12-07 | Benjamin Tsien | Method and apparatus for increasing cache network bandwidth |
US7190900B1 (en) | 2001-07-20 | 2007-03-13 | Lighthouse Capital Partners Iv, Lp | System and method for implementing dynamic scheduling of data in a non-blocking all-optical switching network |
US20070067778A1 (en) | 2005-08-25 | 2007-03-22 | Kimming So | System and method for communication in a multithread processor |
US20070067533A1 (en) | 2005-08-25 | 2007-03-22 | Kimming So | System and method for interrupt distribution in a concurrent multithread processor |
US20070106827A1 (en) | 2005-11-08 | 2007-05-10 | Boatright Bryan D | Centralized interrupt controller |
US7218637B1 (en) | 2001-07-20 | 2007-05-15 | Yotta Networks, Llc | System for switching data using dynamic scheduling |
US20070121626A1 (en) | 2005-11-28 | 2007-05-31 | Shepard Jeffrey L G | User and activity based end-to-end utilization measurement system |
US20070157011A1 (en) | 2005-12-30 | 2007-07-05 | Intel Corporation | Fault resilient boot in multi-processor systems |
US20070204130A1 (en) | 2002-10-08 | 2007-08-30 | Raza Microelectronics, Inc. | Advanced processor translation lookaside buffer management in a multithreaded system |
US20070217453A1 (en) | 2001-02-14 | 2007-09-20 | John Rhoades | Data Processing Architectures |
US20070226686A1 (en) | 2006-03-27 | 2007-09-27 | Beardslee John M | Programming a multi-processor system |
US20070266370A1 (en) | 2004-09-16 | 2007-11-15 | Myers Glenford J | Data Plane Technology Including Packet Processing for Network Processors |
US7304996B1 (en) | 2004-03-30 | 2007-12-04 | Extreme Networks, Inc. | System and method for assembling a data packet |
US7305492B2 (en) | 2001-07-06 | 2007-12-04 | Juniper Networks, Inc. | Content service aggregation system |
US7334086B2 (en) | 2002-10-08 | 2008-02-19 | Rmi Corporation | Advanced processor with system on a chip interconnect technology |
US20080062927A1 (en) | 2002-10-08 | 2008-03-13 | Raza Microelectronics, Inc. | Delegating Network Processor Operations to Star Topology Serial Bus Interfaces |
US7353289B2 (en) | 2000-11-06 | 2008-04-01 | Telecommunication Systems, Inc. | System for an open architecture development platform with centralized synchronization |
US20080168255A1 (en) | 2007-01-09 | 2008-07-10 | Abou-Emara Luai A | Method and Apparatus for Self-Healing Symmetric Multi-Processor System Interconnects |
US20080209186A1 (en) | 2004-12-22 | 2008-08-28 | Xelerated Ab | Method for Reducing Buffer Capacity in a Pipeline Processor |
US7461215B2 (en) | 2002-10-08 | 2008-12-02 | Rmi Corporation | Advanced processor with implementation of memory ordering on a ring based data movement network |
US7461213B2 (en) | 2002-10-08 | 2008-12-02 | Rmi Corporation | Advanced processor system using request, data, snoop, and response rings |
US7467243B2 (en) | 2002-10-08 | 2008-12-16 | Rmi Corporation | Advanced processor with scheme for optimal packet flow in a multi-processor system on a chip |
US7487379B2 (en) | 2004-11-22 | 2009-02-03 | Rmi Corporation | High performance integrated circuit with low skew clocking networks and improved low power operating mode having reduced recovery time |
US20090055496A1 (en) | 2002-10-08 | 2009-02-26 | Gaurav Garg | Advanced processor with credit based scheme for optimal packet flow in a multi-processor system on a chip |
US20090201935A1 (en) | 2008-02-08 | 2009-08-13 | Hass David T | System and method for parsing and allocating a plurality of packets to processor core threads |
WO2009099573A1 (en) | 2008-02-08 | 2009-08-13 | Rmi Corporation | System and method for parsing and allocating a plurality of packets to processor core threads |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7054950B2 (en) | 2002-04-15 | 2006-05-30 | Intel Corporation | Network thread scheduling |
US7464243B2 (en) | 2004-12-21 | 2008-12-09 | Cisco Technology, Inc. | Method and apparatus for arbitrarily initializing a portion of memory |
-
2004
- 2004-08-31 US US10/930,456 patent/US7961723B2/en not_active Expired - Fee Related
-
2011
- 2011-06-06 US US13/154,413 patent/US8953628B2/en active Active
Patent Citations (202)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5179715A (en) | 1987-03-11 | 1993-01-12 | Toyo Communication Co., Ltd. | Multiprocessor computer system with process execution allocated by process managers in a ring configuration |
US5105188A (en) | 1987-05-14 | 1992-04-14 | Siemens Aktiengesellschaft | Method for initiating configuration of a communication network after the interruption of at least two-ring shaped networks arranged in parallel |
US5428781A (en) | 1989-10-10 | 1995-06-27 | International Business Machines Corp. | Distributed mechanism for the fast scheduling of shared objects and apparatus |
US5369376A (en) | 1991-11-29 | 1994-11-29 | Standard Microsystems, Inc. | Programmable phase locked loop circuit and method of programming same |
US5574939A (en) | 1993-05-14 | 1996-11-12 | Massachusetts Institute Of Technology | Multiprocessor coupling system with integrated compile and run time scheduling for parallelism |
US6049867A (en) | 1995-06-07 | 2000-04-11 | International Business Machines Corporation | Method and system for multi-thread switching only when a cache miss occurs at a second or higher level |
US5867663A (en) | 1995-07-19 | 1999-02-02 | Fujitsu Network Communications, Inc. | Method and system for controlling network service parameters in a cell based communications network |
US5933627A (en) | 1996-07-01 | 1999-08-03 | Sun Microsystems | Thread switch on blocked load or store using instruction thread field |
US20010047468A1 (en) | 1996-07-01 | 2001-11-29 | Sun Microsystems, Inc. | Branch and return on blocked load or store |
US6233393B1 (en) | 1996-09-27 | 2001-05-15 | Sony Corporation | Apparatus for transmitting data in isochronous and asynchronous manners, an apparatus for receiving the same, and a system and method for such transmitting and receiving of such data |
US5940872A (en) | 1996-11-01 | 1999-08-17 | Intel Corporation | Software and hardware-managed translation lookaside buffer |
US6385715B1 (en) | 1996-11-13 | 2002-05-07 | Intel Corporation | Multi-threading for a processor utilizing a replay queue |
US6452933B1 (en) | 1997-02-07 | 2002-09-17 | Lucent Technologies Inc. | Fair queuing system with adaptive bandwidth redistribution |
US6018792A (en) | 1997-07-02 | 2000-01-25 | Micron Electronics, Inc. | Apparatus for performing a low latency memory read with concurrent snoop |
US6567839B1 (en) | 1997-10-23 | 2003-05-20 | International Business Machines Corporation | Thread switch control in a multithreaded processor system |
US6338095B1 (en) | 1997-10-23 | 2002-01-08 | Hitachi, Ltd. | Data transfer method for reduced number of messages by message passing library and direct intermemory data transfer library and computer system suitable therefor |
US5987492A (en) | 1997-10-31 | 1999-11-16 | Sun Microsystems, Inc. | Method and apparatus for processor sharing |
US6182210B1 (en) | 1997-12-16 | 2001-01-30 | Intel Corporation | Processor having multiple program counters and trace buffers outside an execution pipeline |
US6084856A (en) | 1997-12-18 | 2000-07-04 | Advanced Micro Devices, Inc. | Method and apparatus for adjusting overflow buffers and flow control watermark levels |
US6272520B1 (en) | 1997-12-31 | 2001-08-07 | Intel Corporation | Method for detecting thread switch events |
US6341337B1 (en) | 1998-01-30 | 2002-01-22 | Sun Microsystems, Inc. | Apparatus and method for implementing a snoop bus protocol without snoop-in and snoop-out logic |
US6456628B1 (en) | 1998-04-17 | 2002-09-24 | Intelect Communications, Inc. | DSP intercommunication network |
US6032218A (en) | 1998-05-28 | 2000-02-29 | 3Com Corporation | Configurable weighted round robin arbiter |
US6067301A (en) | 1998-05-29 | 2000-05-23 | Cabletron Systems, Inc. | Method and apparatus for forwarding packets from a plurality of contending queues to an output |
US6157955A (en) | 1998-06-15 | 2000-12-05 | Intel Corporation | Packet processing system including a policy engine having a classification unit |
US6594701B1 (en) | 1998-08-04 | 2003-07-15 | Microsoft Corporation | Credit-based methods and systems for controlling data flow between a sender and a receiver with reduced copying of data |
US6240152B1 (en) | 1998-08-18 | 2001-05-29 | Sun Microsystems, Inc. | Apparatus and method for switching frequency modes in a phase locked loop system |
US6370606B1 (en) | 1998-11-05 | 2002-04-09 | Compaq Computer Corporation | System and method for simulating hardware interrupts in a multiprocessor computer system |
US6584101B2 (en) | 1998-12-04 | 2003-06-24 | Pmc-Sierra Ltd. | Communication method for packet switching systems |
US6618379B1 (en) | 1998-12-08 | 2003-09-09 | Nec Corporation | RRGS-round-robin greedy scheduling for input/output terabit switches |
US6275749B1 (en) | 1998-12-22 | 2001-08-14 | Philips Electronics North America Corporation | Interrupt-controlled thread processing |
US6389468B1 (en) | 1999-03-01 | 2002-05-14 | Sun Microsystems, Inc. | Method and apparatus for distributing network traffic processing on a multiprocessor computer |
US20040100954A1 (en) | 1999-03-05 | 2004-05-27 | Broadcom Corporation | Packet switching fabric having a segmented ring with token based resource control protocol and output queuing control |
US7007099B1 (en) | 1999-05-03 | 2006-02-28 | Lucent Technologies Inc. | High speed multi-port serial-to-PCI bus interface |
US20020078122A1 (en) | 1999-05-11 | 2002-06-20 | Joy William N. | Switching method in a multi-threaded processor |
US6694347B2 (en) | 1999-05-11 | 2004-02-17 | Sun Microsystems, Inc. | Switching method in a multi-threaded processor |
US6507862B1 (en) | 1999-05-11 | 2003-01-14 | Sun Microsystems, Inc. | Switching method in a multi-threaded processor |
US6341347B1 (en) | 1999-05-11 | 2002-01-22 | Sun Microsystems, Inc. | Thread switch logic in a multiple-thread processor |
US6438671B1 (en) | 1999-07-01 | 2002-08-20 | International Business Machines Corporation | Generating partition corresponding real address in partitioned mode supporting system |
US6876649B1 (en) | 1999-09-27 | 2005-04-05 | Nortel Networks Limited | High-capacity WDM-TDM packet switch |
US6574725B1 (en) | 1999-11-01 | 2003-06-03 | Advanced Micro Devices, Inc. | Method and mechanism for speculatively executing threads of instructions |
US6848003B1 (en) | 1999-11-09 | 2005-01-25 | International Business Machines Corporation | Multi-node data processing system and communication protocol that route write data utilizing a destination ID obtained from a combined response |
US20030050954A1 (en) | 1999-12-08 | 2003-03-13 | Tayyar Haitham F. | Weighted fair queuing scheduler |
US7082519B2 (en) | 1999-12-22 | 2006-07-25 | Ubicom, Inc. | System and method for instruction level multithreading scheduling in a embedded processor |
US20030037228A1 (en) | 1999-12-22 | 2003-02-20 | Kelsey Nicholas J. | System and method for instruction level multithreading scheduling in a embedded processor |
US20020013861A1 (en) | 1999-12-28 | 2002-01-31 | Intel Corporation | Method and apparatus for low overhead multithreaded communication in a parallel processing environment |
US6952824B1 (en) | 1999-12-30 | 2005-10-04 | Intel Corporation | Multi-threaded sequenced receive for fast network port stream of packets |
US6629268B1 (en) | 2000-01-25 | 2003-09-30 | International Business Machines Corporation | Method and apparatus for servicing a processing system through a test port |
US20010049763A1 (en) | 2000-02-24 | 2001-12-06 | Barry Edwin Frank | Methods and apparatus for scalable array processor interrupt detection and response |
US20020078121A1 (en) | 2000-03-21 | 2002-06-20 | Joseph Ballantyne | Real-time scheduler |
US20060062152A1 (en) * | 2000-03-23 | 2006-03-23 | Cisco Technology, Inc., A California Corporation | Apparatus and method for rate-based polling of input interface queues in networking devices |
US6665791B1 (en) | 2000-03-30 | 2003-12-16 | Agere Systems Inc. | Method and apparatus for releasing functional units in a multithreaded VLIW processor |
US6931641B1 (en) | 2000-04-04 | 2005-08-16 | International Business Machines Corporation | Controller for multiple instruction thread processors |
US6725334B2 (en) | 2000-06-09 | 2004-04-20 | Hewlett-Packard Development Company, L.P. | Method and system for exclusive two-level caching in a chip-multiprocessor |
US20020010836A1 (en) | 2000-06-09 | 2002-01-24 | Barroso Luiz Andre | Method and system for exclusive two-level caching in a chip-multiprocessor |
US20020046324A1 (en) | 2000-06-10 | 2002-04-18 | Barroso Luiz Andre | Scalable architecture based on single-chip multiprocessing |
US6668308B2 (en) | 2000-06-10 | 2003-12-23 | Hewlett-Packard Development Company, L.P. | Scalable architecture based on single-chip multiprocessing |
US6687903B1 (en) | 2000-06-28 | 2004-02-03 | Emc Corporation | Inhibiting starvation in a multitasking operating system |
US20020069328A1 (en) | 2000-08-21 | 2002-06-06 | Gerard Chauvel | TLB with resource ID field |
US6862282B1 (en) | 2000-08-29 | 2005-03-01 | Nortel Networks Limited | Method and apparatus for packet ordering in a data processing system |
US6845105B1 (en) * | 2000-09-28 | 2005-01-18 | Telefonaktiebolaget Lm Ericsson | Method and apparatus for maintaining sequence numbering in header compressed packets |
US20020147889A1 (en) | 2000-10-06 | 2002-10-10 | Kruckemyer David A. | Cache coherent protocol in which exclusive and modified data is transferred to requesting agent from snooping agent |
US7020713B1 (en) | 2000-10-10 | 2006-03-28 | Novell, Inc. | System and method for balancing TCP/IP/workload of multi-processor system based on hash buckets |
US7035998B1 (en) | 2000-11-03 | 2006-04-25 | Mips Technologies, Inc. | Clustering stream and/or instruction queues for multi-streaming processors |
US7353289B2 (en) | 2000-11-06 | 2008-04-01 | Telecommunication Systems, Inc. | System for an open architecture development platform with centralized synchronization |
US20020069345A1 (en) | 2000-12-05 | 2002-06-06 | Conexant Systems, Inc. | High performance VLIW processor |
US6876657B1 (en) * | 2000-12-14 | 2005-04-05 | Chiaro Networks, Ltd. | System and method for router packet control and ordering |
US6944850B2 (en) | 2000-12-21 | 2005-09-13 | Intel Corporation | Hop method for stepping parallel hardware threads |
US6772268B1 (en) | 2000-12-22 | 2004-08-03 | Nortel Networks Ltd | Centralized look up engine architecture and interface |
US7131125B2 (en) | 2000-12-22 | 2006-10-31 | Nortel Networks Limited | Method and system for sharing a computer resource between instruction threads of a multi-threaded process |
US20020118692A1 (en) * | 2001-01-04 | 2002-08-29 | Oberman Stuart F. | Ensuring proper packet ordering in a cut-through and early-forwarding network switch |
US20020095562A1 (en) | 2001-01-12 | 2002-07-18 | Mitsubishi Denki Kabushiki Kaisha | Arithmetic unit comprising a memory shared by a plurality of processors |
US20030101440A1 (en) | 2001-01-17 | 2003-05-29 | Ajile Systems, Inc. | Multiple virtual machine environment management system |
US20070217453A1 (en) | 2001-02-14 | 2007-09-20 | John Rhoades | Data Processing Architectures |
US6963921B1 (en) | 2001-02-16 | 2005-11-08 | 3Com Corporation | Method and apparatus for hardware assisted TCP packet re-assembly |
US20020122387A1 (en) * | 2001-03-02 | 2002-09-05 | Broadcom Corporation | Algorithm for time based queuing in network traffic engineering |
US20040260829A1 (en) | 2001-04-13 | 2004-12-23 | Husak David J. | Manipulating data streams in data stream processors |
US6651231B2 (en) | 2001-04-23 | 2003-11-18 | Mitsubishi Denki Kabushiki Kaisha | Clock synchronizing circuit and method of designing the same |
US20030028633A1 (en) * | 2001-04-24 | 2003-02-06 | Lindsay Steven B. | ASF memory loading and handling system and method |
US6952749B2 (en) | 2001-05-02 | 2005-10-04 | Portalplayer, Inc. | Multiprocessor interrupt handling system and method |
US6976155B2 (en) | 2001-06-12 | 2005-12-13 | Intel Corporation | Method and apparatus for communicating between processing entities in a multi-processor |
US20030212830A1 (en) * | 2001-07-02 | 2003-11-13 | Globespan Virata Incorporated | Communications system using rings architecture |
US20030204636A1 (en) | 2001-07-02 | 2003-10-30 | Globespanvirata Incorporated | Communications system using rings architecture |
US20030172257A1 (en) | 2001-07-02 | 2003-09-11 | Globespan Virata Incorporated | Communications system using rings architecture |
US20030009626A1 (en) | 2001-07-06 | 2003-01-09 | Fred Gruner | Multi-processor system |
US6901482B2 (en) | 2001-07-06 | 2005-05-31 | Juniper Networks, Inc. | Managing ownership of a full cache line using a store-create operation |
US20030033481A1 (en) | 2001-07-06 | 2003-02-13 | Dave Hass | Ring-based memory requests in a shared memory multi-processor |
US20030120876A1 (en) | 2001-07-06 | 2003-06-26 | David Hass | Ring based multi-processing system |
US7305492B2 (en) | 2001-07-06 | 2007-12-04 | Juniper Networks, Inc. | Content service aggregation system |
US6895477B2 (en) | 2001-07-06 | 2005-05-17 | Juniper Networks, Inc. | Ring-based memory requests in a shared memory multi-processor |
US20030014607A1 (en) | 2001-07-10 | 2003-01-16 | Micron Technology, Inc. | Dynamic arrays and overlays with bounds policies |
US20030018856A1 (en) | 2001-07-18 | 2003-01-23 | Rowlands Joseph B. | Internal evict with external request |
US7190900B1 (en) | 2001-07-20 | 2007-03-13 | Lighthouse Capital Partners Iv, Lp | System and method for implementing dynamic scheduling of data in a non-blocking all-optical switching network |
US7218637B1 (en) | 2001-07-20 | 2007-05-15 | Yotta Networks, Llc | System for switching data using dynamic scheduling |
US20030033507A1 (en) | 2001-08-09 | 2003-02-13 | Mcgrath Kevin J. | Instruction causing swap of segment register and another register |
US20030041173A1 (en) | 2001-08-10 | 2003-02-27 | Hoyle Stephen L. | Synchronization objects for multi-computer systems |
US20030046495A1 (en) | 2001-08-28 | 2003-03-06 | Venkitakrishnan Padmanabha I. | Streamlined cache coherency protocol system and method for a multiple processor single chip device |
US7134002B2 (en) | 2001-08-29 | 2006-11-07 | Intel Corporation | Apparatus and method for switching threads in multi-threading processors |
US20030046521A1 (en) | 2001-08-29 | 2003-03-06 | Ken Shoemaker | Apparatus and method for switching threads in multi-threading processors` |
US20030043803A1 (en) | 2001-08-31 | 2003-03-06 | Hooper Donald F. | Transmitting multicast data packets |
US20030046464A1 (en) | 2001-08-31 | 2003-03-06 | Keshav Murty | Mechanism for interrupt handling in computer systems that support concurrent execution of multiple threads |
US7111162B1 (en) | 2001-09-10 | 2006-09-19 | Cisco Technology, Inc. | Load balancing approach for scaling secure sockets layer performance |
US20030056200A1 (en) | 2001-09-19 | 2003-03-20 | Jun Li | Runtime monitoring in component-based systems |
US20030067930A1 (en) | 2001-10-05 | 2003-04-10 | International Business Machines Corporation | Packet preprocessing interface for multiprocessor network handler |
US20030081615A1 (en) | 2001-10-22 | 2003-05-01 | Sun Microsystems, Inc. | Method and apparatus for a packet classifier |
WO2003036482A3 (en) | 2001-10-22 | 2003-12-18 | Sun Microsystems Inc | Multi-core multi-thread processor |
US7209996B2 (en) | 2001-10-22 | 2007-04-24 | Sun Microsystems, Inc. | Multi-core multi-thread processor |
US20030088610A1 (en) | 2001-10-22 | 2003-05-08 | Sun Microsystems, Inc. | Multi-core multi-thread processor |
US20070162911A1 (en) | 2001-10-22 | 2007-07-12 | Kohn Leslie D | Multi-core multi-thread processor |
US20030101322A1 (en) | 2001-10-25 | 2003-05-29 | Gardner Robert D. | Protection of user process data in a secure platform architecture |
US20030105799A1 (en) | 2001-12-03 | 2003-06-05 | Avaz Networks, Inc. | Distributed processing architecture with scalable processing layers |
US20030110166A1 (en) | 2001-12-12 | 2003-06-12 | Gilbert Wolrich | Queue management |
US20030123447A1 (en) * | 2001-12-31 | 2003-07-03 | Tippingpoint Technologies, Inc. | System and method for classifying network packets with packet content |
US20030128712A1 (en) | 2002-01-09 | 2003-07-10 | Norihiko Moriwaki | Packet communication apparatus and controlling method thereof |
US20030154352A1 (en) | 2002-01-24 | 2003-08-14 | Sujat Jamil | Methods and apparatus for cache intervention |
US20040059984A1 (en) | 2002-02-22 | 2004-03-25 | Cavanna Vicente V. | Methods for computing the CRC of a message from the incremental CRCs of composite sub-messages |
US6981079B2 (en) | 2002-03-21 | 2005-12-27 | International Business Machines Corporation | Critical datapath error handling in a multiprocessor architecture |
US20030208521A1 (en) | 2002-05-02 | 2003-11-06 | International Business Machines Corporation | System and method for thread scheduling with weak preemption policy |
US7024519B2 (en) | 2002-05-06 | 2006-04-04 | Sony Computer Entertainment Inc. | Methods and apparatus for controlling hierarchical cache memory |
US20030217237A1 (en) | 2002-05-15 | 2003-11-20 | Internation Business Machines Corporation | Selective memory controller access path for directory caching |
US20030231627A1 (en) | 2002-06-04 | 2003-12-18 | Rajesh John | Arbitration logic for assigning input packet to available thread of a multi-threaded multi-engine network processor |
US20030231645A1 (en) | 2002-06-14 | 2003-12-18 | Chandra Prashant R. | Efficient multi-threaded multi-processor scheduling implementation |
US20060053424A1 (en) | 2002-06-28 | 2006-03-09 | Tommi Koistinen | Load balancing devices and method therefor |
US20040019456A1 (en) | 2002-07-23 | 2004-01-29 | Edgar Circenis | Hardware based utilization metering |
US20040024904A1 (en) | 2002-07-31 | 2004-02-05 | Dimambro Francesco R. | Load balancing packet transmission among multiple transmit rings |
US7076545B2 (en) | 2002-07-31 | 2006-07-11 | Sun Microsystems, Inc. | Load balancing the servicing of received packets |
US7130368B1 (en) | 2002-09-19 | 2006-10-31 | Nortel Network Limited | Clock recovery using a direct smoothing process |
US20100077150A1 (en) | 2002-10-08 | 2010-03-25 | Rmi Corporation | Advanced processor with cache coherency |
US20050027793A1 (en) | 2002-10-08 | 2005-02-03 | Hass David T. | Advanced processor with mechanism for packet distribution at high line rate |
US7461213B2 (en) | 2002-10-08 | 2008-12-02 | Rmi Corporation | Advanced processor system using request, data, snoop, and response rings |
US7461215B2 (en) | 2002-10-08 | 2008-12-02 | Rmi Corporation | Advanced processor with implementation of memory ordering on a ring based data movement network |
US20050055540A1 (en) | 2002-10-08 | 2005-03-10 | Hass David T. | Advanced processor scheduling in a multithreaded system |
US20050041651A1 (en) | 2002-10-08 | 2005-02-24 | Hass David T. | Advanced processor with mechanism for fast packet queuing operations |
US20080216074A1 (en) | 2002-10-08 | 2008-09-04 | Hass David T | Advanced processor translation lookaside buffer management in a multithreaded system |
US20080184008A1 (en) | 2002-10-08 | 2008-07-31 | Julianne Jiang Zhu | Delegating network processor operations to star topology serial bus interfaces |
US20100042785A1 (en) | 2002-10-08 | 2010-02-18 | Hass David T | Advanced processor with fast messaging network technology |
US20050044323A1 (en) | 2002-10-08 | 2005-02-24 | Hass David T. | Advanced processor with out of order load store scheduling in an in order pipeline |
US20050044308A1 (en) | 2002-10-08 | 2005-02-24 | Abbas Rashid | Advanced processor with interfacing messaging network to a CPU |
US20080140956A1 (en) | 2002-10-08 | 2008-06-12 | Hass David T | Advanced processor translation lookaside buffer management in a multithreaded system |
US20080126709A1 (en) | 2002-10-08 | 2008-05-29 | Hass David T | Advanced processor with system on a chip interconnect technology |
US20050041666A1 (en) | 2002-10-08 | 2005-02-24 | Hass David T. | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
US7467243B2 (en) | 2002-10-08 | 2008-12-16 | Rmi Corporation | Advanced processor with scheme for optimal packet flow in a multi-processor system on a chip |
US20050033889A1 (en) | 2002-10-08 | 2005-02-10 | Hass David T. | Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip |
US7509462B2 (en) | 2002-10-08 | 2009-03-24 | Rmi Corporation | Advanced processor with use of bridges on a data movement ring for optimal redirection of memory and I/O traffic |
US20090055496A1 (en) | 2002-10-08 | 2009-02-26 | Gaurav Garg | Advanced processor with credit based scheme for optimal packet flow in a multi-processor system on a chip |
US7961723B2 (en) * | 2002-10-08 | 2011-06-14 | Netlogic Microsystems, Inc. | Advanced processor with mechanism for enforcing ordering between information sent on two independent networks |
US20050055503A1 (en) | 2002-10-08 | 2005-03-10 | Hass David T. | Advanced processor with cache coherency |
US7346757B2 (en) | 2002-10-08 | 2008-03-18 | Rmi Corporation | Advanced processor translation lookaside buffer management in a multithreaded system |
US7627717B2 (en) | 2002-10-08 | 2009-12-01 | Rmi Corporation | Advanced processor messaging apparatus including fast messaging ring components configured to accomodate point-to-point transfer of non-memory related messages |
US7627721B2 (en) | 2002-10-08 | 2009-12-01 | Rmi Corporation | Advanced processor with cache coherency |
US20080062927A1 (en) | 2002-10-08 | 2008-03-13 | Raza Microelectronics, Inc. | Delegating Network Processor Operations to Star Topology Serial Bus Interfaces |
US7334086B2 (en) | 2002-10-08 | 2008-02-19 | Rmi Corporation | Advanced processor with system on a chip interconnect technology |
US7509476B2 (en) | 2002-10-08 | 2009-03-24 | Rmi Corporation | Advanced processor translation lookaside buffer management in a multithreaded system |
US20070204130A1 (en) | 2002-10-08 | 2007-08-30 | Raza Microelectronics, Inc. | Advanced processor translation lookaside buffer management in a multithreaded system |
US20060224659A1 (en) | 2002-11-06 | 2006-10-05 | Shaohua Yu | Multiple service ring of n-ringlet structure based on multiple fe, ge and 10ge |
US20040098720A1 (en) | 2002-11-19 | 2004-05-20 | Hooper Donald F. | Allocation of packets and threads |
US7181742B2 (en) | 2002-11-19 | 2007-02-20 | Intel Corporation | Allocation of packets and threads |
US20070143514A1 (en) | 2002-12-26 | 2007-06-21 | Kaushik Shivnandan D | Mechanism for processor power state aware distribution of lowest priority interrupts |
US20040128563A1 (en) | 2002-12-26 | 2004-07-01 | Kaushik Shivnandan D. | Mechanism for processor power state aware distribution of lowest priority interrupt |
US20040128401A1 (en) | 2002-12-31 | 2004-07-01 | Michael Fallon | Scheduling processing threads |
US20040154012A1 (en) | 2003-01-31 | 2004-08-05 | Hong Wang | Safe store for speculative helper threads |
US6909312B2 (en) | 2003-01-31 | 2005-06-21 | Renesas Technology Corp. | Synchronization circuit and synchronization method |
US20060200825A1 (en) * | 2003-03-07 | 2006-09-07 | Potter Kenneth H Jr | System and method for dynamic ordering in a network processor |
US6794896B1 (en) | 2003-04-21 | 2004-09-21 | Xilinx, Inc. | Method and apparatus for multithreading |
US20040216120A1 (en) | 2003-04-24 | 2004-10-28 | International Business Machines Corporation | Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor |
US20040230752A1 (en) | 2003-05-12 | 2004-11-18 | International Business Machines Corporation | Bus protocol for a "switchless" distributed shared memory computer system |
US20040240472A1 (en) | 2003-05-28 | 2004-12-02 | Alok Kumar | Method and system for maintenance of packet order using caching |
US20040252686A1 (en) * | 2003-06-16 | 2004-12-16 | Hooper Donald F. | Processing a data packet |
WO2005013061A2 (en) | 2003-07-25 | 2005-02-10 | Raza Microelectronics, Inc. | Advanced processor |
US20050044319A1 (en) | 2003-08-19 | 2005-02-24 | Sun Microsystems, Inc. | Multi-core multi-thread processor |
US20050060462A1 (en) | 2003-08-29 | 2005-03-17 | Eiji Ota | Method and system for efficiently directing interrupts |
US20050080953A1 (en) | 2003-10-14 | 2005-04-14 | Broadcom Corporation | Fragment storage for data alignment and merger |
US20050088445A1 (en) | 2003-10-22 | 2005-04-28 | Alienware Labs Corporation | Motherboard for supporting multiple graphics cards |
US20050125582A1 (en) | 2003-12-08 | 2005-06-09 | Tu Steven J. | Methods and apparatus to dispatch interrupts in multi-processor systems |
US20050240745A1 (en) | 2003-12-18 | 2005-10-27 | Sundar Iyer | High speed memory control and I/O processor system |
US20050138622A1 (en) | 2003-12-18 | 2005-06-23 | Mcalpine Gary L. | Apparatus and method for parallel processing of network data on a single processing thread |
US7000048B2 (en) | 2003-12-18 | 2006-02-14 | Intel Corporation | Apparatus and method for parallel processing of network data on a single processing thread |
US20050182887A1 (en) | 2004-02-17 | 2005-08-18 | Toshimi Sakurai | PCI-express to PCI/PCI X translator |
US20050210229A1 (en) | 2004-03-22 | 2005-09-22 | Prashant Sethi | Method and system for configuration of processor integrated devices in multi-processor systems |
US20050213585A1 (en) | 2004-03-26 | 2005-09-29 | Samsung Electronics Co., Ltd. | Apparatus and method for distributing forwarding table lookup operations among a plurality of microengines in a high-speed routing node |
US7304996B1 (en) | 2004-03-30 | 2007-12-04 | Extreme Networks, Inc. | System and method for assembling a data packet |
US7089341B2 (en) | 2004-03-31 | 2006-08-08 | International Business Machines Corporation | Method and apparatus for supporting interrupt devices configured for a particular architecture on a different platform |
US20050238022A1 (en) | 2004-04-26 | 2005-10-27 | Rina Panigrahy | Stateful flow of network packets within a packet parsing processor |
US7058738B2 (en) | 2004-04-28 | 2006-06-06 | Microsoft Corporation | Configurable PCI express switch which allows multiple CPUs to be connected to multiple I/O devices |
US20060041715A1 (en) | 2004-05-28 | 2006-02-23 | Chrysos George Z | Multiprocessor chip having bidirectional ring interconnect |
US20060095716A1 (en) | 2004-08-30 | 2006-05-04 | The Boeing Company | Super-reconfigurable fabric architecture (SURFA): a multi-FPGA parallel processing architecture for COTS hybrid computing framework |
US20070266370A1 (en) | 2004-09-16 | 2007-11-15 | Myers Glenford J | Data Plane Technology Including Packet Processing for Network Processors |
US7487379B2 (en) | 2004-11-22 | 2009-02-03 | Rmi Corporation | High performance integrated circuit with low skew clocking networks and improved low power operating mode having reduced recovery time |
US20080209186A1 (en) | 2004-12-22 | 2008-08-28 | Xelerated Ab | Method for Reducing Buffer Capacity in a Pipeline Processor |
US20060195663A1 (en) | 2005-02-25 | 2006-08-31 | International Business Machines Corporation | Virtualized I/O adapter for a multi-processor data processing system |
US20060277369A1 (en) | 2005-06-03 | 2006-12-07 | Benjamin Tsien | Method and apparatus for increasing cache network bandwidth |
US20070067778A1 (en) | 2005-08-25 | 2007-03-22 | Kimming So | System and method for communication in a multithread processor |
US20070067533A1 (en) | 2005-08-25 | 2007-03-22 | Kimming So | System and method for interrupt distribution in a concurrent multithread processor |
US20070106827A1 (en) | 2005-11-08 | 2007-05-10 | Boatright Bryan D | Centralized interrupt controller |
US20070121626A1 (en) | 2005-11-28 | 2007-05-31 | Shepard Jeffrey L G | User and activity based end-to-end utilization measurement system |
US20070157011A1 (en) | 2005-12-30 | 2007-07-05 | Intel Corporation | Fault resilient boot in multi-processor systems |
US20070226686A1 (en) | 2006-03-27 | 2007-09-27 | Beardslee John M | Programming a multi-processor system |
US20080168255A1 (en) | 2007-01-09 | 2008-07-10 | Abou-Emara Luai A | Method and Apparatus for Self-Healing Symmetric Multi-Processor System Interconnects |
WO2009017668A1 (en) | 2007-07-31 | 2009-02-05 | Rmi Corporation | Delegating network processor operations to star topology serial bus interfaces |
EP2174229A1 (en) | 2007-07-31 | 2010-04-14 | RMI Corporation | Delegating network processor operations to star topology serial bus interfaces |
US20090201935A1 (en) | 2008-02-08 | 2009-08-13 | Hass David T | System and method for parsing and allocating a plurality of packets to processor core threads |
WO2009099573A1 (en) | 2008-02-08 | 2009-08-13 | Rmi Corporation | System and method for parsing and allocating a plurality of packets to processor core threads |
Non-Patent Citations (143)
Title |
---|
"Alpha 21264 Microprocessor Product Brief", Digital Equipment Corporation, Preliminary Edition, Retrieved from http://ftp.digital.com/pub/digital/info/semiconductor/literature/21264pb.pdf, Sep. 9, 1998, 2 pages. |
"Flip-flop (electronice)", Wikipedia, the free encyclopedia, http://en.wikipedia.org/wiki/Flip-flop (electronics), Jun. 2010, 6 pages. |
"Microsoft Computer Dictionary", Fifth Edition, Microsoft Press, Redmond, WA, 2002, 3 pages, May 1, 2002. |
"PCI Express Base Specification", Revision 1.0, Apr. 29, 2002, PCI-SIG, 19 pages. |
"Reduced Gigabit Media Independent Interface (RGMII)", Reduced Pin-count Interface for Gigabit Ethernet Physical Layer Devices, Version 2.0, Apr. 1, 2002, 9 pages. |
"The Authoritative Dictionary of IEEE Standards Terms", IEEE 100, Seventh Edition, IEEE Press, New York, NY, 2000, 3 pages. |
Advisory Action dated Dec. 26, 2008 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 3 pages. |
Advisory Action dated Dec. 31, 2008 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 3 pages. |
Advisory Action dated Feb. 25, 2009 for U.S. Appl. No. 10/930,939, filed Aug. 31, 2004, 3 pages. |
Advisory Action dated Feb. 6, 2009 for U.S. Appl. No. 10/931,014, filed Aug. 31, 2004, 3 pages. |
Advisory Action dated Feb. 9, 2009 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 3 pages. |
Advisory Action dated Jan. 23, 2007 for U.S. Appl. No. 10/898,008, filed Jul. 23, 2004, 6 pages. |
Advisory Action dated Mar. 23, 2009 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 7 pages. |
Advisory Action dated Oct. 19, 2009 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 3 pages. |
Advisory Action dated Oct. 25, 2006 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 3 pages. |
Burns et al., "Area and System Clock Effects on SMT/CMP Processors", Proc. of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT), 2001, 8 pages. |
Bursky, "Scalable Processors Add Fuel to Network Data Rates", Electronic Design (ED), ED Online ID# 10398, Retrieved from <http://electronicdesign.com/Articles/Print.cfm?ArticleiD=10389> on Sep. 15, 2009, Published Online May 26, 2005, 5 pages. |
Bursky, "Scalable Processors Add Fuel to Network Data Rates", Electronic Design (ED), ED Online ID# 10398, Retrieved from on Sep. 15, 2009, Published Online May 26, 2005, 5 pages. |
Chakraborty, "System-Level Timing Analysis and Scheduling for Embedded Packet Processors", Ph.D. Thesis, Computer Engineering and Networks Laboratory, ETH Zurich, Switzerland, Apr. 2003, 210 pages. |
Final Office Action dated Apr. 13, 2010 for U.S. Appl. No. 11/961,910, filed Dec. 20, 2007, 19 pages. |
Final Office Action dated Apr. 25, 2006 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 10 pages. |
Final Office Action dated Apr. 26, 2006 for U.S. Appl. No. 10/898,008, filed Jul. 23, 2004, 9 pages. |
Final Office Action dated Apr. 9, 2009 for U.S. Appl. No. 11/961,910, filed Dec. 20, 2007, 22 pages. |
Final Office Action dated Aug. 19, 2010 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 24 pages. |
Final Office Action dated Aug. 22, 2007 for U.S. Appl. No. 10/897,576, filed Jul. 23, 2004, 24 pages. |
Final Office Action dated Aug. 6, 2009 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 16 pages. |
Final Office Action dated Dec. 23, 2009 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 17 pages. |
Final Office Action dated Dec. 3, 2010 for U.S. Appl. No. 12/627,915, filed Nov. 30, 2009, 33 pages. |
Final Office Action dated Feb. 2, 2007 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 14 pages. |
Final Office Action dated Jan. 11, 2011 for U.S. Appl. No. 11/961,910, filed Dec. 20, 2007, 30 pages. |
Final Office Action dated Jan. 20, 2010 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 18 pages. |
Final Office Action dated Jan. 28, 2011 for U.S. Appl. No. 12/261,808, filed Oct. 30, 2008, 35 pages. |
Final Office Action dated Jan. 4, 2011 for U.S. Appl. No. 10/931,014, filed Aug. 31, 2004, 21 pages. |
Final Office Action dated Jan. 5, 2009 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 37 pages. |
Final Office Action dated Jan. 9, 2008 for U.S. Appl. No. 10/930,186, filed Aug. 31, 2004, 32 pages. |
Final Office Action dated Jul. 18, 2008 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 18 pages. |
Final Office Action dated Jul. 24, 2008 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 16 pages. |
Final Office Action dated Jun. 28, 2010 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 23 pages. |
Final Office Action dated Mar. 13, 2009 for U.S. Appl. No. 11/961,884, filed Dec. 20, 2007, 17 pages. |
Final Office Action dated Mar. 31, 2006 for U.S. Appl. No. 10/898,150, filed Jul. 23, 2004, 9 pages. |
Final Office Action dated May 13, 2008 for U.S. Appl. No. 10/930,187, filed Aug. 31, 2004, 27 pages. |
Final Office Action dated May 25, 2007 for U.S. Appl. No. 10/898,150, filed Jul. 23, 2004, 12 pages. |
Final Office Action dated May 5, 2006 for U.S. Appl. No. 10/897,576, filed Jul. 23, 2004, 11 pages. |
Final Office Action dated Nov. 17, 2010 for U.S. Appl. No. 12/028,586, filed Feb. 8, 2008, 14 pages. |
Final Office Action dated Nov. 17, 2010 for U.S. Appl. No. 12/582,622, filed Oct. 20, 2009, 35 pages. |
Final Office Action dated Nov. 24, 2008 for U.S. Appl. No. 10/931,014, filed Aug. 31, 2004, 17 pages. |
Final Office Action dated Nov. 24, 2009 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 20 pages. |
Final Office Action dated Nov. 25, 2008 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 17 pages. |
Final Office Action dated Nov. 26, 2008 for U.S. Appl. No. 10/930,939, filed Aug. 31, 2004, 24 pages. |
Final Office Action dated Oct. 16, 2009 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 20 pages. |
Final Office Action dated Oct. 3, 2008 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 24 pages. |
Final Office Action dated Oct. 6, 2010 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 21 pages. |
Final Office Action dated Sep. 3, 2008 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 19 pages. |
Final Office Action dated Sep. 5, 2007 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 15 pages. |
Fiske et al., "Thread Prioritization: A Thread Scheduling Mechanism for Multiple-Context Parallel Processors", Proc. of the First International Symposium on HPCA, Jan. 1995, 12 pages. |
Goyal et al., "Start-time Fair Queuing: A Scheduling Algorithm for Integrated Services Packet Switching Networks", In Proc. ACM SIGCOMM'96, Aug. 1996, 14 pages. |
Hennessy et al., "Computer Architecture: A Quantitative Approach", Morgan Kaufmann Publishers, Inc., 1996, 10 pages. |
Hennessy et al., "Computer Architecture: A Quantitative Approach", Morgan Kaufmann Publishers, Inc., 2nd Edition; sections 1.1, 1.2, 5.1-5.3, and 8.1, 1996, 38 pages. |
Hennessy et al., "Computer Architecture: A Quantitative Approach", Third Edition (The Morgan Kaufmann Series in Computer Architecture and Design), May 15, 2002, 3 pages. |
International Search Report and Written Opinion from PCT Application No. PCT/US08/09040 mailed on Nov. 4, 2008, 8 pages. |
Laudon et al., "Interleaving: A Multithreading Technique Targeting Multiprocessors and Workstations", In Proc. of the 6th ASPLOS-VI, San Jose, CA, Oct. 1994, 11 pages. |
Moon et al., "Study of an In-order SMT Architecture and Grouping Scheme", International Journal of Control, Automation, and Systems vol. 1, No. 3, Sep. 2003, 12 pages. |
Non-Final Office Action dated Apr. 18, 2008 for U.S. Appl. No. 11/283,154, filed Nov. 18, 2005, 7 pages. |
Non-Final Office Action dated Apr. 30, 2008 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 12 pages. |
Non-Final Office Action dated Aug. 12, 2008 for U.S. Appl. No. 11/961,884, filed Dec. 20, 2007, 22 pages. |
Non-Final Office Action dated Aug. 21, 2007 for U.S. Appl. No. 10/930,179, filed Aug. 31, 2004, 40 pages |
Non-Final Office Action dated Aug. 22, 2007 for U.S. Appl. No. 10/930,187, filed Aug. 31, 2004, 30 pages. |
Non-Final Office Action dated Aug. 31, 2005 for U.S. Appl. No. 10/898,008, filed Jul. 23, 2004, 12 pages. |
Non-Final Office Action dated Aug. 31, 2007 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 13 pages. |
Non-Final Office Action dated Aug. 4, 2010 for U.S. Appl. No. 12/261,808, filed Oct. 30, 2008, 10 pages. |
Non-Final Office Action dated Aug. 5, 2009 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 13 pages. |
Non-Final Office Action dated Dec. 21, 2010 for U.S. Appl. No. 12/815,092, filed Jun. 14, 2010, 22 pages. |
Non-Final Office Action dated Dec. 6, 2006 for U.S. Appl. No. 10/898,150, filed Jul. 23, 2004, 11 pages. |
Non-Final Office Action dated Feb. 19, 2010 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 14 pages. |
Non-Final Office Action dated Feb. 19, 2010 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 16 pages. |
Non-Final Office Action dated Feb. 25, 2009 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 15 pages. |
Non-Final Office Action dated Feb. 9, 2011 for U.S. Appl. No. 12/582,622, filed Oct. 20, 2009, 21 pages. |
Non-Final Office Action dated Jan. 23, 2009 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 19 pages. |
Non-Final Office Action dated Jan. 24, 2008 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 12 pages. |
Non-Final Office Action dated Jan. 28, 2008 for U.S. Appl. No. 10/897,576, filed Jul. 23, 2004, 32 pages. |
Non-Final Office Action dated Jan. 28, 2008 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 16 pages. |
Non-Final Office Action dated Jan. 28, 2011 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 25 pages. |
Non-Final Office Action dated Jan. 4, 2007 for U.S. Appl. No. 10/897,576, filed Jul. 23, 2004, 12 pages. |
Non-Final Office Action dated Jul. 15, 2010 for U.S. Appl. No. 12/582,622, filed Oct. 20, 2009, 16 pages. |
Non-Final Office Action dated Jul. 16, 2007 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 13 pages. |
Non-Final Office Action dated Jul. 17, 2007 for U.S. Appl. No. 10/930,186, filed Aug. 31, 2004, 27 pages. |
Non-Final Office Action dated Jul. 2, 2010 for U.S. Appl. No. 11/961,910, filed Dec. 20, 2007, 13 pages. |
Non-Final Office Action dated Jul. 21, 2006 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 15 pages. |
Non-Final Office Action dated Jul. 22, 2008 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 14 pages. |
Non-Final Office Action dated Jul. 5, 2007 for U.S. Appl. No. 10/931,003, filed Aug. 31, 2004, 11 pages. |
Non-Final Office Action dated Jun. 29, 2006 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 19 pages. |
Non-Final Office Action dated Mar. 13, 2008 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 12 pages. |
Non-Final Office Action dated Mar. 16, 2009 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 14 pages. |
Non-Final Office Action dated Mar. 18, 2009 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 9 pages. |
Non-Final Office Action dated Mar. 3, 2011 for U.S. Appl. No. 10/930,938, filed Aug. 31, 2004, 39 pages. |
Non-Final Office Action dated Mar. 30, 2009 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 14 pages. |
Non-Final Office Action dated Mar. 31, 2010 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 12 pages. |
Non-Final Office Action dated May 1, 2007 for U.S. Appl. No. 10/898,008, filed Jul. 23, 2004, 9 pages. |
Non-Final Office Action dated May 12, 2008 for U.S. Appl. No. 10/930,179, filed Aug. 31, 2004, 32 pages. |
Non-Final Office Action dated May 13, 2008 for U.S. Appl. No. 10/931,014, filed Aug. 31, 2004, 10 pages. |
Non-Final Office Action dated May 27, 2010 for U.S. Appl. No. 12/627,915, filed Nov. 30, 2009, 12 pages. |
Non-Final Office Action dated May 6, 2008 for U.S. Appl. No. 10/930,939, filed Aug. 31, 2004, 16 pages. |
Non-Final Office Action dated Nov. 1, 2007 for U.S. Appl. No. 11/704,709, filed Feb. 8, 2007, 14 pages. |
Non-Final Office Action dated Nov. 13, 2008 for U.S. Appl. No. 10/930,175, filed Aug. 31, 2004, 17 pages. |
Non-Final Office Action dated Nov. 19, 2010 for U.S. Appl. No. 10/930,937, filed Aug. 31, 2004, 14 pages. |
Non-Final Office Action dated Oct. 20, 2005 for U.S. Appl. No. 10/898,150, filed Jul. 23, 2004, 11 pages. |
Non-Final Office Action dated Oct. 3, 2005 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 17 pages. |
Non-Final Office Action dated Sep. 8, 2008 for U.S. Appl. No. 11/961,910, filed Dec. 20, 2007, 18 pages. |
Notice of Allowance dated Aug. 11, 2008 for U.S. Appl. No. 10/930,186, filed Aug. 31, 2004, 10 pages. |
Notice of Allowance dated Aug. 12, 2009 for U.S. Appl. No. 10/897,577, filed Jul. 23, 2004, 4 pages. |
Notice of Allowance dated Aug. 6, 2010 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 6 pages. |
Notice of Allowance dated Dec. 9, 2010 for U.S. Appl. No. 10/898,007, filed Jul. 23, 2004, 30 pages. |
Notice of Allowance dated Feb. 14, 2008 for U.S. Appl. No. 11/704,709, filed Feb. 8, 2007, 4 pages. |
Notice of Allowance dated Feb. 7, 2011 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 15 pages. |
Notice of Allowance dated Jul. 28, 2008 for U.S. Appl. No. 10/930,187, filed Aug. 31, 2004, 9 pages. |
Notice of Allowance dated Jul. 29, 2008 for U.S. Appl. No. 10/897,576, filed Jul. 23, 2004, 12 pages. |
Notice of Allowance dated Nov. 1, 2007 for U.S. Appl. No. 10/898,008, filed Jul. 23, 2004, 7 pages. |
Notice of Allowance dated Nov. 1, 2007 for U.S. Appl. No. 10/898,150, filed Jul. 23, 2004, 12 pages. |
Notice of Allowance dated Nov. 12, 2010 for U.S. Appl. No. 10/930,455, filed Aug. 31, 2004, 9 pages. |
Notice of Allowance dated Sep. 11, 2008 for U.S. Appl. No. 10/930,179, filed Aug. 31, 2004, 10 pages. |
Notice of Allowance dated Sep. 29, 2008 for U.S. Appl. No. 11/283,154, filed Nov. 18, 2005, 7 pages. |
Notice of Allowance dated Sep. 3, 2008 for U.S. Appl. No. 11/704,709, filed Feb. 8, 2007, 4 pages. |
Oplinger et al., "Enhancing Software Reliability with Speculative Threads", Computer Systems Laboratory, Stanford, University, CA, 2002, 13 pages. |
Pappu et al., "Scheduling Processing Resources in Programmable Routers", INFOCOM 2002, Proc. Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies, IEEE vol. 1, Jun. 23-27, 2002, 9 pages. |
Rosen et al., "Multiprotocol Label Switching Architecture", Network Working Group, RFC: 3031, Category: Standards Track, Jan. 2001, 57 pages. |
Suijkerbuijk et al., "Performance Evaluation of Interleaved Multithreading in a VLIW Architecture", Proc. ProRISC, Nov. 2004, 8 pages. |
U.S. Appl. No. 10/682,579, filed Aug. 30, 2005. |
U.S. Appl. No. 10/930,003, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,175, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,179, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,186, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,187, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,455, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,937, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,938, filed Aug. 31, 2004. |
U.S. Appl. No. 10/930,939, filed Aug. 31, 2004. |
U.S. Appl. No. 10/931,014, filed Aug. 31, 2004. |
U.S. Appl. No. 60/416,838, filed Oct. 8, 2002. |
U.S. Appl. No. 60/490,236, filed Jul. 25, 2003. |
Ungerer et al., "Multithreaded Processors", The Computer Journal, vol. 45, No. 3, British Computer Society, 2002, 29 pages. |
Wolf et al., "Locality-Aware Predictive Scheduling of Network Processors", Performance Analysis of Systems and Software, 2001, ISPASS 2001, IEEE International Symposium, Nov. 4-6, 2001, 8 pages. |
Written Opinion for PCT/US04/23871 dated Oct. 4, 2005, 11 pages. |
Zahran et al., "Return-Address Prediction in Speculative Multithreaded Environment", HiPC 2002, LNCS 2552, Springer-Berlin Heidelberg, 2002, 11 pages. |
Also Published As
Publication number | Publication date |
---|---|
US20120008631A1 (en) | 2012-01-12 |
US7961723B2 (en) | 2011-06-14 |
US20050041666A1 (en) | 2005-02-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9154443B2 (en) | Advanced processor with fast messaging network technology | |
US8499302B2 (en) | Advanced processor with mechanism for packet distribution at high line rate | |
US9092360B2 (en) | Advanced processor translation lookaside buffer management in a multithreaded system | |
US8953628B2 (en) | Processor with packet ordering device | |
US9264380B2 (en) | Method and apparatus for implementing cache coherency of a processor | |
US8176298B2 (en) | Multi-core multi-threaded processing systems with instruction reordering in an in-order pipeline | |
US7984268B2 (en) | Advanced processor scheduling in a multithreaded system | |
US7461215B2 (en) | Advanced processor with implementation of memory ordering on a ring based data movement network | |
US20110255542A1 (en) | Advanced processor with mechanism for fast packet queuing operations | |
US20050033889A1 (en) | Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip | |
US7467243B2 (en) | Advanced processor with scheme for optimal packet flow in a multi-processor system on a chip | |
US20050055502A1 (en) | Advanced processor with novel level 2 cache design | |
US9088474B2 (en) | Advanced processor with interfacing messaging network to a CPU | |
US20050044324A1 (en) | Advanced processor with mechanism for maximizing resource usage in an in-order pipeline with multiple threads | |
US20050033831A1 (en) | Advanced processor with a thread aware return address stack optimally used across active threads |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
AS | Assignment |
Owner name: NETLOGIC I LLC, DELAWARE Free format text: CHANGE OF NAME;ASSIGNOR:NETLOGIC MICROSYSTEMS, INC.;REEL/FRAME:035443/0824 Effective date: 20130123 Owner name: BROADCOM CORPORATION, CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:NETLOGIC I LLC;REEL/FRAME:035443/0763 Effective date: 20150327 |
|
CC | Certificate of correction | ||
AS | Assignment |
Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001 Effective date: 20160201 Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001 Effective date: 20160201 |
|
AS | Assignment |
Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001 Effective date: 20170120 Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001 Effective date: 20170120 |
|
AS | Assignment |
Owner name: BROADCOM CORPORATION, CALIFORNIA Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041712/0001 Effective date: 20170119 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551) Year of fee payment: 4 |
|
AS | Assignment |
Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE Free format text: MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047229/0408 Effective date: 20180509 |
|
AS | Assignment |
Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE EFFECTIVE DATE PREVIOUSLY RECORDED ON REEL 047229 FRAME 0408. ASSIGNOR(S) HEREBY CONFIRMS THE THE EFFECTIVE DATE IS 09/05/2018;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047349/0001 Effective date: 20180905 |
|
AS | Assignment |
Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE PATENT NUMBER 9,385,856 TO 9,385,756 PREVIOUSLY RECORDED AT REEL: 47349 FRAME: 001. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:051144/0648 Effective date: 20180905 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 8 |