US9076646B2 - Plasma enhanced atomic layer deposition with pulsed plasma exposure - Google Patents

Plasma enhanced atomic layer deposition with pulsed plasma exposure Download PDF

Info

Publication number
US9076646B2
US9076646B2 US14/144,107 US201314144107A US9076646B2 US 9076646 B2 US9076646 B2 US 9076646B2 US 201314144107 A US201314144107 A US 201314144107A US 9076646 B2 US9076646 B2 US 9076646B2
Authority
US
United States
Prior art keywords
plasma
film
reactant
reaction chamber
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/144,107
Other versions
US20140113457A1 (en
Inventor
James S. Sims
Jon Henri
Kathryn M. Kelchner
Sathish Babu S. V. Janjam
Shane Tang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/084,399 external-priority patent/US8728956B2/en
Priority to US14/144,107 priority Critical patent/US9076646B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JANJAM, SATHISH BABU S. V., KELCHNER, KATHRYN M., HENRI, JON, SIMS, JAMES S., TANG, SHANE
Publication of US20140113457A1 publication Critical patent/US20140113457A1/en
Priority to TW103145386A priority patent/TWI654336B/en
Priority to JP2014262248A priority patent/JP6562629B2/en
Priority to KR1020140193925A priority patent/KR102384484B1/en
Priority to SG10201408801QA priority patent/SG10201408801QA/en
Publication of US9076646B2 publication Critical patent/US9076646B2/en
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Definitions

  • PEALD plasma enhanced atomic layer deposition
  • a single cycle of a PEALD process deposits a monolayer of material. The operations may be repeated a number of times to deposit additional monolayers to reach a desired film thickness.
  • a method for filling a gap on a semiconductor substrate surface including: (a) introducing a first reactant in vapor phase into a reaction chamber having the substrate therein, and allowing the first reactant to adsorb onto the substrate surface; (b) purging the reaction chamber after a flow of the first reactant has ceased; (c) introducing a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed onto the substrate surface; (d) exposing the substrate surface to plasma to drive a surface reaction between the first and second reactants on the substrate surface to form a film layer that lines the gap, where the plasma is a pulsed plasma; (e) extinguishing the plasma; and (f) purging the reaction chamber.
  • the frequency of the plasma pulses during exposing the substrate surface to plasma is between about 25-5000 Hz.
  • the frequency of plasma pulses may also be between about 100-500 Hz.
  • the frequency of plasma pulses is at least about 25 Hz, for example at least about 50 Hz or at least about 100 Hz or at least about 1000 Hz.
  • Various different types of film may be deposited according to the disclosed methods.
  • the film deposited is a silicon nitride or silicon carbonitride film.
  • the film may be an oxide film in some cases.
  • operations (c) and (d) occur, at least partially, at the same time.
  • Different types of plasma may be used.
  • the plasma may be a capacitively coupled plasma or an inductively coupled plasma.
  • the plasma may be generated using an RF plasma generator.
  • a plasma power may be between about 50-2500 W/station.
  • a ratio between a wet etch rate at a middle portion of a sidewall of the gap (WEm) and a wet etch rate at a top (WEt) and/or bottom (WEb) of the gap is between about 0.25-3.
  • the film formed in the gap at a middle portion of the gap, as measured vertically, may exhibit a wet etch rate between about 1-25 ⁇ /min.
  • An average ratio of carbon:silicon at a sidewall of the gap may be at least about 0.4, for example at least about 0.5 or 0.6. This relatively high carbon:silicon ratio contributes to the relatively low wet etch rate seen at the sidewalls.
  • a composition of the film is substantially uniform throughout the film.
  • the method further includes repeating operations (a) through (f).
  • a first iteration of operation (d) is performed at a different pulse frequency than a second iteration of operation (d).
  • a first iteration of operation (d) may be performed at a different duty cycle than a second iteration of operation (d).
  • a first iteration of operation (d) may be performed at a different RF power than a second iteration of operation (d).
  • a pulsed plasma is used.
  • the plasma pulses between an on state and an off state.
  • the plasma may pulsed between at least a first power state and a second power state, where power delivered during the first power state is different from power delivered during the second power state.
  • an apparatus for depositing films on substrates including: a reaction chamber; an inlet port for delivering gas phase reactants to the reaction chamber; a plasma generator for providing pulsed plasma to the reaction chamber; and a controller having instructions for: (a) introducing a first reactant in vapor phase into the reaction chamber; (b) introducing a second reactant in vapor phase into the reaction chamber; (c) periodically striking a pulsed plasma to expose the substrate surface to pulsed plasma when the vapor phase flow of the first reactant has ceased in order to drive a surface reaction between the first and second reactants on the substrate surface to form the film.
  • the controller has instructions for pulsing the plasma during operation (c) at a frequency between about 25-5000 Hz.
  • the controller may have instructions for performing operations (b) and (c) at least partially at the same time.
  • the controller may also have instructions for performing operation (c) at a plasma duty cycle between about 5-95%.
  • the controller may have instructions for repeating operations (a) through (c), where the controller also has instructions for performing a first iteration of operation (c) at a different pulse frequency and/or duty cycle and/or RF power than a second iteration of operation (c).
  • FIGS. 1A-1D show graphs depicting the atomic concentration of various species present in a film deposited in a trench at different positions within the trench.
  • FIG. 1E shows various graphs depicting how different plasma characteristics change over time during a plasma pulse cycle.
  • FIGS. 2A and 2B are flowcharts for methods of depositing a film on a substrate through a plasma enhanced atomic layer deposition method according to certain disclosed embodiments.
  • FIG. 3 is a simplified diagram of an apparatus for depositing a film on a substrate according to certain disclosed embodiments.
  • FIG. 4 shows a multi-tool semiconductor processing apparatus for depositing a film on a substrate in accordance with certain disclosed embodiments.
  • FIGS. 5A and 5B show cross-sectional views of a trench having a conventional film deposited thereon, both as-deposited ( FIG. 5A ) and after an etching operation ( FIG. 5B ).
  • FIG. 5C is a table showing the etch rate at different portions of the conventional film shown in FIGS. 5A and 5B .
  • FIGS. 6A and 6B show cross-sectional views of a trench having a novel film thereon deposited according to the disclosed methods, both as-deposited ( FIG. 6A ) and after an etching operation ( FIG. 6B ).
  • FIG. 6C is a table showing the etch rate at different portions of the novel film shown in FIGS. 6A and 6B .
  • FIGS. 7A and 7B are presented in color and show areal density maps illustrating the carbon:silicon ratio in films deposited with a continuous wave plasma ( FIG. 7A ) and with a pulsed plasma ( FIG. 7B ).
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • the following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • Manufacture of semiconductor devices typically involves depositing one or more thin films on a non-planar substrate in an integrated fabrication process. In some aspects of the integrated process it may be useful to deposit thin films that conform to substrate topography. For example, a silicon nitride film may be deposited on top of an elevated gate stack to act as a spacer layer for protecting lightly-doped source and drain regions from subsequent ion implantation processes.
  • CVD chemical vapor deposition
  • silicon nitride film on the non-planar substrate, which is then anisotropically etched to form the spacer structure.
  • mass transport limitations of CVD gas phase reactions may cause “bread-loafing” deposition effects. Such effects typically exhibit thicker deposition at top surfaces of gate stacks and thinner deposition at the bottom corners of gate stacks.
  • mass transport effects across the wafer surface may result in within-die and within-wafer film thickness variation. These thickness variations may result in over-etching of some regions and under-etching of other regions.
  • a feature is a gap recessed in the surface of a substrate, for example a gap in one or more films deposited on a substrate.
  • the aspect ratio of a feature is defined as the ratio between the feature's depth to its width. As device dimensions continue to shrink, aspect ratios increase and CVD processes are unable to adequately fill such features.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • P 1 first film precursor
  • Some molecules of P 1 may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of P 1 .
  • the reactor is then evacuated to remove gas phase and physisorbed P 1 so that only chemisorbed species remain.
  • a second film precursor (P 2 ) is then introduced to the reactor so that some molecules of P 2 adsorb to the substrate surface.
  • the reactor may again be evacuated, this time to remove unbound P 2 .
  • thermal energy provided to the substrate activates surface reactions between adsorbed molecules of P 1 and P 2 , forming a film layer.
  • the reactor is evacuated to remove reaction by-products and possibly unreacted P 1 and P 2 , ending the ALD cycle. Additional ALD cycles may be included to build film thickness.
  • each ALD cycle may deposit a film layer of, in one example, between about 0.5-3 ⁇ thick.
  • Conformal films may also be deposited on planar substrates.
  • antireflective layers for lithographic patterning applications may be formed from planar stacks comprising alternating film types. Such antireflective layers may be approximately 100-1000 ⁇ thick, making slower ALD processes less attractive than faster CVD processes. However, such anti-reflective layers may also have a lower tolerance for within-wafer thickness variation than many CVD processes may provide. For example, a 600 ⁇ thick antireflective layer may tolerate a thickness range of less than 3 ⁇ .
  • CFD processes may be employed.
  • Methods for forming films using CFD are described in U.S. patent application Ser. No. 13/084,399, filed on Apr. 11, 2011, and which is incorporated by reference herein for all purposes.
  • CFD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis.
  • CFD does not rely on complete purges of one or more reactants prior to reaction to form the film.
  • plasma activation of deposition reactions may result in lower deposition temperatures than thermally-activated reactions, potentially reducing the thermal budget of an integrated process.
  • the embodiments herein include both plasma enhanced ALD (PEALD) and CFD processes.
  • PEALD plasma enhanced ALD
  • FIGS. 1A-1D present data from an Auger analysis related to the composition of a film deposited in a trench on a substrate according to a conventional PEALD method.
  • the x-axis relates to different locations on the substrate. “Top” refers to a position in the field region near the top of a recessed feature, “Side 1 ⁇ 2” refers to a position halfway up the sidewall, and “Side 1 ⁇ 4” refers to a position one-quarter of the way up the sidewall (closer to the bottom of the feature than the top).
  • the y-axis relates to the atomic concentration of the element of interest (silicon in FIG. 1A , nitrogen in FIG. 1B , carbon in FIG. 1C , and oxygen in FIG. 1D ).
  • FIG. 1D shows that the concentration of oxygen in the film is much higher at the sidewall locations than in the field region.
  • FIGS. 1A-1D contain references to “RBS” values. These correspond to Rutherford Backscattering data performed to verify the Auger analysis.
  • the RBS data relates only to the film at the top of the feature.
  • the embodiments herein relate to methods in which the plasma is pulsed (e.g., RF power is turned on and off or otherwise modulated many times) during this conversion operation.
  • conventional PEALD methods are sometimes described as “pulsed plasma” methods, a distinction should be drawn between these conventional pulses and the pulses of the current embodiments.
  • conventional PEALD methods use a single pulse (plasma on, plasma off) during a plasma exposure/conversion step. Because the PEALD process is cyclic and the plasma exposure step is repeated several times during a deposition process, some may refer to these conventional processes as pulsed plasma processes.
  • the plasma must be turned on and off or otherwise modulated multiple times during a single plasma exposure/conversion operation.
  • the high frequency RF power of the plasma may switch between an on state and an off state.
  • the RF power may be reduced but not turned off during the pulses.
  • the high frequency RF power pulses could be 100% of the high frequency RF power when the pulse is on and 50% of the high frequency RF power when the pulse is off.
  • the high frequency RF power of the plasma may be increased over a period of time and decreased over a period of time instead of being turned on and off.
  • the plasma pulses are generally very short, with a pulse frequency on the order of about 100 Hz-500 Hz, though values outside this range may be used as well.
  • Films produced according to the disclosed methods show much higher quality at the sidewalls compared to conventionally deposited films. This increase in sidewall film quality means that the deposited films have much more uniform quality overall.
  • the difference in film quality between the sidewalls of a feature and other areas of a feature in conventional PEALD methods may arise due to the nature of ion directionality.
  • ions present in the plasma travel in a substantially linear manner to hit the surface of the substrate. These ions may provide energy for converting the precursor material(s).
  • the density of ions impacting the substrate is much higher near the field region and at the bottom of a feature, as compared to the sidewalls. This may act to selectively density the film near the top and bottom of the feature, leaving a less dense film on the sidewalls.
  • the energy delivered by ions hitting the sidewalls is different from the energy delivered by ions hitting other portions of the feature, again due to the geometry of the recessed feature. This may lead to different reactions happening at the sidewalls than at other regions.
  • the use of a pulsed plasma may decrease the directionality of the ions, resulting in more uniform ion impact density/energy on the substrate, and more uniform film quality.
  • the difference in film quality at different locations may also result from the ratio of ions to radicals in the plasma.
  • a different amount, ratio and type of ions and neutrals may be achieved. This may help form a more uniform quality film.
  • One plasma pulse cycle includes turning the plasma power on and off once (or off and on once).
  • the power used to drive the RF plasma is shown in FIG. 1E .
  • Plasma power is actively applied during the on period, but not during the off period.
  • the on and off periods may be further broken down into specific processing regimes, including a turn on regime, a steady state regime, a turn off regime and a late afterglow regime.
  • the electron energy, electron density, positive and negative ion fluxes, and plasma potential vary based which plasma cycle processing regime the plasma is currently experiencing.
  • the turn on cycle begins upon application of plasma power. This period may last on the order of about 10-500 ⁇ s in some embodiments.
  • plasma power When plasma power is applied, the electron energy in the plasma jumps and then quickly begins to fall. The density of electrons rises during this time.
  • Plasmas generally contain ions, electrons and radicals. To satisfy the charge balance, more of the ions present in the plasma are positively charged than negatively charged. This is because positive ions are the only positive species in the plasma, while the negative species include both negative ions and electrons. Further, many plasmas, including electronegative plasmas, have an electropositive edge/sheath region.
  • the density of positive ions is greater than the density of negative ions, and because the plasma sheath is electropositive, the flux of negative ions to the surface of a substrate while plasma is activated is very low (e.g., substantially zero).
  • the plasma potential jumps and then begins to fall off.
  • plasma sheaths may be forming and changing with plasma ignition.
  • the electron energy is lower than it was during the turn on regime. Both electron energy and electron density are fairly constant.
  • the positive and negative ion fluxes are also constant during this regime, with a high positive ion flux and a very low (e.g., substantially zero) negative ion flux.
  • the plasma potential is fairly steady, and is lower in the steady state regime than during the turn on regime.
  • plasma sheaths may be oscillating in a steady state fashion.
  • the turn off processing regime begins as soon as the plasma power is turned off. During this period, the electron energy, electron density, positive ion flux and plasma potential all begin to fall off.
  • the plasma sheaths may be disintegrating with the decline of the electron density during the turn off regime.
  • the negative ion flux may rise during this processing regime.
  • many plasmas have an electropositive edge region. In order to extract negative ions from the plasma, this edge region should be changed by reversing the direction of the electric field for a sufficiently long period of time to allow the negative ions to escape. To reverse the electric field, the electron current must be absorbed. This current can be very substantial in the context of a continuous wave plasma. However, this current decreases as the electron density decreases.
  • the next processing regime experienced after the turn off regime is the late afterglow regime.
  • the plasma power, electron energy, electron density, positive ion flux and plasma potential are very low, in some cases zero.
  • the electron density is very low, for example near the thermal electron average energy.
  • the ion flux density is similarly low, with little to no positive ions reaching the surface of a substrate. As indicated above, however, there may be a flux of negative ions to the surface of the substrate during this time. In some cases, the plasma potential may be zero or even negative during the late afterglow regime.
  • film byproducts may get selectively buried in the film at different locations, particularly at the sidewalls.
  • the use of a pulsed plasma may allow these byproducts to be removed from the film more effectively, thus creating a more uniform quality film.
  • Pulsed plasmas have been used in certain other contexts for other purposes.
  • a plasma may be pulsed during a plasma enhanced chemical vapor deposition process in order to enhance conformality of the deposited film.
  • This pulsed PECVD process is further discussed and described in U.S. Pat. No. 7,745,346, titled “METHOD FOR IMPROVING PROCESS CONTROL AND FILM CONFORMALITY OF PECVD FILM,” which is incorporated herein in its entirety.
  • ALD and CFD methods deposit films that are inherently very conformal, one of ordinary skill in the art would not likely apply these pulsed plasma methods to an ALD/CFD process, as there would be little or no expected benefit in this context.
  • the deposited film may be an oxide (e.g., silicon oxide or a metal oxide). Each of these types of films suffers from poor quality film on the sidewalls when deposited according to conventional continuous wave plasma processing methods.
  • the film deposited may be doped, for example with carbon, boron, phosphorus, nitrogen, etc.
  • FIG. 2A shows a flowchart of a method of depositing a uniform-quality film according to various disclosed embodiments.
  • the method 200 begins at operation 202 , where a substrate having recessed features is received into a reaction chamber.
  • a dose of a first reactant is flowed into the reaction chamber.
  • the reactant adsorbs onto the surface of the substrate, including in the recessed features.
  • the first reactant is typically a silicon-containing reactant.
  • the first reactant is generally a metal-containing reactant.
  • the reaction chamber is purged at operation 206 .
  • the purge includes sweeping the reaction chamber with a non-reactive gas.
  • the purge may include evacuating the reaction chamber by performing a pump down.
  • the pressure in the reaction chamber is significantly lower during the pump down than during reactant delivery, for example.
  • the purpose of this purge operation 206 is to remove all or substantially all of the first reactant from the reaction chamber.
  • the purge/sweep may be less complete, where only a portion of the first reactant is removed from the reaction chamber.
  • Optimal purge conditions may depend on the desired film characteristics. Unless otherwise stated, a sweep or purge as described herein may be partial, complete or substantially complete. In certain implementations, this purge/sweep may not occur.
  • a second reactant is flowed into the reaction chamber.
  • the second reactant is often a nitrogen-containing reactant, carbon-containing reactant, and/or oxygen-containing reactant.
  • Each of the first and second reactants may also be a mix of reactants.
  • the second reactant may include both oxygen and nitrous oxide.
  • the reactants may be mixed prior to delivery (e.g., in a separate mixing vessel), or after delivery (e.g., in the reaction chamber itself).
  • a pulsed plasma is ignited in the reaction chamber and exposed to the substrate surface.
  • the frequency of the pulses is relatively high, for example on the order of about 100-500 Hz.
  • the pulsed plasma exposure operation 210 may have a longer duration than what is typically used in a conventional continuous wave plasma process.
  • operations 208 and 210 occur, at least partially, at the same time.
  • the second reactant may be pre-flowed into the reaction chamber at 208 before plasma ignition occurs at 210 .
  • the second reactant is provided continuously.
  • operations 208 and 210 begin at the same time.
  • the second reactant is flowed into the reaction chamber at 208 , then swept/purged from the reaction chamber before pulsed plasma ignition occurs at operation 210 . In various cases, however, this purge may not occur.
  • the plasma is extinguished and the reaction chamber is purged at operation 212 .
  • this may include sweeping and/or pumping down the reaction chamber. In certain cases this sweep/purge may be optional, though the use of a post-plasma purge may help promote formation of high quality film.
  • Operations 204 - 212 generally result in the deposition of a monolayer of material, though in certain embodiments, less than a monolayer of material may be deposited. These steps may be repeated a number of times in order to grow a film of the desired thickness, as indicated by the dotted arrow.
  • the plasma characteristics can have a substantial effect on the deposited film.
  • the plasma is a capacitively coupled plasma.
  • other types of plasma may also be used, for example inductively coupled plasmas.
  • Various types of plasma generators may be used including RF, DC and microwave plasma generators.
  • the plasma may be either a direct plasma (i.e., a plasma generated in the reaction chamber), or a remotely-generated plasma.
  • the high frequency RF power of a plasma may be pulsed between about 75-500 Hz. These generators are not capable of a sustaining a plasma at duty cycles lower than 15% or pulse frequencies greater than 500 Hz. Further improvements in the films might be observed using the pulsed PEALD process with further decreases in duty cycle when generators capable of higher frequencies and lower duty cycles become available.
  • the high frequency RF power of the plasma must be on at least long enough to generate reactive species. If no reactive species are generated, the benefits of pulsed PEALD will not be realized.
  • the plasma power delivered may be between about 50-2500 W/station, for example between about 75-500 W/station for a 300 mm diameter wafer. These values may be scaled linearly based on the area of a substrate for substrates of different sizes. For example, a value of 100 W/station for a 300 mm wafer would correspond to a value of 225 W/station for a 450 mm wafer.
  • the RF frequency may include a low frequency (LF) component (e.g., about 250-400 kHz) and/or a high frequency (HF) component (e.g., about 13.56 or 27 MHz).
  • LF low frequency
  • HF high frequency
  • the inclusion of an LF frequency undesirably reduces step coverage.
  • the RF delivered includes only HF frequencies.
  • the duty cycle relates to the relative amount of time that a plasma is ignited during the pulsed plasma exposure phase. For example, for a pulse with a frequency of 500 Hz and a duty cycle of 15%, one pulse period is 2 ms with the RF power off for 1.7 ms and on for 0.3 ms. In some embodiments, the duty cycle is between about 5-95%. In certain cases, the duty cycle is between about 5-50%, or between about 5-15%, for example about 10%. The duration of each plasma pulse is fairly short and the frequency of plasma pulses is relatively high. In some cases, the plasma pulse frequency is between about 25-5000 Hz, for example between about 100-500 Hz. In some cases, the pulse frequency is about 1000 Hz or less, for example about 500 Hz or less.
  • the pulse frequency is about 25 Hz or greater, for example about 50 Hz or greater, or about 100 Hz or greater.
  • the duration of the entire plasma exposure operation may be higher than in continuous wave plasma PEALD processes. In some cases, the duration of the pulsed plasma exposure is between about 0.05-2 seconds, for example between about 0.05-1 second, or between about 0.1-0.5 seconds.
  • the plasma pulse characteristics may be changed over time.
  • the pulse frequency and/or duty cycle may change between a first set of deposition cycles and a second set of deposition cycles.
  • a pulse frequency is increased or decreased in later cycles.
  • the duty cycle may increase or decrease in later cycles.
  • Other plasma characteristics may change over time within a deposition process, as well. For instance, the RF power value, RF frequency, plasma generating gas, etc. may be changed during a deposition process.
  • FIG. 2B provides a flowchart for a method of depositing a film on a substrate where the plasma conditions are changed during the deposition.
  • the method 250 begins at operation 252 , where one or more layers of film are deposited using a deposition process utilizing pulsed plasma having a first set of plasma conditions, as described herein.
  • the method 250 continues at operation 254 , where one or more additional film layers are deposited using a deposition process utilizing pulsed plasma having a second set of plasma conditions.
  • the first and second set of plasma conditions may be different.
  • one or more of the following plasma characteristics may be different between operations 252 and 254 : pulse frequency, duty cycle, RF power, RF frequency, composition or flow rate of gas used to generate plasma, etc. Changing the plasma characteristics may help tune a film as desired.
  • the deposited film is a silicon-containing film.
  • the silicon-containing reactant may be for example, a silane, a halosilane or an aminosilane.
  • a silane contains hydrogen and/or carbon groups, but does not contain a halogen.
  • silanes examples include silane (SiH 4 ), disilane (Si 2 H 6 ), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, tetra-ethyl-ortho-silicate (also known as tetra-ethoxy-silane or TEOS) and the like.
  • organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butyl
  • halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups.
  • halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes.
  • halosilanes, particularly fluorosilanes may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing reactant is not present when a plasma is struck.
  • chlorosilanes are tetrachlorosilane (SiCl 4 ), trichlorosilane (HSiCl 3 ), dichlorosilane (H 2 SiCl 2 ), monochlorosilane (ClSiH 3 ), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like.
  • aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons.
  • Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si(NH 2 ) 4 , respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS), tert-butyl silylcarbamate, SiH(CH 3 )—(N(CH 3 ) 2 ) 2 , SiHCl—(N(CH 3 ) 2 )
  • the deposited film contains metal.
  • metal-containing films that may be formed include oxides and nitrides of aluminum, titanium, hafnium, tantalum, tungsten, manganese, magnesium, strontium, etc., as well as elemental metal films.
  • Example precursors may include metal alkylamines, metal alkoxides, metal alkylamides, metal halides, metal ⁇ -diketonates, metal carbonyls, organometallics, etc. Appropriate metal-containing precursors will include the metal that is desired to be incorporated into the film.
  • a tantalum-containing layer may be deposited by reacting pentakis(dimethylamido)tantalum with ammonia or another reducing agent.
  • metal-containing precursors include trimethylaluminum, tetraethoxytitanium, tetrakis-dimethyl-amido titanium, tetrakis-diethylamido-titanium, titanium isopropoxide, titanium tetraisopropoxide, titanium tetrachloride, bis(methyl- ⁇ 5-cyclopentadienyl)dimethylhafnium, bis(methyl- ⁇ 5-cyclopentadienyl)methoxymethylhafnium, hafnium(IV) tert-butoxide, tetrakis(dimethylamido)hafnium(IV), hafnium tetrakis(ethylmethylamide), bis(cyclopentadienyl)manganese, bis(n-propylcyclopentadienyl)magnesium, tantalum(V) ethoxide, tris(diethylamido
  • an oxygen-containing reactant is used.
  • oxygen-containing reactants include oxygen, ozone, nitrous oxide, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, sulfur monoxide, sulfur dioxide, water, oxygen-containing hydrocarbons (C x H y O z ), etc.
  • the deposited film contains nitrogen, and a nitrogen-containing reactant is used.
  • a nitrogen-containing reactant contains at least one nitrogen, for example, ammonia, hydrazine, amines (e.g., amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines.
  • amines e.g., amines bearing carbon
  • Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds).
  • a nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.
  • the disclosed embodiments will be beneficial in achieving uniform film quality in recessed features.
  • the methods may also be used to deposit films on other types of substrates (e.g., flat substrates, or substrates having protruding features).
  • substrates e.g., flat substrates, or substrates having protruding features.
  • the need for the improved sidewall quality and overall film uniformity may not be as great in the context of these types of non-recessed substrates.
  • the substrate includes one or more recessed features having aspect ratios of about 6:1 or less. This ratio is defined as the depth:width of the recessed feature. In other cases, the aspect ratio of the features may be higher.
  • the temperature of the reaction chamber during the deposition process may be between about 150-550° C., for example between about 250-550° C. This temperature is substantially lower than that typically required when thermal energy is used to convert the precursors (e.g., >700° C.), rather than plasma energy.
  • the pressure of the reaction chamber during the deposition process may be between about 1-10 Torr, or between about 3-7 Torr, for example about 6 Torr.
  • the disclosed pulsed plasma embodiments will typically exhibit a slower deposition rate.
  • the disclosed methods also result in the deposition of films having relatively tensile or neutral stress with very low wet etch rates.
  • conventional methods typically result in the deposition of compressive films having low wet etch rates or tensile films having very high wet etch rates.
  • the wet etch rate can be varied by using different levels of RF power. Higher levels of RF power (e.g., about 6500 W/station) result in highly compressive films having low wet etch rates. Lower levels of RF power (e.g., about 500 W/station) result in films that are less compressive/more tensile, however, these films have extremely high wet etch rates.
  • the wet etch rate of the film as-deposited at the middle portion of a sidewall may be between about 1-25 ⁇ /min, for example between about 1-10 ⁇ /min. These values may be especially relevant when the film deposited is a carbon doped silicon nitride film.
  • Another way to characterize the deposited films is to examine internal wet etch rate ratios that compare the wet etch rates at different portions of the deposited film.
  • the wet etch rate at the middle portion of the feature's sidewall (WE m ) may be compared to the wet etch rate at the bottom (WE b ) and/or top (WE t ) of the feature.
  • WE m /WE b and/or WE m /WE t are between about 0.25-3, for example between about 0.25-2, or between about 0.25-1. In the case of a continuous wave plasma, these ratios are generally much higher, for example between about 5-10 or greater.
  • the stress of the as-deposited film may vary widely, for example the stress may be between about 500 MPa tensile and about 2 GPa compressive.
  • Pulsed plasma processing can be performed with existing semiconductor processing equipment by using a high frequency RF generator capable of pulsing the high frequency and/or low frequency RF power of the plasma.
  • reactors such as VectorTM reactor chambers available from Lam Research Corporation of Fremont, Calif., may be used for pulsed PEALD.
  • improved films may be made with minimal hardware alterations.
  • a suitable apparatus for performing the disclosed methods typically includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention.
  • the hardware may include one or more PEALD process stations included in a process tool.
  • FIG. 3 provides a block diagram of an example apparatus that may be used to practice the disclosed embodiments.
  • a reactor 300 includes a process chamber 324 , which encloses other components of the reactor and serves to contain the plasma generated by, e.g., a capacitor type system including a showerhead 314 working in conjunction with a grounded heater block 320 .
  • a high-frequency RF generator 304 connected to a matching network 306 , and a low-frequency RF generator 302 are connected to showerhead 314 . These RF generators are capable of pulsing a plasma as described herein.
  • the power and frequency supplied by matching network 306 is sufficient to generate a plasma from the process gas, for example 400-700 W total energy.
  • both the HFRF generator and the LFRF generator are used.
  • the high frequency RF component is generally between about 2-60 MHz; in a preferred embodiment, the HF component is about 13.56 MHz or 27 MHz.
  • the low frequency LF component is generally between about 250-400 kHz; in a particular embodiment, the LF component is about 350 kHz.
  • a wafer pedestal 318 supports a substrate 316 .
  • the pedestal typically includes a chuck, a fork, or lift pins to hold and transfer the substrate during and between the deposition and/or plasma treatment reactions.
  • the chuck may be an electrostatic chuck, a mechanical chuck or various other types of chuck as are available for use in the industry and/or research.
  • the process gases are introduced via inlet 312 .
  • Multiple source gas lines 310 are connected to manifold 308 .
  • the gases may be premixed or not.
  • Appropriate valving and mass flow control mechanisms are employed to ensure that the correct gases are delivered during the deposition and plasma treatment phases of the process.
  • liquid flow control mechanisms are employed. The liquid is then vaporized and mixed with other process gases during its transportation in a manifold heated above its vaporization point before reaching the deposition chamber.
  • a vacuum pump 326 e.g., a one or two stage mechanical dry pump and/or a turbomolecular pump typically draws process gases out and maintains a suitably low pressure within the reactor by a close loop controlled flow restriction device, such as a throttle valve or a pendulum valve.
  • the invention may be implemented on a multi-station or single station tool.
  • the 300 mm Novellus VectorTM tool having a 4-station deposition scheme or the 200 mm SequelTM tool having a 6-station deposition scheme are used. It is possible to index the wafers after every deposition and/or post-deposition plasma anneal treatment until all the required depositions and treatments are completed, or multiple depositions and treatments can be conducted at a single station before indexing the wafer. It has been shown that film stress is the same in either case. However, conducting multiple depositions/treatments on one station is substantially faster than indexing following each deposition and/or treatment.
  • FIG. 4 shows a schematic view of an embodiment of a multi-station processing tool 2400 with an inbound load lock 2402 and an outbound load lock 2404 , either or both of which may comprise a remote plasma source.
  • a robot 2406 at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 2408 into inbound load lock 2402 via an atmospheric port 2410 .
  • a wafer is placed by the robot 2406 on a pedestal 2412 in the inbound load lock 2402 , the atmospheric port 2410 is closed, and the load lock is pumped down.
  • the inbound load lock 2402 comprises a remote plasma source
  • the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 2414 .
  • the wafer also may be heated in the inbound load lock 2402 as well, for example, to remove moisture and adsorbed gases.
  • a chamber transport port 2416 to processing chamber 2414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 4 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
  • the depicted processing chamber 2414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 4 .
  • Each station has a heated pedestal (shown at 2418 for station 1 ), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. While the depicted processing chamber 2414 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
  • FIG. 4 also depicts an embodiment of a wafer handling system 2490 for transferring wafers within processing chamber 2414 .
  • wafer handling system 2490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots.
  • FIG. 4 also depicts an embodiment of a system controller 2450 employed to control process conditions and hardware states of process tool 2400 .
  • System controller 2450 may include one or more memory devices 2456 , one or more mass storage devices 2454 , and one or more processors 2452 .
  • Processor 2452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • system controller 2450 controls all of the activities of process tool 2400 .
  • System controller 2450 executes system control software 2458 stored in mass storage device 2454 , loaded into memory device 2456 , and executed on processor 2452 .
  • System control software 2458 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrate, pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 2400 .
  • System control software 2458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes in accordance with the disclosed methods.
  • System control software 2458 may be coded in any suitable computer readable programming language.
  • system control software 2458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above.
  • IOC input/output control
  • each phase of a PEALD process may include one or more instructions for execution by system controller 2450 .
  • the instructions for setting process conditions for a PEALD process phase may be included in a corresponding PEALD recipe phase.
  • the PEALD recipe phases may be sequentially arranged, so that all instructions for a PEALD process phase are executed concurrently with that process phase.
  • mass storage device 2454 and/or memory device 2456 associated with system controller 2450 may be employed in some embodiments.
  • programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
  • a substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 2418 and to control the spacing between the substrate and other parts of process tool 2400 .
  • a process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station.
  • a pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
  • a heater control program may include code for controlling the current to a heating unit that is used to heat the substrate.
  • the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
  • a plasma control program may include code for setting RF power levels and frequencies applied to the process electrodes in one or more process stations.
  • the plasma control program may also include code for controlling the plasma duty cycle and the frequency of plasma pulses during a plasma exposure/conversion operation.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • parameters adjusted by system controller 2450 may relate to process conditions.
  • process conditions include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 2450 from various process tool sensors.
  • the signals for controlling the process may be output on the analog and digital output connections of process tool 2400 .
  • process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
  • System controller 2450 may provide program instructions for implementing the above-described deposition processes.
  • the program instructions may control a variety of process parameters, such as DC power level, RF power level, RF bias power level, RF frequency, plasma pulse frequency, pressure, temperature, etc.
  • the instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • an ashable hard mask layer such as an amorphous carbon layer
  • another suitable hard mask such as an antireflective
  • FIGS. 5A and 5B and 6 A and 6 B show cross-sectional views of silicon carbonitride films deposited in trenches on a semiconductor substrate as deposited and after etching in 100:1 water:HF for 90 seconds.
  • FIGS. 5C and 6C show tables relating the etch properties of the films shown in FIGS. 5A and 5B and 6 A and 6 B, respectively.
  • FIG. 5A shows an as-deposited conventional film.
  • FIG. 5B shows this same film after etching.
  • FIG. 5C shows that the etch rate at the side of the feature was substantially higher than the etch rate at the top of the feature, which was higher than the etch rate at the bottom of the feature. In this case, the etch rate at the sidewall of the feature was approximately ten times higher than the etch rate at the bottom of the feature. Thus, it is apparent that the film at the sidewalls is of poorer quality than the film in other areas of the feature.
  • FIG. 6A shows an as-deposited film that was deposited according to the disclosed methods, with a pulsed plasma.
  • the plasma was pulsed at a frequency of about 500 Hz.
  • FIG. 6B shows this same film after it was etched.
  • FIG. 6C relates the wet etch rate of the film shown in FIGS. 6A and 6B .
  • the wet etch rate at the sidewall is substantially lower than in the conventional case, and the uniformity of the wet etch rate at different portions of the film is significantly improved.
  • FIGS. 7A and 7B illustrate relative areal density maps for carbon and silicon as measured by STEM-EELs analysis for film deposited with a continuous wave plasma ( FIG. 7A ) and for film deposited with a pulsed plasma ( FIG. 7B ). These figures are presented in color for the purpose of illustrating the C:Si ratio at different portions of the deposited films. When comparing the sidewalls of the two films, it is clear that the pulsed plasma film has a higher C:Si ratio than the film prepared with a continuous wave plasma. This higher sidewall C:Si ratio is consistent with the lower wet etch rate observed at the sidewalls of features deposited with pulsed plasma film.
  • the sidewalls of the film have a C:Si ratio of at least about 0.4 or 0.5 or 0.6 (on average, as measured in the substantially vertical section of the sidewall, and as measured according to a STEM-EELs analysis in accordance with the figures, or an equivalent analysis).
  • the average sidewall C:Si ratio for the pulsed plasma film is about 0.65
  • the continuous wave film in FIG. 7B has an average sidewall C:Si ratio of about 0.35.

Abstract

The embodiments herein focus on plasma enhanced atomic layer deposition (PEALD) processes using pulsed plasmas. While conventional PEALD processes use continuous wave plasmas during the plasma exposure/conversion operation, the embodiments herein utilize a pulsed plasma during this operation to achieve a film with high quality sidewalls. Because conventional PEALD techniques result in films having high quality at the bottom and top of a feature, but low quality on the sidewalls, this increased sidewall quality in the disclosed methods corresponds to a film that is overall more uniform in quality compared to that achieved with conventional continuous wave plasma techniques.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application is a continuation-in-part of U.S. patent application Ser. No. 13/084,399, filed Apr. 11, 2011, and titled “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION,” which is herein incorporated by reference in its entirety for all purposes, and which claims benefit of the following U.S. Provisional Patent Application Nos., each of which is incorporated by reference in its entirety and for all purposes: 61/324,710, filed Apr. 15, 2010; 61/372,367, filed Aug. 10, 2010; 61/379,081, filed Sep. 1, 2010; and 61/417,807, filed Nov. 29, 2010.
BACKGROUND
The fabrication of integrated circuits includes many diverse processing steps. One of the operations frequently employed is the deposition of a dielectric film into a gap between features patterned over or into silicon substrates. One method of depositing such a film is through plasma enhanced atomic layer deposition (PEALD). In this type of method, several operations are undertaken in a cyclic manner to deposit a conformal film. Typically, PEALD processes include the steps of (a) providing a dose of a first reactant to a reaction chamber, (b) purging the reaction chamber, (c) providing a flow of a second reactant, (d) igniting a continuous wave plasma in the reaction chamber, and (e) extinguishing the plasma and purging the reaction chamber. As a result of the nature of precursor delivery/adsorption onto the substrate surface, a single cycle of a PEALD process deposits a monolayer of material. The operations may be repeated a number of times to deposit additional monolayers to reach a desired film thickness.
SUMMARY
Certain embodiments herein relate to techniques depositing conformal film layers on semiconductor or other substrates. In one aspect of the embodiments herein, a method is provided for filling a gap on a semiconductor substrate surface, the method including: (a) introducing a first reactant in vapor phase into a reaction chamber having the substrate therein, and allowing the first reactant to adsorb onto the substrate surface; (b) purging the reaction chamber after a flow of the first reactant has ceased; (c) introducing a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed onto the substrate surface; (d) exposing the substrate surface to plasma to drive a surface reaction between the first and second reactants on the substrate surface to form a film layer that lines the gap, where the plasma is a pulsed plasma; (e) extinguishing the plasma; and (f) purging the reaction chamber.
In some embodiments, the frequency of the plasma pulses during exposing the substrate surface to plasma is between about 25-5000 Hz. The frequency of plasma pulses may also be between about 100-500 Hz. In some cases, the frequency of plasma pulses is at least about 25 Hz, for example at least about 50 Hz or at least about 100 Hz or at least about 1000 Hz. Various different types of film may be deposited according to the disclosed methods. In some implementations, the film deposited is a silicon nitride or silicon carbonitride film. The film may be an oxide film in some cases.
In certain cases, operations (c) and (d) occur, at least partially, at the same time. Different types of plasma may be used. In some embodiments, the plasma may be a capacitively coupled plasma or an inductively coupled plasma. The plasma may be generated using an RF plasma generator. In some implementations, a plasma power may be between about 50-2500 W/station.
The disclosed method achieves a deposited film that is highly uniform in quality. In some embodiments, a ratio between a wet etch rate at a middle portion of a sidewall of the gap (WEm) and a wet etch rate at a top (WEt) and/or bottom (WEb) of the gap is between about 0.25-3. The film formed in the gap at a middle portion of the gap, as measured vertically, may exhibit a wet etch rate between about 1-25 Å/min. An average ratio of carbon:silicon at a sidewall of the gap may be at least about 0.4, for example at least about 0.5 or 0.6. This relatively high carbon:silicon ratio contributes to the relatively low wet etch rate seen at the sidewalls. In various embodiments, a composition of the film is substantially uniform throughout the film.
In some embodiments, the method further includes repeating operations (a) through (f). In some cases, a first iteration of operation (d) is performed at a different pulse frequency than a second iteration of operation (d). Alternatively or in addition, a first iteration of operation (d) may be performed at a different duty cycle than a second iteration of operation (d). Further, a first iteration of operation (d) may be performed at a different RF power than a second iteration of operation (d).
In the disclosed embodiments, a pulsed plasma is used. In some cases, the plasma pulses between an on state and an off state. In other cases, the plasma may pulsed between at least a first power state and a second power state, where power delivered during the first power state is different from power delivered during the second power state.
In a further aspect of the disclosed embodiments, an apparatus for depositing films on substrates is provided, including: a reaction chamber; an inlet port for delivering gas phase reactants to the reaction chamber; a plasma generator for providing pulsed plasma to the reaction chamber; and a controller having instructions for: (a) introducing a first reactant in vapor phase into the reaction chamber; (b) introducing a second reactant in vapor phase into the reaction chamber; (c) periodically striking a pulsed plasma to expose the substrate surface to pulsed plasma when the vapor phase flow of the first reactant has ceased in order to drive a surface reaction between the first and second reactants on the substrate surface to form the film.
In some embodiments the controller has instructions for pulsing the plasma during operation (c) at a frequency between about 25-5000 Hz. The controller may have instructions for performing operations (b) and (c) at least partially at the same time. The controller may also have instructions for performing operation (c) at a plasma duty cycle between about 5-95%. In certain cases, the controller may have instructions for repeating operations (a) through (c), where the controller also has instructions for performing a first iteration of operation (c) at a different pulse frequency and/or duty cycle and/or RF power than a second iteration of operation (c).
These and other features will be described below with reference to the associated drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
The patent or application file contains at least one drawing executed in color. Copies of this patent or patent application publication with color drawing(s) will be provided by the Office upon request and payment of the necessary fee.
FIGS. 1A-1D show graphs depicting the atomic concentration of various species present in a film deposited in a trench at different positions within the trench.
FIG. 1E shows various graphs depicting how different plasma characteristics change over time during a plasma pulse cycle.
FIGS. 2A and 2B are flowcharts for methods of depositing a film on a substrate through a plasma enhanced atomic layer deposition method according to certain disclosed embodiments.
FIG. 3 is a simplified diagram of an apparatus for depositing a film on a substrate according to certain disclosed embodiments.
FIG. 4 shows a multi-tool semiconductor processing apparatus for depositing a film on a substrate in accordance with certain disclosed embodiments.
FIGS. 5A and 5B show cross-sectional views of a trench having a conventional film deposited thereon, both as-deposited (FIG. 5A) and after an etching operation (FIG. 5B).
FIG. 5C is a table showing the etch rate at different portions of the conventional film shown in FIGS. 5A and 5B.
FIGS. 6A and 6B show cross-sectional views of a trench having a novel film thereon deposited according to the disclosed methods, both as-deposited (FIG. 6A) and after an etching operation (FIG. 6B).
FIG. 6C is a table showing the etch rate at different portions of the novel film shown in FIGS. 6A and 6B.
FIGS. 7A and 7B are presented in color and show areal density maps illustrating the carbon:silicon ratio in films deposited with a continuous wave plasma (FIG. 7A) and with a pulsed plasma (FIG. 7B).
DETAILED DESCRIPTION
In this application, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
Manufacture of semiconductor devices typically involves depositing one or more thin films on a non-planar substrate in an integrated fabrication process. In some aspects of the integrated process it may be useful to deposit thin films that conform to substrate topography. For example, a silicon nitride film may be deposited on top of an elevated gate stack to act as a spacer layer for protecting lightly-doped source and drain regions from subsequent ion implantation processes.
In spacer layer deposition processes, chemical vapor deposition (CVD) processes may be used to form a silicon nitride film on the non-planar substrate, which is then anisotropically etched to form the spacer structure. However, as a distance between gate stacks decreases, mass transport limitations of CVD gas phase reactions may cause “bread-loafing” deposition effects. Such effects typically exhibit thicker deposition at top surfaces of gate stacks and thinner deposition at the bottom corners of gate stacks. Further, because some die may have regions of differing device density, mass transport effects across the wafer surface may result in within-die and within-wafer film thickness variation. These thickness variations may result in over-etching of some regions and under-etching of other regions. This may degrade device performance and/or die yield. A further problem that arises with CVD processes is that they are often unable to form conformal films in high aspect ratio features. In certain contexts, a feature is a gap recessed in the surface of a substrate, for example a gap in one or more films deposited on a substrate. The aspect ratio of a feature is defined as the ratio between the feature's depth to its width. As device dimensions continue to shrink, aspect ratios increase and CVD processes are unable to adequately fill such features.
Some approaches to addressing these issues involve atomic layer deposition (ALD). In contrast with a CVD process, where thermally activated gas phase reactions are used to deposit films, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. In one example ALD process, a substrate surface, including a population of surface active sites, is exposed to a gas phase distribution of a first film precursor (P1). Some molecules of P1 may form a condensed phase atop the substrate surface, including chemisorbed species and physisorbed molecules of P1. The reactor is then evacuated to remove gas phase and physisorbed P1 so that only chemisorbed species remain. A second film precursor (P2) is then introduced to the reactor so that some molecules of P2 adsorb to the substrate surface. The reactor may again be evacuated, this time to remove unbound P2. Subsequently, thermal energy provided to the substrate activates surface reactions between adsorbed molecules of P1 and P2, forming a film layer. Finally, the reactor is evacuated to remove reaction by-products and possibly unreacted P1 and P2, ending the ALD cycle. Additional ALD cycles may be included to build film thickness.
Depending on the exposure time of the precursor dosing steps and the sticking coefficients of the precursors, each ALD cycle may deposit a film layer of, in one example, between about 0.5-3 Å thick.
Conformal films may also be deposited on planar substrates. For example, antireflective layers for lithographic patterning applications may be formed from planar stacks comprising alternating film types. Such antireflective layers may be approximately 100-1000 Å thick, making slower ALD processes less attractive than faster CVD processes. However, such anti-reflective layers may also have a lower tolerance for within-wafer thickness variation than many CVD processes may provide. For example, a 600 Å thick antireflective layer may tolerate a thickness range of less than 3 Å.
In some implementations, conformal film deposition (CFD) processes may be employed. Methods for forming films using CFD are described in U.S. patent application Ser. No. 13/084,399, filed on Apr. 11, 2011, and which is incorporated by reference herein for all purposes. Like ALD processes, CFD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis. Generally, CFD does not rely on complete purges of one or more reactants prior to reaction to form the film. For example, there may be one or more reactants present in the vapor phase when a plasma (or other activation energy) is struck. Accordingly, one or more of the process steps described in an ALD process may be shortened or eliminated in an example CFD process. Further, in some embodiments, plasma activation of deposition reactions may result in lower deposition temperatures than thermally-activated reactions, potentially reducing the thermal budget of an integrated process. The embodiments herein include both plasma enhanced ALD (PEALD) and CFD processes.
Conventional PEALD processes result in the deposition of conformal films having substantially uniform thickness. However, although the films are uniform in thickness, they are not uniform in quality. In many cases, the film deposited on the sidewall of a recessed feature is of poorer quality than the film deposited in the field region near the top of the feature or at the bottom of the feature. This poor quality is evidenced by increased oxidation and increased wet etch rate of the film at the sidewalls compared to the film near the top or bottom of the feature.
FIGS. 1A-1D present data from an Auger analysis related to the composition of a film deposited in a trench on a substrate according to a conventional PEALD method. In each of these figures, the x-axis relates to different locations on the substrate. “Top” refers to a position in the field region near the top of a recessed feature, “Side ½” refers to a position halfway up the sidewall, and “Side ¼” refers to a position one-quarter of the way up the sidewall (closer to the bottom of the feature than the top). The y-axis relates to the atomic concentration of the element of interest (silicon in FIG. 1A, nitrogen in FIG. 1B, carbon in FIG. 1C, and oxygen in FIG. 1D).
Of particular interest is FIG. 1D, which shows that the concentration of oxygen in the film is much higher at the sidewall locations than in the field region. After a film is deposited, it is removed from the reaction chamber where it is often exposed to atmospheric conditions. Films that have low density, and certain other poor quality films, absorb moisture and other atmospheric contaminants to a greater degree than high density, high quality films. Thus, the amount of oxygen incorporated into the film corresponds to the quality of the film. In FIG. 1D, it is clear that substantially more oxygen was incorporated into the sidewalls (about 23-27% oxygen) compared to the field region (about 4% oxygen). This indicates that the film quality was much poorer on the sidewalls than at the field region.
FIGS. 1A-1D contain references to “RBS” values. These correspond to Rutherford Backscattering data performed to verify the Auger analysis. The RBS data relates only to the film at the top of the feature.
Further data related to the wet etch rate of a silicon carbonitride film at different film/feature locations is provided in the Experimental section, below. To briefly summarize, for the conventionally deposited film, the wet etch rate in 100:1 water:HF was much higher at the sidewalls than at the top of the feature, which was higher still than at the bottom of the feature. Thus, it is apparent that although conventional PEALD methods achieve films of uniform thickness, they do not deposit films of uniform quality or composition.
While conventional PEALD methods utilize a continuous wave plasma during the plasma exposure/conversion step, the embodiments herein relate to methods in which the plasma is pulsed (e.g., RF power is turned on and off or otherwise modulated many times) during this conversion operation. Although conventional PEALD methods are sometimes described as “pulsed plasma” methods, a distinction should be drawn between these conventional pulses and the pulses of the current embodiments. In particular, conventional PEALD methods use a single pulse (plasma on, plasma off) during a plasma exposure/conversion step. Because the PEALD process is cyclic and the plasma exposure step is repeated several times during a deposition process, some may refer to these conventional processes as pulsed plasma processes. However, these conventional methods are not considered to use a “pulsed plasma” with respect to the current description. To qualify as a pulsed plasma, the plasma must be turned on and off or otherwise modulated multiple times during a single plasma exposure/conversion operation. For example, the high frequency RF power of the plasma may switch between an on state and an off state. In another example, the RF power may be reduced but not turned off during the pulses. For example, the high frequency RF power pulses could be 100% of the high frequency RF power when the pulse is on and 50% of the high frequency RF power when the pulse is off. In addition, or in the alternative, the high frequency RF power of the plasma may be increased over a period of time and decreased over a period of time instead of being turned on and off. The plasma pulses are generally very short, with a pulse frequency on the order of about 100 Hz-500 Hz, though values outside this range may be used as well. Films produced according to the disclosed methods show much higher quality at the sidewalls compared to conventionally deposited films. This increase in sidewall film quality means that the deposited films have much more uniform quality overall.
Without wishing to be bound by theory or mechanism of action, it is believed that the difference in film quality between the sidewalls of a feature and other areas of a feature in conventional PEALD methods may arise due to the nature of ion directionality. When a substrate is exposed to plasma, ions present in the plasma travel in a substantially linear manner to hit the surface of the substrate. These ions may provide energy for converting the precursor material(s). Because the feature is recessed and the ions travel substantially linearly, the density of ions impacting the substrate is much higher near the field region and at the bottom of a feature, as compared to the sidewalls. This may act to selectively density the film near the top and bottom of the feature, leaving a less dense film on the sidewalls. Further, the energy delivered by ions hitting the sidewalls is different from the energy delivered by ions hitting other portions of the feature, again due to the geometry of the recessed feature. This may lead to different reactions happening at the sidewalls than at other regions. The use of a pulsed plasma may decrease the directionality of the ions, resulting in more uniform ion impact density/energy on the substrate, and more uniform film quality.
Again without wishing to be bound by theory or mechanism of action, the difference in film quality at different locations may also result from the ratio of ions to radicals in the plasma. By pulsing the plasma, a different amount, ratio and type of ions and neutrals may be achieved. This may help form a more uniform quality film.
Several different processing regimes may be achieved when a plasma is pulsed. These regimes are described below with reference to FIG. 1E, which shows how various processing and plasma characteristics may change over time during a plasma pulse cycle in some implementations. One plasma pulse cycle includes turning the plasma power on and off once (or off and on once). The power used to drive the RF plasma is shown in FIG. 1E. Plasma power is actively applied during the on period, but not during the off period. The on and off periods may be further broken down into specific processing regimes, including a turn on regime, a steady state regime, a turn off regime and a late afterglow regime. The electron energy, electron density, positive and negative ion fluxes, and plasma potential vary based which plasma cycle processing regime the plasma is currently experiencing.
The turn on cycle begins upon application of plasma power. This period may last on the order of about 10-500 μs in some embodiments. When plasma power is applied, the electron energy in the plasma jumps and then quickly begins to fall. The density of electrons rises during this time. Plasmas generally contain ions, electrons and radicals. To satisfy the charge balance, more of the ions present in the plasma are positively charged than negatively charged. This is because positive ions are the only positive species in the plasma, while the negative species include both negative ions and electrons. Further, many plasmas, including electronegative plasmas, have an electropositive edge/sheath region. Because the density of positive ions is greater than the density of negative ions, and because the plasma sheath is electropositive, the flux of negative ions to the surface of a substrate while plasma is activated is very low (e.g., substantially zero). Turning back to FIG. 1E, when plasma power is applied, the plasma potential jumps and then begins to fall off. During the turn on processing regime, plasma sheaths may be forming and changing with plasma ignition.
During the steady state processing regime, the electron energy is lower than it was during the turn on regime. Both electron energy and electron density are fairly constant. The positive and negative ion fluxes are also constant during this regime, with a high positive ion flux and a very low (e.g., substantially zero) negative ion flux. The plasma potential is fairly steady, and is lower in the steady state regime than during the turn on regime. During the steady state processing regime, plasma sheaths may be oscillating in a steady state fashion.
The turn off processing regime begins as soon as the plasma power is turned off. During this period, the electron energy, electron density, positive ion flux and plasma potential all begin to fall off. The plasma sheaths may be disintegrating with the decline of the electron density during the turn off regime. Notably, the negative ion flux may rise during this processing regime. As mentioned above, many plasmas have an electropositive edge region. In order to extract negative ions from the plasma, this edge region should be changed by reversing the direction of the electric field for a sufficiently long period of time to allow the negative ions to escape. To reverse the electric field, the electron current must be absorbed. This current can be very substantial in the context of a continuous wave plasma. However, this current decreases as the electron density decreases. Where a pulsed plasma is used, electron density decreases quickly during the turn off regime, and remains low during the late afterglow regime. The low electron density during these regimes makes it significantly easier to reverse the direction of the electric field and extract negative ions from the plasma. As such, without wishing to be bound by theory or mechanism of action, one explanation for the improvement in film quality seen with regard to pulsed plasma deposition may arise from the increased participation of negative ions in the deposition process.
The next processing regime experienced after the turn off regime is the late afterglow regime. During this time, the plasma power, electron energy, electron density, positive ion flux and plasma potential are very low, in some cases zero. The electron density is very low, for example near the thermal electron average energy. The ion flux density is similarly low, with little to no positive ions reaching the surface of a substrate. As indicated above, however, there may be a flux of negative ions to the surface of the substrate during this time. In some cases, the plasma potential may be zero or even negative during the late afterglow regime.
Another potential explanation for the difference in film quality is that film byproducts may get selectively buried in the film at different locations, particularly at the sidewalls. The use of a pulsed plasma may allow these byproducts to be removed from the film more effectively, thus creating a more uniform quality film.
Pulsed plasmas have been used in certain other contexts for other purposes. For example, a plasma may be pulsed during a plasma enhanced chemical vapor deposition process in order to enhance conformality of the deposited film. This pulsed PECVD process is further discussed and described in U.S. Pat. No. 7,745,346, titled “METHOD FOR IMPROVING PROCESS CONTROL AND FILM CONFORMALITY OF PECVD FILM,” which is incorporated herein in its entirety. However, because ALD and CFD methods deposit films that are inherently very conformal, one of ordinary skill in the art would not likely apply these pulsed plasma methods to an ALD/CFD process, as there would be little or no expected benefit in this context.
While many of the embodiments herein are discussed in terms of depositing SiN or SiCN films, the embodiments are not so limited. In other cases, the deposited film may be an oxide (e.g., silicon oxide or a metal oxide). Each of these types of films suffers from poor quality film on the sidewalls when deposited according to conventional continuous wave plasma processing methods. In certain embodiments, the film deposited may be doped, for example with carbon, boron, phosphorus, nitrogen, etc.
FIG. 2A shows a flowchart of a method of depositing a uniform-quality film according to various disclosed embodiments. The method 200 begins at operation 202, where a substrate having recessed features is received into a reaction chamber. At operation 204, a dose of a first reactant is flowed into the reaction chamber. The reactant adsorbs onto the surface of the substrate, including in the recessed features. Where the film being deposited contains silicon, the first reactant is typically a silicon-containing reactant. Where the film being deposited contains a metal, the first reactant is generally a metal-containing reactant. Next, the reaction chamber is purged at operation 206. In some embodiments, the purge includes sweeping the reaction chamber with a non-reactive gas. Alternatively or in addition, the purge may include evacuating the reaction chamber by performing a pump down. In this case, the pressure in the reaction chamber is significantly lower during the pump down than during reactant delivery, for example. The purpose of this purge operation 206 is to remove all or substantially all of the first reactant from the reaction chamber. In some embodiments, the purge/sweep may be less complete, where only a portion of the first reactant is removed from the reaction chamber. Optimal purge conditions may depend on the desired film characteristics. Unless otherwise stated, a sweep or purge as described herein may be partial, complete or substantially complete. In certain implementations, this purge/sweep may not occur. Next, at operation 208, a second reactant is flowed into the reaction chamber. The second reactant is often a nitrogen-containing reactant, carbon-containing reactant, and/or oxygen-containing reactant.
Each of the first and second reactants may also be a mix of reactants. In one example, the second reactant may include both oxygen and nitrous oxide. Where more than one reactant is delivered to the reaction chamber at the same time, the reactants may be mixed prior to delivery (e.g., in a separate mixing vessel), or after delivery (e.g., in the reaction chamber itself).
At operation 210, a pulsed plasma is ignited in the reaction chamber and exposed to the substrate surface. The frequency of the pulses is relatively high, for example on the order of about 100-500 Hz. The pulsed plasma exposure operation 210 may have a longer duration than what is typically used in a conventional continuous wave plasma process. In various embodiments, operations 208 and 210 occur, at least partially, at the same time. In some cases, the second reactant may be pre-flowed into the reaction chamber at 208 before plasma ignition occurs at 210. In a particular example, the second reactant is provided continuously. In other embodiments, operations 208 and 210 begin at the same time. In alternative embodiments, the second reactant is flowed into the reaction chamber at 208, then swept/purged from the reaction chamber before pulsed plasma ignition occurs at operation 210. In various cases, however, this purge may not occur.
Next, the plasma is extinguished and the reaction chamber is purged at operation 212. As mentioned with respect to the purge at operation 206, this may include sweeping and/or pumping down the reaction chamber. In certain cases this sweep/purge may be optional, though the use of a post-plasma purge may help promote formation of high quality film. Operations 204-212 generally result in the deposition of a monolayer of material, though in certain embodiments, less than a monolayer of material may be deposited. These steps may be repeated a number of times in order to grow a film of the desired thickness, as indicated by the dotted arrow.
As indicated, the plasma characteristics can have a substantial effect on the deposited film. In many embodiments, the plasma is a capacitively coupled plasma. However, other types of plasma may also be used, for example inductively coupled plasmas. Various types of plasma generators may be used including RF, DC and microwave plasma generators. The plasma may be either a direct plasma (i.e., a plasma generated in the reaction chamber), or a remotely-generated plasma.
With currently manufactured high frequency RF power generators, the high frequency RF power of a plasma may be pulsed between about 75-500 Hz. These generators are not capable of a sustaining a plasma at duty cycles lower than 15% or pulse frequencies greater than 500 Hz. Further improvements in the films might be observed using the pulsed PEALD process with further decreases in duty cycle when generators capable of higher frequencies and lower duty cycles become available. However, with increases in pulse frequency and decreases in duty cycle, the high frequency RF power of the plasma must be on at least long enough to generate reactive species. If no reactive species are generated, the benefits of pulsed PEALD will not be realized.
The plasma power delivered may be between about 50-2500 W/station, for example between about 75-500 W/station for a 300 mm diameter wafer. These values may be scaled linearly based on the area of a substrate for substrates of different sizes. For example, a value of 100 W/station for a 300 mm wafer would correspond to a value of 225 W/station for a 450 mm wafer.
Where an RF plasma is used, the RF frequency may include a low frequency (LF) component (e.g., about 250-400 kHz) and/or a high frequency (HF) component (e.g., about 13.56 or 27 MHz). In certain embodiments, the inclusion of an LF frequency undesirably reduces step coverage. As such, in certain embodiments, the RF delivered includes only HF frequencies.
The duty cycle relates to the relative amount of time that a plasma is ignited during the pulsed plasma exposure phase. For example, for a pulse with a frequency of 500 Hz and a duty cycle of 15%, one pulse period is 2 ms with the RF power off for 1.7 ms and on for 0.3 ms. In some embodiments, the duty cycle is between about 5-95%. In certain cases, the duty cycle is between about 5-50%, or between about 5-15%, for example about 10%. The duration of each plasma pulse is fairly short and the frequency of plasma pulses is relatively high. In some cases, the plasma pulse frequency is between about 25-5000 Hz, for example between about 100-500 Hz. In some cases, the pulse frequency is about 1000 Hz or less, for example about 500 Hz or less. In these or other cases, the pulse frequency is about 25 Hz or greater, for example about 50 Hz or greater, or about 100 Hz or greater. The duration of the entire plasma exposure operation may be higher than in continuous wave plasma PEALD processes. In some cases, the duration of the pulsed plasma exposure is between about 0.05-2 seconds, for example between about 0.05-1 second, or between about 0.1-0.5 seconds.
In certain embodiments, the plasma pulse characteristics may be changed over time. For example, the pulse frequency and/or duty cycle may change between a first set of deposition cycles and a second set of deposition cycles. In some embodiments, a pulse frequency is increased or decreased in later cycles. In these or other cases, the duty cycle may increase or decrease in later cycles. Other plasma characteristics may change over time within a deposition process, as well. For instance, the RF power value, RF frequency, plasma generating gas, etc. may be changed during a deposition process.
FIG. 2B provides a flowchart for a method of depositing a film on a substrate where the plasma conditions are changed during the deposition. The method 250 begins at operation 252, where one or more layers of film are deposited using a deposition process utilizing pulsed plasma having a first set of plasma conditions, as described herein. Next, the method 250 continues at operation 254, where one or more additional film layers are deposited using a deposition process utilizing pulsed plasma having a second set of plasma conditions. The first and second set of plasma conditions may be different. As mentioned, one or more of the following plasma characteristics may be different between operations 252 and 254: pulse frequency, duty cycle, RF power, RF frequency, composition or flow rate of gas used to generate plasma, etc. Changing the plasma characteristics may help tune a film as desired.
While the disclosed embodiments are not limited to particular reactants, an example list of reactants is provided below.
In certain embodiments, the deposited film is a silicon-containing film. In these cases, the silicon-containing reactant may be for example, a silane, a halosilane or an aminosilane. A silane contains hydrogen and/or carbon groups, but does not contain a halogen. Examples of silanes are silane (SiH4), disilane (Si2H6), and organo silanes such as methylsilane, ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane, diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane, tetra-ethyl-ortho-silicate (also known as tetra-ethoxy-silane or TEOS) and the like. A halosilane contains at least one halogen group and may or may not contain hydrogens and/or carbon groups. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Although halosilanes, particularly fluorosilanes, may form reactive halide species that can etch silicon materials, in certain embodiments described herein, the silicon-containing reactant is not present when a plasma is struck. Specific chlorosilanes are tetrachlorosilane (SiCl4), trichlorosilane (HSiCl3), dichlorosilane (H2SiCl2), monochlorosilane (ClSiH3), chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane, chloro-sec-butylsilane, t-butyldimethylchlorosilane, thexyldimethylchlorosilane, and the like. An aminosilane includes at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogens, oxygens, halogens and carbons. Examples of aminosilanes are mono-, di-, tri- and tetra-aminosilane (H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 and Si(NH2)4, respectively), as well as substituted mono-, di-, tri- and tetra-aminosilanes, for example, t-butylaminosilane, methylaminosilane, tert-butylsilanamine, bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert-butyl silylcarbamate, SiH(CH3)—(N(CH3)2)2, SiHCl—(N(CH3)2)2, (Si(CH3)2NH)3 and the like. A further example of an aminosilane is trisilylamine (N(SiH3)3).
In other cases, the deposited film contains metal. Examples of metal-containing films that may be formed include oxides and nitrides of aluminum, titanium, hafnium, tantalum, tungsten, manganese, magnesium, strontium, etc., as well as elemental metal films. Example precursors may include metal alkylamines, metal alkoxides, metal alkylamides, metal halides, metal β-diketonates, metal carbonyls, organometallics, etc. Appropriate metal-containing precursors will include the metal that is desired to be incorporated into the film. For example, a tantalum-containing layer may be deposited by reacting pentakis(dimethylamido)tantalum with ammonia or another reducing agent. Further examples of metal-containing precursors that may be employed include trimethylaluminum, tetraethoxytitanium, tetrakis-dimethyl-amido titanium, tetrakis-diethylamido-titanium, titanium isopropoxide, titanium tetraisopropoxide, titanium tetrachloride, bis(methyl-η5-cyclopentadienyl)dimethylhafnium, bis(methyl-η5-cyclopentadienyl)methoxymethylhafnium, hafnium(IV) tert-butoxide, tetrakis(dimethylamido)hafnium(IV), hafnium tetrakis(ethylmethylamide), bis(cyclopentadienyl)manganese, bis(n-propylcyclopentadienyl)magnesium, tantalum(V) ethoxide, tris(diethylamido)(tert-butylimido)tantalum(V), bis(tert-butylimino)bis(dimethylamino)tungsten(VI), tungsten hexacarbonyl, etc.
In certain implementations, an oxygen-containing reactant is used. Examples of oxygen-containing reactants include oxygen, ozone, nitrous oxide, nitric oxide, nitrogen dioxide, carbon monoxide, carbon dioxide, sulfur monoxide, sulfur dioxide, water, oxygen-containing hydrocarbons (CxHyOz), etc.
In some embodiments, the deposited film contains nitrogen, and a nitrogen-containing reactant is used. A nitrogen-containing reactant contains at least one nitrogen, for example, ammonia, hydrazine, amines (e.g., amines bearing carbon) such as methylamine, dimethylamine, ethylamine, isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine, sec-butylamine, cyclobutylamine, isoamylamine, 2-methylbutan-2-amine, trimethylamine, diisopropylamine, diethylisopropylamine, di-t-butylhydrazine, as well as aromatic containing amines such as anilines, pyridines, and benzylamines. Amines may be primary, secondary, tertiary or quaternary (for example, tetraalkylammonium compounds). A nitrogen-containing reactant can contain heteroatoms other than nitrogen, for example, hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine are nitrogen-containing reactants.
Other precursors, such as will be apparent to or readily discernible by those skilled in the art given the teachings provided herein, may also be used.
It is expected that the disclosed embodiments will be beneficial in achieving uniform film quality in recessed features. However, the methods may also be used to deposit films on other types of substrates (e.g., flat substrates, or substrates having protruding features). The need for the improved sidewall quality and overall film uniformity may not be as great in the context of these types of non-recessed substrates.
In some embodiments, the substrate includes one or more recessed features having aspect ratios of about 6:1 or less. This ratio is defined as the depth:width of the recessed feature. In other cases, the aspect ratio of the features may be higher.
The temperature of the reaction chamber during the deposition process may be between about 150-550° C., for example between about 250-550° C. This temperature is substantially lower than that typically required when thermal energy is used to convert the precursors (e.g., >700° C.), rather than plasma energy.
The pressure of the reaction chamber during the deposition process may be between about 1-10 Torr, or between about 3-7 Torr, for example about 6 Torr.
As compared to a conventional continuous wave process, the disclosed pulsed plasma embodiments will typically exhibit a slower deposition rate.
The disclosed methods also result in the deposition of films having relatively tensile or neutral stress with very low wet etch rates. In contrast, conventional methods typically result in the deposition of compressive films having low wet etch rates or tensile films having very high wet etch rates. With conventional continuous wave plasmas, the wet etch rate can be varied by using different levels of RF power. Higher levels of RF power (e.g., about 6500 W/station) result in highly compressive films having low wet etch rates. Lower levels of RF power (e.g., about 500 W/station) result in films that are less compressive/more tensile, however, these films have extremely high wet etch rates. In contrast, films produced by the disclosed pulsed plasma methods are very close to neutral stress, and in some cases have slightly tensile stress. At the same time, these films exhibit very low wet etch rates, in many cases lower than what was achievable at high RF power with continuous wave plasmas. In some embodiments, the wet etch rate of the film as-deposited at the middle portion of a sidewall may be between about 1-25 Å/min, for example between about 1-10 Å/min. These values may be especially relevant when the film deposited is a carbon doped silicon nitride film. Another way to characterize the deposited films is to examine internal wet etch rate ratios that compare the wet etch rates at different portions of the deposited film. For instance, the wet etch rate at the middle portion of the feature's sidewall (WEm) may be compared to the wet etch rate at the bottom (WEb) and/or top (WEt) of the feature. These film locations are shown in FIGS. 5A and 5B, which are further described below. In some embodiments, WEm/WEb and/or WEm/WEt are between about 0.25-3, for example between about 0.25-2, or between about 0.25-1. In the case of a continuous wave plasma, these ratios are generally much higher, for example between about 5-10 or greater. The stress of the as-deposited film may vary widely, for example the stress may be between about 500 MPa tensile and about 2 GPa compressive.
Apparatus
Pulsed plasma processing can be performed with existing semiconductor processing equipment by using a high frequency RF generator capable of pulsing the high frequency and/or low frequency RF power of the plasma. In particular, reactors such as Vector™ reactor chambers available from Lam Research Corporation of Fremont, Calif., may be used for pulsed PEALD. Thus, improved films may be made with minimal hardware alterations.
A suitable apparatus for performing the disclosed methods typically includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present invention. For example, in some embodiments, the hardware may include one or more PEALD process stations included in a process tool.
FIG. 3 provides a block diagram of an example apparatus that may be used to practice the disclosed embodiments. As shown, a reactor 300 includes a process chamber 324, which encloses other components of the reactor and serves to contain the plasma generated by, e.g., a capacitor type system including a showerhead 314 working in conjunction with a grounded heater block 320. A high-frequency RF generator 304, connected to a matching network 306, and a low-frequency RF generator 302 are connected to showerhead 314. These RF generators are capable of pulsing a plasma as described herein. The power and frequency supplied by matching network 306 is sufficient to generate a plasma from the process gas, for example 400-700 W total energy. In one implementation of the present invention both the HFRF generator and the LFRF generator are used. In a typical process, the high frequency RF component is generally between about 2-60 MHz; in a preferred embodiment, the HF component is about 13.56 MHz or 27 MHz. The low frequency LF component is generally between about 250-400 kHz; in a particular embodiment, the LF component is about 350 kHz.
Within the reactor, a wafer pedestal 318 supports a substrate 316. The pedestal typically includes a chuck, a fork, or lift pins to hold and transfer the substrate during and between the deposition and/or plasma treatment reactions. The chuck may be an electrostatic chuck, a mechanical chuck or various other types of chuck as are available for use in the industry and/or research.
The process gases are introduced via inlet 312. Multiple source gas lines 310 are connected to manifold 308. The gases may be premixed or not. Appropriate valving and mass flow control mechanisms are employed to ensure that the correct gases are delivered during the deposition and plasma treatment phases of the process. In the case that the chemical precursor(s) are delivered in liquid form, liquid flow control mechanisms are employed. The liquid is then vaporized and mixed with other process gases during its transportation in a manifold heated above its vaporization point before reaching the deposition chamber.
Process gases exit chamber 300 via an outlet 322. A vacuum pump 326 (e.g., a one or two stage mechanical dry pump and/or a turbomolecular pump) typically draws process gases out and maintains a suitably low pressure within the reactor by a close loop controlled flow restriction device, such as a throttle valve or a pendulum valve.
The invention may be implemented on a multi-station or single station tool. In specific embodiments, the 300 mm Novellus Vector™ tool having a 4-station deposition scheme or the 200 mm Sequel™ tool having a 6-station deposition scheme are used. It is possible to index the wafers after every deposition and/or post-deposition plasma anneal treatment until all the required depositions and treatments are completed, or multiple depositions and treatments can be conducted at a single station before indexing the wafer. It has been shown that film stress is the same in either case. However, conducting multiple depositions/treatments on one station is substantially faster than indexing following each deposition and/or treatment.
FIG. 4 shows a schematic view of an embodiment of a multi-station processing tool 2400 with an inbound load lock 2402 and an outbound load lock 2404, either or both of which may comprise a remote plasma source. A robot 2406, at atmospheric pressure, is configured to move wafers from a cassette loaded through a pod 2408 into inbound load lock 2402 via an atmospheric port 2410. A wafer is placed by the robot 2406 on a pedestal 2412 in the inbound load lock 2402, the atmospheric port 2410 is closed, and the load lock is pumped down. Where the inbound load lock 2402 comprises a remote plasma source, the wafer may be exposed to a remote plasma treatment in the load lock prior to being introduced into a processing chamber 2414. Further, the wafer also may be heated in the inbound load lock 2402 as well, for example, to remove moisture and adsorbed gases. Next, a chamber transport port 2416 to processing chamber 2414 is opened, and another robot (not shown) places the wafer into the reactor on a pedestal of a first station shown in the reactor for processing. While the embodiment depicted in FIG. 4 includes load locks, it will be appreciated that, in some embodiments, direct entry of a wafer into a process station may be provided.
The depicted processing chamber 2414 comprises four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 4. Each station has a heated pedestal (shown at 2418 for station 1), and gas line inlets. It will be appreciated that in some embodiments, each process station may have different or multiple purposes. While the depicted processing chamber 2414 comprises four stations, it will be understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, a processing chamber may have five or more stations, while in other embodiments a processing chamber may have three or fewer stations.
FIG. 4 also depicts an embodiment of a wafer handling system 2490 for transferring wafers within processing chamber 2414. In some embodiments, wafer handling system 2490 may transfer wafers between various process stations and/or between a process station and a load lock. It will be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer carousels and wafer handling robots. FIG. 4 also depicts an embodiment of a system controller 2450 employed to control process conditions and hardware states of process tool 2400. System controller 2450 may include one or more memory devices 2456, one or more mass storage devices 2454, and one or more processors 2452. Processor 2452 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
In some embodiments, system controller 2450 controls all of the activities of process tool 2400. System controller 2450 executes system control software 2458 stored in mass storage device 2454, loaded into memory device 2456, and executed on processor 2452. System control software 2458 may include instructions for controlling the timing, mixture of gases, chamber and/or station pressure, chamber and/or station temperature, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrate, pedestal, chuck and/or susceptor position, and other parameters of a particular process performed by process tool 2400. System control software 2458 may be configured in any suitable way. For example, various process tool component subroutines or control objects may be written to control operation of the process tool components necessary to carry out various process tool processes in accordance with the disclosed methods. System control software 2458 may be coded in any suitable computer readable programming language.
In some embodiments, system control software 2458 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each phase of a PEALD process may include one or more instructions for execution by system controller 2450. The instructions for setting process conditions for a PEALD process phase may be included in a corresponding PEALD recipe phase. In some embodiments, the PEALD recipe phases may be sequentially arranged, so that all instructions for a PEALD process phase are executed concurrently with that process phase.
Other computer software and/or programs stored on mass storage device 2454 and/or memory device 2456 associated with system controller 2450 may be employed in some embodiments. Examples of programs or sections of programs for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
A substrate positioning program may include program code for process tool components that are used to load the substrate onto pedestal 2418 and to control the spacing between the substrate and other parts of process tool 2400.
A process gas control program may include code for controlling gas composition and flow rates and optionally for flowing gas into one or more process stations prior to deposition in order to stabilize the pressure in the process station. A pressure control program may include code for controlling the pressure in the process station by regulating, for example, a throttle valve in the exhaust system of the process station, a gas flow into the process station, etc.
A heater control program may include code for controlling the current to a heating unit that is used to heat the substrate. Alternatively, the heater control program may control delivery of a heat transfer gas (such as helium) to the substrate.
A plasma control program may include code for setting RF power levels and frequencies applied to the process electrodes in one or more process stations. The plasma control program may also include code for controlling the plasma duty cycle and the frequency of plasma pulses during a plasma exposure/conversion operation.
In some embodiments, there may be a user interface associated with system controller 2450. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
In some embodiments, parameters adjusted by system controller 2450 may relate to process conditions. Non-limiting examples include process gas composition and flow rates, temperature, pressure, plasma conditions (such as RF bias power levels), pressure, temperature, etc. These parameters may be provided to the user in the form of a recipe, which may be entered utilizing the user interface.
Signals for monitoring the process may be provided by analog and/or digital input connections of system controller 2450 from various process tool sensors. The signals for controlling the process may be output on the analog and digital output connections of process tool 2400. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (such as manometers), thermocouples, etc. Appropriately programmed feedback and control algorithms may be used with data from these sensors to maintain process conditions.
System controller 2450 may provide program instructions for implementing the above-described deposition processes. The program instructions may control a variety of process parameters, such as DC power level, RF power level, RF bias power level, RF frequency, plasma pulse frequency, pressure, temperature, etc. The instructions may control the parameters to operate in-situ deposition of film stacks according to various embodiments described herein.
The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, e.g., a substrate having a silicon nitride film formed thereon, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or other suitable curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench or a spray developer; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper. In some embodiments, an ashable hard mask layer (such as an amorphous carbon layer) and another suitable hard mask (such as an antireflective layer) may be deposited prior to applying the photoresist.
It is to be understood that the configurations and/or approaches described herein are exemplary in nature, and that these specific embodiments or examples are not to be considered in a limiting sense, because numerous variations are possible. The specific routines or methods described herein may represent one or more of any number of processing strategies. As such, various acts illustrated may be performed in the sequence illustrated, in other sequences, in parallel, or in some cases omitted. Likewise, the order of the above described processes may be changed.
The subject matter of the present disclosure includes all novel and nonobvious combinations and sub-combinations of the various processes, systems and configurations, and other features, functions, acts, and/or properties disclosed herein, as well as any and all equivalents thereof.
Experimental
Experimental results show that the disclosed processes may be used to achieve a uniformly high quality film. FIGS. 5A and 5B and 6A and 6B show cross-sectional views of silicon carbonitride films deposited in trenches on a semiconductor substrate as deposited and after etching in 100:1 water:HF for 90 seconds. FIGS. 5C and 6C show tables relating the etch properties of the films shown in FIGS. 5A and 5B and 6A and 6B, respectively.
FIG. 5A shows an as-deposited conventional film. FIG. 5B shows this same film after etching. FIG. 5C shows that the etch rate at the side of the feature was substantially higher than the etch rate at the top of the feature, which was higher than the etch rate at the bottom of the feature. In this case, the etch rate at the sidewall of the feature was approximately ten times higher than the etch rate at the bottom of the feature. Thus, it is apparent that the film at the sidewalls is of poorer quality than the film in other areas of the feature.
FIG. 6A shows an as-deposited film that was deposited according to the disclosed methods, with a pulsed plasma. In this case, the plasma was pulsed at a frequency of about 500 Hz. FIG. 6B shows this same film after it was etched. FIG. 6C relates the wet etch rate of the film shown in FIGS. 6A and 6B. Notably, the wet etch rate at the sidewall is substantially lower than in the conventional case, and the uniformity of the wet etch rate at different portions of the film is significantly improved.
FIGS. 7A and 7B illustrate relative areal density maps for carbon and silicon as measured by STEM-EELs analysis for film deposited with a continuous wave plasma (FIG. 7A) and for film deposited with a pulsed plasma (FIG. 7B). These figures are presented in color for the purpose of illustrating the C:Si ratio at different portions of the deposited films. When comparing the sidewalls of the two films, it is clear that the pulsed plasma film has a higher C:Si ratio than the film prepared with a continuous wave plasma. This higher sidewall C:Si ratio is consistent with the lower wet etch rate observed at the sidewalls of features deposited with pulsed plasma film. In certain embodiments, the sidewalls of the film have a C:Si ratio of at least about 0.4 or 0.5 or 0.6 (on average, as measured in the substantially vertical section of the sidewall, and as measured according to a STEM-EELs analysis in accordance with the figures, or an equivalent analysis). In the example shown in FIG. 7A, the average sidewall C:Si ratio for the pulsed plasma film is about 0.65, while the continuous wave film in FIG. 7B has an average sidewall C:Si ratio of about 0.35.

Claims (19)

What is claimed is:
1. A method comprising:
(a) introducing a first reactant in vapor phase into a reaction chamber having a substrate therein, and allowing the first reactant to adsorb onto a surface of the substrate;
(b) purging the reaction chamber after a flow of the first reactant has ceased;
(c) introducing a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed onto the substrate surface;
(d) exposing the substrate surface to plasma to drive a surface reaction between the first and second reactants on the substrate surface to form a film layer that lines the gap, wherein the plasma is a pulsed plasma;
(e) extinguishing the plasma; and
(f) purging the reaction chamber,
wherein a ratio between a wet etch rate of the film layer at a middle portion of a sidewall of the gap (WEm) and a wet etch rate of the film layer at a top (WEt) and/or bottom (WEb) of the gap is between about 0.25-3.
2. The method of claim 1, wherein a frequency of the plasma pulses during the exposing the substrate surface to plasma operation is between about 25-5000 Hz.
3. The method of claim 2, wherein the frequency of the plasma pulses is between about 100-500 Hz.
4. The method of claim 1, wherein the film deposited is a silicon nitride or silicon carbonitride film.
5. The method of claim 1, wherein the film deposited is an oxide.
6. The method of claim 1, wherein operations (c) and (d) occur, at least partially, at the same time.
7. The method of claim 1, wherein the plasma is a capacitively coupled plasma or an inductively coupled plasma.
8. The method of claim 1, wherein the plasma is generated using an RF plasma generator.
9. The method of claim 8, wherein a plasma power is between about 50-2500 W/station.
10. The method of claim 1, wherein the film formed in the gap at a middle portion of the gap, as measured vertically, exhibits a wet etch rate between about 1-25 Å/min.
11. The method of claim 4, wherein an average ratio of carbon:silicon at a sidewall of the gap is at least about 0.4.
12. The method of claim 1, wherein a composition of the film is substantially uniform throughout the film.
13. The method of claim 1, further comprising repeating operations (a) through (f), wherein a first iteration of operation (d) is performed at a different pulse frequency than a second iteration of operation (d).
14. The method of claim 1, further comprising repeating operations (a) through (f), wherein a first iteration of operation (d) is performed at a different duty cycle than a second iteration of operation (d).
15. The method of claim 1, further comprising repeating operations (a) through (f), wherein a first iteration of operation (d) is performed at a different RF power than a second iteration of operation (d).
16. The method of claim 1, wherein the pulsed plasma pulses between an on state and an off state.
17. The method of claim 1, wherein the pulsed plasma pulses between at least a first non-zero power state and a second non-zero power state, and wherein power delivered during the first non-zero power state is different from power delivered during the second non-zero power state.
18. An apparatus for depositing films on a substrate, the apparatus comprising:
a reaction chamber;
an inlet port for delivering gas phase reactants to the reaction chamber;
a plasma generator for providing pulsed plasma to the reaction chamber; and
a controller comprising instructions for
(a) introducing a first reactant in vapor phase into the reaction chamber and allowing the first reactant to adsorb onto the substrate surface;
(b) purging the reaction chamber after a flow of the first reactant has ceased;
(c) introducing a second reactant in vapor phase into the reaction chamber while the first reactant is adsorbed onto the substrate surface;
(d) periodically striking a pulsed plasma to expose the substrate surface to pulsed plasma when the vapor phase flow of the first reactant has ceased in order to drive a surface reaction between the first and second reactants on the substrate surface to form film layer that lines a gap on the substrate, the film layer having a wet etch rate at a middle portion of a sidewall of the gap (WEm) and a wet etch rate at a top (WEt) and/or bottom (WEb) of the gap, and wherein a ratio between the wet etch rate at the middle portion of the gap (WEm) and the wet etch rate at the top (WEt) and/or bottom (WEb) of the gap is between about 0.25-3.
19. The method of claim 1, wherein the wet etch rates correspond to etch rates that would be achieved in a solution comprising water and HF, wherein the ratio of water:HF in the solution is about 100:1.
US14/144,107 2010-04-15 2013-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure Active US9076646B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/144,107 US9076646B2 (en) 2010-04-15 2013-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure
TW103145386A TWI654336B (en) 2013-12-30 2014-12-25 Plasma enhanced atomic layer deposition with pulsed plasma exposure
JP2014262248A JP6562629B2 (en) 2013-12-30 2014-12-25 Plasma atomic layer deposition with pulsed plasma exposure
SG10201408801QA SG10201408801QA (en) 2013-12-30 2014-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR1020140193925A KR102384484B1 (en) 2013-12-30 2014-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US37236710P 2010-08-10 2010-08-10
US37908110P 2010-09-01 2010-09-01
US41780710P 2010-11-29 2010-11-29
US13/084,399 US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition
US14/144,107 US9076646B2 (en) 2010-04-15 2013-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/084,399 Continuation-In-Part US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition

Publications (2)

Publication Number Publication Date
US20140113457A1 US20140113457A1 (en) 2014-04-24
US9076646B2 true US9076646B2 (en) 2015-07-07

Family

ID=50485714

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/144,107 Active US9076646B2 (en) 2010-04-15 2013-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure

Country Status (1)

Country Link
US (1) US9076646B2 (en)

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9799511B2 (en) 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10032605B2 (en) 2012-02-22 2018-07-24 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10231321B2 (en) 2012-02-22 2019-03-12 Lam Research Corporation State-based adjustment of power and frequency
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10577691B2 (en) 2014-05-15 2020-03-03 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10629413B2 (en) 2012-02-22 2020-04-21 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
WO2020197864A1 (en) * 2019-03-22 2020-10-01 Lam Research Corporation Method for providing doped silicon
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US11195845B2 (en) 2017-04-13 2021-12-07 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
WO2016160778A1 (en) * 2015-03-30 2016-10-06 Tokyo Electron Limited Method for atomic layer etching
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) * 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) * 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI766014B (en) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
FR3070399B1 (en) * 2017-08-29 2020-09-25 Kobus Sas PROCESS FOR THE DEPOSIT OF AN INSULATING MATERIAL IN A VIA, PULSE CVD REACTOR IMPLEMENTING THIS PROCESS
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (en) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 Manufacturing method for metal oxide and display device comprising the metal oxide
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102404119B1 (en) 2017-12-13 2022-05-31 어플라이드 머티어리얼스, 인코포레이티드 Spatial Atomic Layer Deposition Chamber Using Plasma Pulsing to Prevent Charge Damage
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US20220181147A1 (en) * 2019-03-25 2022-06-09 Lam Research Corporation High etch selectivity, low stress ashable carbon hard mask
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11837441B2 (en) * 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
SG11202112688QA (en) * 2019-06-08 2021-12-30 Applied Materials Inc Low deposition rates for flowable pecvd
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
JP2023501371A (en) * 2019-11-08 2023-01-18 ラム リサーチ コーポレーション Plasma-enhanced atomic layer deposition by increasing RF power
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230094012A1 (en) * 2021-09-15 2023-03-30 Applied Materials, Inc. Rf pulsing assisted low-k film deposition with high mechanical strength

Citations (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US6270572B1 (en) 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
KR20010111448A (en) 2000-06-08 2001-12-19 이경수 Method for forming a thin film
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6468924B2 (en) 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6645574B1 (en) 1999-04-06 2003-11-11 Genitech, Inc. Method of forming a thin film
KR20040001036A (en) 2002-06-26 2004-01-07 동부전자 주식회사 Thin film deposition method
US20040033698A1 (en) 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040053515A1 (en) 2002-09-12 2004-03-18 Comita Paul B. Apparatus and method for surface finishing a silicon film
US6723595B2 (en) 2001-02-02 2004-04-20 Jusung Engineering Co., Ltd. Thin film deposition method including using atomic layer deposition without purging between introducing the gaseous reactants
US6730614B1 (en) 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
US6743738B2 (en) 2001-02-12 2004-06-01 Asm America, Inc. Dopant precursors and processes
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US20040231799A1 (en) 2001-08-06 2004-11-25 Lee Chun Soo Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6835417B2 (en) 2002-02-27 2004-12-28 Infineon Technologies Ag Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes
US20050042865A1 (en) 2003-08-19 2005-02-24 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20050118837A1 (en) 2002-07-19 2005-06-02 Todd Michael A. Method to form ultra high quality silicon-containing compound layers
US20050159017A1 (en) 2004-01-08 2005-07-21 Jin-Gyun Kim Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20050196977A1 (en) 2004-03-02 2005-09-08 Semiconductor Leading Edge Technologies, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US6943092B2 (en) 2002-12-28 2005-09-13 Dongbuanam Semiconductor, Inc. Methods of manufacturing semiconductor devices
US20050233553A1 (en) 2002-10-03 2005-10-20 Michael Kountz Method of fabricating semiconductor by nitrogen doping of silicon film
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US20050260347A1 (en) 2004-05-21 2005-11-24 Narwankar Pravin K Formation of a silicon oxynitride layer on a high-k dielectric material
US20050287775A1 (en) 2004-06-28 2005-12-29 Kazuhide Hasebe Film formation apparatus and method for semiconductor process
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US20060030148A1 (en) 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060088985A1 (en) 2002-07-19 2006-04-27 Ruben Haverkort Low temperature silicon compound deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7077904B2 (en) 2002-04-25 2006-07-18 Samsung Electronics Co., Ltd. Method for atomic layer deposition (ALD) of silicon oxide film
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20060165890A1 (en) 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060199357A1 (en) 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7122464B2 (en) 2002-08-28 2006-10-17 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using disilazanes
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060286776A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286818A1 (en) 2005-06-17 2006-12-21 Yaxin Wang Method for silicon based dielectric chemical vapor deposition
US20070010071A1 (en) 2005-07-06 2007-01-11 Hiroyuki Matsuura Method and apparatus for forming silicon oxynitride film
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7223649B2 (en) 2003-08-21 2007-05-29 Samsung Electronics Co., Ltd. Method of fabricating transistor of DRAM semiconductor device
US20070137572A1 (en) 2003-05-19 2007-06-21 Tokyo Electron Limited Plasma processing apparatus
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7244668B2 (en) 2004-06-07 2007-07-17 Dongbu Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US20070167028A1 (en) 2006-01-16 2007-07-19 Pao-Hwa Chou Film formation method and apparatus for semiconductor process
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070232082A1 (en) 2006-03-31 2007-10-04 Mihaela Balseanu Method to improve the step coverage and pattern loading for dielectric films
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US20070251444A1 (en) 2006-04-25 2007-11-01 Stmicroelectronics S.A. PEALD Deposition of a Silicon-Based Material
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20080063791A1 (en) 2006-09-01 2008-03-13 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20080075881A1 (en) 2006-07-26 2008-03-27 Won Seok-Jun Method of Forming A Metallic Oxide Film Using Atomic Layer Deposition
US7351668B2 (en) 2005-03-09 2008-04-01 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US20080131601A1 (en) 2003-04-23 2008-06-05 Gi Youl Kim Transient enhanced atomic layer deposition
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US7390743B2 (en) 2004-11-22 2008-06-24 Samsung Electronics Co., Ltd. Methods for forming a structured tungsten layer and forming a semiconductor device using the same
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US7419888B2 (en) 2005-07-29 2008-09-02 Samsung Electronics Co., Ltd. Method of forming a silicon-rich nanocrystalline structure by an atomic layer deposition process and method of manufacturing a non-volatile semiconductor device using the same
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242116A1 (en) 2007-03-30 2008-10-02 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20080274302A1 (en) 2005-03-11 2008-11-06 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US7462571B2 (en) 2004-07-28 2008-12-09 Tokyo Electron Limited Film formation method and apparatus for semiconductor process for forming a silicon nitride film
US20080311760A1 (en) 2007-06-11 2008-12-18 Nobutake Nodera Film formation method and apparatus for semiconductor process
US20080317972A1 (en) 2007-06-21 2008-12-25 Asm International N.V. Method for depositing thin films by mixed pulsed cvd and ald
US20090018668A1 (en) 2003-12-09 2009-01-15 Separation Design Group, Llc Sorption method, device, and system
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US20090039349A1 (en) 2007-05-17 2009-02-12 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device, manufacturing method of display device, semiconductor device, display device, and electronic device
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7521331B2 (en) 2005-03-10 2009-04-21 Samsung Electronics Co., Ltd. High dielectric film and related method of manufacture
KR20090057665A (en) 2007-12-03 2009-06-08 주식회사 아이피에스 Method for depositing thin film containing metal
US7544615B2 (en) 2002-08-28 2009-06-09 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using organic amines
US20090148625A1 (en) 2005-02-16 2009-06-11 Hynix Semiconductor Inc. Method for forming thin film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20090163012A1 (en) 2007-12-21 2009-06-25 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090080019A (en) 2008-01-19 2009-07-23 도쿄엘렉트론가부시키가이샤 Film formation method and apparatus for semiconductor process
KR20090081396A (en) 2006-10-16 2009-07-28 어플라이드 머티어리얼스, 인코포레이티드 Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090208880A1 (en) 2008-02-20 2009-08-20 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7615449B2 (en) 2006-04-28 2009-11-10 Hynix Semiconductor Inc. Semiconductor device having a recess channel transistor
US20090278224A1 (en) 2008-05-07 2009-11-12 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
JP4364320B2 (en) 1997-05-07 2009-11-18 アプライド マテリアルズ インコーポレイテッド In situ deposition method of dielectric oxide layer and antireflection film
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7622383B2 (en) 2005-08-22 2009-11-24 Samsung Electronics Co., Ltd. Methods of forming conductive polysilicon thin films via atomic layer deposition and methods of manufacturing semiconductor devices including such polysilicon thin films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP2010010497A (en) 2008-06-29 2010-01-14 Tokyo Electron Ltd Film forming method, film forming device, and recording medium
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US7651730B2 (en) 2004-07-15 2010-01-26 Tokyo Electron Limited Method and apparatus for forming silicon oxide film
US20100022099A1 (en) 2005-03-15 2010-01-28 Asm America, Inc. Method of forming non-conformal layers
US20100025824A1 (en) 2008-07-29 2010-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for Reducing Integrated Circuit Corner Peeling
US7682657B2 (en) 1996-08-16 2010-03-23 Asm International N.V. Sequential chemical vapor deposition
US20100096688A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Non-volatile memory having charge trap layer with compositional gradient
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20100124621A1 (en) 2008-11-14 2010-05-20 Asm Japan K.K. Method of Forming Insulation Film by Modified PEALD
US20100136260A1 (en) 2008-10-04 2010-06-03 Tokyo Electron Limited Film formation method in vertical batch cvd apparatus
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7758920B2 (en) 2005-08-02 2010-07-20 Tokyo Electron Limited Method and apparatus for forming silicon-containing insulating film
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US20100221925A1 (en) 2009-01-21 2010-09-02 Asm Japan K.K. METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
US7825039B2 (en) 2006-04-05 2010-11-02 Tokyo Electron Limited Vertical plasma processing method for forming silicon containing film
US20100310791A1 (en) 2008-01-28 2010-12-09 Mitsubishi Heavy Industries, Ltd. Plasma processing method and plasma processing system
US20110003445A1 (en) 2009-07-03 2011-01-06 Renesas Electronics Corporation Manufacturing method of semiconductor device
US20110014798A1 (en) 2007-10-22 2011-01-20 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US20110014795A1 (en) 2009-07-15 2011-01-20 Asm Japan K.K. Method of Forming Stress-Tuned Dielectric Film Having Si-N Bonds by Modified PEALD
US20110014796A1 (en) 2008-03-06 2011-01-20 Masanobu Hayashi Dipping solution for use in production of siliceous film and process for producing siliceous film using the dipping solution
EP2278046A1 (en) 2005-05-16 2011-01-26 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US7923378B2 (en) 2008-02-01 2011-04-12 Tokyo Electron Limited Film formation method and apparatus for forming silicon-containing insulating film
US20110086516A1 (en) 2009-10-14 2011-04-14 Asm Japan K.K. METHOD OF DEPOSITING DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD METHOD
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110198756A1 (en) * 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US8034673B2 (en) 2008-04-18 2011-10-11 Tokyo Electron Limited Film formation method and apparatus for forming silicon-containing insulating film doped with metal
US20110256726A1 (en) 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
US20110298099A1 (en) 2010-06-04 2011-12-08 Applied Materials, Inc. Silicon dioxide layer deposited with bdeas
US20120009802A1 (en) 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
US20120009803A1 (en) 2005-01-22 2012-01-12 Applied Materials, Inc. Mixing Energized and Non-Energized Gases for Silicon Nitride Deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120028469A1 (en) 2010-07-30 2012-02-02 Asm Japan K.K. METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM
US20120028454A1 (en) 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US8119544B2 (en) 2008-01-12 2012-02-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20120058282A1 (en) 2010-09-03 2012-03-08 Asm Japan K.K. Method of Forming Conformal Film Having Si-N Bonds on High-Aspect Ratio Pattern
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
WO2012040317A2 (en) 2010-09-23 2012-03-29 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
WO2012087737A2 (en) 2010-12-22 2012-06-28 Novellus Systems, Inc. Variable-density plasma processing of semiconductor substrates
US20120164846A1 (en) 2010-12-28 2012-06-28 Asm Japan K.K. Method of Forming Metal Oxide Hardmask
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20120315394A1 (en) 2010-03-19 2012-12-13 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
US20130040447A1 (en) 2010-04-15 2013-02-14 Shankar Swaminathan Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20130071580A1 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Activated Silicon Precursors For Low Temperature Deposition
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20130115783A1 (en) 2010-08-02 2013-05-09 Eugene Technology Co., Ltd. Method for depositing cyclic thin film
US20130189854A1 (en) 2012-01-20 2013-07-25 Dennis Hausmann Method for depositing a chlorine-free conformal sin film
WO2013112727A1 (en) 2012-01-26 2013-08-01 Novellus Systems, Inc. Methods for uv-assisted conformal film deposition
US20130309415A1 (en) 2012-05-21 2013-11-21 Novellus Systems, Inc. Systems and methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140106574A1 (en) 2010-04-15 2014-04-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
US20140120737A1 (en) 2012-10-23 2014-05-01 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US20140134827A1 (en) 2010-04-15 2014-05-15 Novellus Systems, Inc. Conformal film deposition for gapfill
US20140141542A1 (en) 2012-11-08 2014-05-22 Novellus Systems, Inc. Methods for depositing films on sensitive substrates

Patent Citations (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US7682657B2 (en) 1996-08-16 2010-03-23 Asm International N.V. Sequential chemical vapor deposition
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
JP4364320B2 (en) 1997-05-07 2009-11-18 アプライド マテリアルズ インコーポレイテッド In situ deposition method of dielectric oxide layer and antireflection film
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6270572B1 (en) 1998-08-07 2001-08-07 Samsung Electronics Co., Ltd. Method for manufacturing thin film using atomic layer deposition
US6645574B1 (en) 1999-04-06 2003-11-11 Genitech, Inc. Method of forming a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR20010111448A (en) 2000-06-08 2001-12-19 이경수 Method for forming a thin film
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6468924B2 (en) 2000-12-06 2002-10-22 Samsung Electronics Co., Ltd. Methods of forming thin films by atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20060030148A1 (en) 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6723595B2 (en) 2001-02-02 2004-04-20 Jusung Engineering Co., Ltd. Thin film deposition method including using atomic layer deposition without purging between introducing the gaseous reactants
US6743738B2 (en) 2001-02-12 2004-06-01 Asm America, Inc. Dopant precursors and processes
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20040231799A1 (en) 2001-08-06 2004-11-25 Lee Chun Soo Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6835417B2 (en) 2002-02-27 2004-12-28 Infineon Technologies Ag Method and device for depositing thin layers via ALD/CVD processes in combination with rapid thermal processes
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7077904B2 (en) 2002-04-25 2006-07-18 Samsung Electronics Co., Ltd. Method for atomic layer deposition (ALD) of silicon oxide film
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR20040001036A (en) 2002-06-26 2004-01-07 동부전자 주식회사 Thin film deposition method
US20050118837A1 (en) 2002-07-19 2005-06-02 Todd Michael A. Method to form ultra high quality silicon-containing compound layers
US7651953B2 (en) 2002-07-19 2010-01-26 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7964513B2 (en) 2002-07-19 2011-06-21 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20080038936A1 (en) 2002-07-19 2008-02-14 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20060088985A1 (en) 2002-07-19 2006-04-27 Ruben Haverkort Low temperature silicon compound deposition
US20040033698A1 (en) 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7151039B2 (en) 2002-08-17 2006-12-19 Samsung Electronics Co., Ltd. Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US7122464B2 (en) 2002-08-28 2006-10-17 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using disilazanes
US7544615B2 (en) 2002-08-28 2009-06-09 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using organic amines
US20040053515A1 (en) 2002-09-12 2004-03-18 Comita Paul B. Apparatus and method for surface finishing a silicon film
US20050233553A1 (en) 2002-10-03 2005-10-20 Michael Kountz Method of fabricating semiconductor by nitrogen doping of silicon film
US6730614B1 (en) 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6943092B2 (en) 2002-12-28 2005-09-13 Dongbuanam Semiconductor, Inc. Methods of manufacturing semiconductor devices
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7981473B2 (en) 2003-04-23 2011-07-19 Aixtron, Inc. Transient enhanced atomic layer deposition
US20080131601A1 (en) 2003-04-23 2008-06-05 Gi Youl Kim Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US20070137572A1 (en) 2003-05-19 2007-06-21 Tokyo Electron Limited Plasma processing apparatus
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US20050042865A1 (en) 2003-08-19 2005-02-24 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US7223649B2 (en) 2003-08-21 2007-05-29 Samsung Electronics Co., Ltd. Method of fabricating transistor of DRAM semiconductor device
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20090018668A1 (en) 2003-12-09 2009-01-15 Separation Design Group, Llc Sorption method, device, and system
US20050159017A1 (en) 2004-01-08 2005-07-21 Jin-Gyun Kim Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same
US7488694B2 (en) 2004-01-08 2009-02-10 Samsung Electronics Co., Ltd. Methods of forming silicon nitride layers using nitrogenous compositions
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20050196977A1 (en) 2004-03-02 2005-09-08 Semiconductor Leading Edge Technologies, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US7510984B2 (en) 2004-03-02 2009-03-31 Ulvac, Inc. Method of forming silicon nitride film and method of manufacturing semiconductor device
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US20050260347A1 (en) 2004-05-21 2005-11-24 Narwankar Pravin K Formation of a silicon oxynitride layer on a high-k dielectric material
US7244668B2 (en) 2004-06-07 2007-07-17 Dongbu Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US20050287775A1 (en) 2004-06-28 2005-12-29 Kazuhide Hasebe Film formation apparatus and method for semiconductor process
US7300885B2 (en) 2004-06-28 2007-11-27 Tokyo Electron Limited Film formation apparatus and method for semiconductor process
US7651730B2 (en) 2004-07-15 2010-01-26 Tokyo Electron Limited Method and apparatus for forming silicon oxide film
US7524762B2 (en) 2004-07-20 2009-04-28 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7462571B2 (en) 2004-07-28 2008-12-09 Tokyo Electron Limited Film formation method and apparatus for semiconductor process for forming a silicon nitride film
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7390743B2 (en) 2004-11-22 2008-06-24 Samsung Electronics Co., Ltd. Methods for forming a structured tungsten layer and forming a semiconductor device using the same
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20120009803A1 (en) 2005-01-22 2012-01-12 Applied Materials, Inc. Mixing Energized and Non-Energized Gases for Silicon Nitride Deposition
US20060165890A1 (en) 2005-01-26 2006-07-27 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20090148625A1 (en) 2005-02-16 2009-06-11 Hynix Semiconductor Inc. Method for forming thin film
US20060199357A1 (en) 2005-03-07 2006-09-07 Wan Yuet M High stress nitride film and method for formation thereof
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7351668B2 (en) 2005-03-09 2008-04-01 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US7521331B2 (en) 2005-03-10 2009-04-21 Samsung Electronics Co., Ltd. High dielectric film and related method of manufacture
US20080274302A1 (en) 2005-03-11 2008-11-06 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20100022099A1 (en) 2005-03-15 2010-01-28 Asm America, Inc. Method of forming non-conformal layers
US8334218B2 (en) 2005-03-15 2012-12-18 Asm America, Inc. Method of forming non-conformal layers
US8227032B2 (en) 2005-03-17 2012-07-24 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon oxide containing films
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
EP2278046A1 (en) 2005-05-16 2011-01-26 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US20060286818A1 (en) 2005-06-17 2006-12-21 Yaxin Wang Method for silicon based dielectric chemical vapor deposition
US20060286776A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7632757B2 (en) 2005-07-06 2009-12-15 Tokyo Electron Limited Method for forming silicon oxynitride film
US20070010071A1 (en) 2005-07-06 2007-01-11 Hiroyuki Matsuura Method and apparatus for forming silicon oxynitride film
US7419888B2 (en) 2005-07-29 2008-09-02 Samsung Electronics Co., Ltd. Method of forming a silicon-rich nanocrystalline structure by an atomic layer deposition process and method of manufacturing a non-volatile semiconductor device using the same
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US7758920B2 (en) 2005-08-02 2010-07-20 Tokyo Electron Limited Method and apparatus for forming silicon-containing insulating film
US7622383B2 (en) 2005-08-22 2009-11-24 Samsung Electronics Co., Ltd. Methods of forming conductive polysilicon thin films via atomic layer deposition and methods of manufacturing semiconductor devices including such polysilicon thin films
US20110198756A1 (en) * 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7507676B2 (en) 2006-01-16 2009-03-24 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20070167028A1 (en) 2006-01-16 2007-07-19 Pao-Hwa Chou Film formation method and apparatus for semiconductor process
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US20070232082A1 (en) 2006-03-31 2007-10-04 Mihaela Balseanu Method to improve the step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7825039B2 (en) 2006-04-05 2010-11-02 Tokyo Electron Limited Vertical plasma processing method for forming silicon containing film
US20070251444A1 (en) 2006-04-25 2007-11-01 Stmicroelectronics S.A. PEALD Deposition of a Silicon-Based Material
US7615449B2 (en) 2006-04-28 2009-11-10 Hynix Semiconductor Inc. Semiconductor device having a recess channel transistor
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US20080075881A1 (en) 2006-07-26 2008-03-27 Won Seok-Jun Method of Forming A Metallic Oxide Film Using Atomic Layer Deposition
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7964241B2 (en) 2006-09-01 2011-06-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20080063791A1 (en) 2006-09-01 2008-03-13 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
KR20090081396A (en) 2006-10-16 2009-07-28 어플라이드 머티어리얼스, 인코포레이티드 Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20120282418A1 (en) 2007-02-16 2012-11-08 Tokyo Electron Limited SiCN FILM FORMATION METHOD AND APPARATUS
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242116A1 (en) 2007-03-30 2008-10-02 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US20090039349A1 (en) 2007-05-17 2009-02-12 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device, manufacturing method of display device, semiconductor device, display device, and electronic device
US8178448B2 (en) 2007-06-11 2012-05-15 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20080311760A1 (en) 2007-06-11 2008-12-18 Nobutake Nodera Film formation method and apparatus for semiconductor process
US20100304574A1 (en) 2007-06-11 2010-12-02 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20080317972A1 (en) 2007-06-21 2008-12-25 Asm International N.V. Method for depositing thin films by mixed pulsed cvd and ald
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US20110014798A1 (en) 2007-10-22 2011-01-20 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (en) 2007-12-03 2009-06-08 주식회사 아이피에스 Method for depositing thin film containing metal
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US20090163012A1 (en) 2007-12-21 2009-06-25 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8119544B2 (en) 2008-01-12 2012-02-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
KR20090080019A (en) 2008-01-19 2009-07-23 도쿄엘렉트론가부시키가이샤 Film formation method and apparatus for semiconductor process
US8080290B2 (en) 2008-01-19 2011-12-20 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US20100310791A1 (en) 2008-01-28 2010-12-09 Mitsubishi Heavy Industries, Ltd. Plasma processing method and plasma processing system
US7923378B2 (en) 2008-02-01 2011-04-12 Tokyo Electron Limited Film formation method and apparatus for forming silicon-containing insulating film
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090208880A1 (en) 2008-02-20 2009-08-20 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch
US20110014796A1 (en) 2008-03-06 2011-01-20 Masanobu Hayashi Dipping solution for use in production of siliceous film and process for producing siliceous film using the dipping solution
US8034673B2 (en) 2008-04-18 2011-10-11 Tokyo Electron Limited Film formation method and apparatus for forming silicon-containing insulating film doped with metal
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20090278224A1 (en) 2008-05-07 2009-11-12 Asm Genitech Korea Ltd. Methods of forming an amorphous silicon thin film
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP2010010497A (en) 2008-06-29 2010-01-14 Tokyo Electron Ltd Film forming method, film forming device, and recording medium
US20100025824A1 (en) 2008-07-29 2010-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for Reducing Integrated Circuit Corner Peeling
US8257789B2 (en) 2008-10-04 2012-09-04 Tokyo Electron Limited Film formation method in vertical batch CVD apparatus
US20100136260A1 (en) 2008-10-04 2010-06-03 Tokyo Electron Limited Film formation method in vertical batch cvd apparatus
US20100096688A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Non-volatile memory having charge trap layer with compositional gradient
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20100124621A1 (en) 2008-11-14 2010-05-20 Asm Japan K.K. Method of Forming Insulation Film by Modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100221925A1 (en) 2009-01-21 2010-09-02 Asm Japan K.K. METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US20110003445A1 (en) 2009-07-03 2011-01-06 Renesas Electronics Corporation Manufacturing method of semiconductor device
US20110014795A1 (en) 2009-07-15 2011-01-20 Asm Japan K.K. Method of Forming Stress-Tuned Dielectric Film Having Si-N Bonds by Modified PEALD
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US20110086516A1 (en) 2009-10-14 2011-04-14 Asm Japan K.K. METHOD OF DEPOSITING DIELECTRIC FILM HAVING Si-N BONDS BY MODIFIED PEALD METHOD
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US20120315394A1 (en) 2010-03-19 2012-12-13 Tokyo Electron Limited Film forming apparatus, film forming method, method for optimizing rotational speed, and storage medium
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US20140216337A1 (en) 2010-04-15 2014-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20140209562A1 (en) 2010-04-15 2014-07-31 Novellus Systems, Inc. Plasma activated conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US20140134827A1 (en) 2010-04-15 2014-05-15 Novellus Systems, Inc. Conformal film deposition for gapfill
US20140106574A1 (en) 2010-04-15 2014-04-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite peald and pecvd method
US20120028454A1 (en) 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition
US20130040447A1 (en) 2010-04-15 2013-02-14 Shankar Swaminathan Conformal doping via plasma activated atomic layer deposition and conformal film deposition
WO2011130326A2 (en) 2010-04-15 2011-10-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US20120009802A1 (en) 2010-04-15 2012-01-12 Adrien Lavoie Plasma activated conformal dielectric film deposition
WO2011130397A2 (en) 2010-04-15 2011-10-20 Novellus Systems, Inc. Improved silicon nitride films and methods
US20110256726A1 (en) 2010-04-15 2011-10-20 Adrien Lavoie Plasma activated conformal film deposition
US20110298099A1 (en) 2010-06-04 2011-12-08 Applied Materials, Inc. Silicon dioxide layer deposited with bdeas
US20120028469A1 (en) 2010-07-30 2012-02-02 Asm Japan K.K. METHOD OF TAILORING CONFORMALITY OF Si-CONTAINING FILM
US20130115783A1 (en) 2010-08-02 2013-05-09 Eugene Technology Co., Ltd. Method for depositing cyclic thin film
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120058282A1 (en) 2010-09-03 2012-03-08 Asm Japan K.K. Method of Forming Conformal Film Having Si-N Bonds on High-Aspect Ratio Pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120077349A1 (en) 2010-09-23 2012-03-29 Ming Li Plasma-activated deposition of conformal films
WO2012040317A2 (en) 2010-09-23 2012-03-29 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20130319329A1 (en) 2010-09-23 2013-12-05 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
WO2012087737A2 (en) 2010-12-22 2012-06-28 Novellus Systems, Inc. Variable-density plasma processing of semiconductor substrates
US20120164846A1 (en) 2010-12-28 2012-06-28 Asm Japan K.K. Method of Forming Metal Oxide Hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140051262A9 (en) 2011-04-11 2014-02-20 Adrien Lavoie Methods for uv-assisted conformal film deposition
US20130196516A1 (en) 2011-04-11 2013-08-01 Adrien Lavoie Methods for uv-assisted conformal film deposition
WO2013032786A2 (en) 2011-09-01 2013-03-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20130071580A1 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Activated Silicon Precursors For Low Temperature Deposition
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20130189854A1 (en) 2012-01-20 2013-07-25 Dennis Hausmann Method for depositing a chlorine-free conformal sin film
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20140141626A1 (en) 2012-01-20 2014-05-22 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013112727A1 (en) 2012-01-26 2013-08-01 Novellus Systems, Inc. Methods for uv-assisted conformal film deposition
US20130309415A1 (en) 2012-05-21 2013-11-21 Novellus Systems, Inc. Systems and methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140120737A1 (en) 2012-10-23 2014-05-01 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US20140141542A1 (en) 2012-11-08 2014-05-22 Novellus Systems, Inc. Methods for depositing films on sensitive substrates

Non-Patent Citations (70)

* Cited by examiner, † Cited by third party
Title
Cecchi et al., (2007) "Ultra-thin conformal pore-sealing of low-k materials by plasma-assisted ALD," University of New Mexico, Albuquerque, NM, Sandia National Labs, Albuquerque, NM, 1 page.
Choi, Gyu-Jin et al., (2009) "Plasma-enhanced atomic layer deposition of TiO2 and A1-doped TiO2 films using N2O and O2 reactants," Journal of the Electrochemical Society, 156(9):G138-G143.
European Extended Search Report dated Apr. 14, 2014 issued in EP 13 15 2046 [NOVLP436EP].
Hausmann et al. (2002) "Atomic Layer Deposition of Hafnium and Zirconium Oxides Using Metal Amide Precursors," Chem. Mater. 14(10):4350-4358.
Kim, H., et al., (2002) "The Growth of Tantalum Thin Films by Plasma-Enhanced Atomic Layer Deposition and Diffusion Barrier Properties," Mat. Res. Soc. Symp. Proc. 716:B8.5.1-B8.5.6.
King, Sean W., (Jul./Aug. 2011) "Plasma enhanced atomic layer deposition of SiNx:H and SiO2," J. Vac. Sci. Technol. A29(4):9 pages.
Ko, Myoung-Gyun, et al., "Characterization of ruthenium thin film deposited by rf-direct plasma atomic layer deposition," 209th ECS Meeting, Abstract #50, p. 1 [Downloaded on Jun. 9, 2014].
Ko, Myoung-Gyun, et al., (Oct. 2008) "Correlation of Carbon Content with the Thermal Stability of Ruthenium Deposited by Using RF-Direct Plasma-Enhanced Atomic-Layer Deposition," Journal of the Korean Physical Society, 53(4):2123-2128.
Korean Notice of Provisional Rejection dated Dec. 9, 2013 issued in KR 10-2012-0043797 [NOVLP436KR].
Lee et al., (2005) "Chemically conformal deposition of SrTiO3 thin films by Atomic Layer Deposition using conventional metal organic precursors and remote-plasma activated H2O," School of Materials Science and Engineering, and Inter-university Semiconductor Research Center, Seoul National University, Microelectronic Engineering 80:158-161.
Lee, Jong Ju, (2005) "Low-impurity, highly conformal atomic layer deposition of titanium nitride using NH3-Ar-H2 plasma treatment for capacitor electrodes," Materials Letters, 59:615-617.
Li, Xingcun, et al., (2011) "Atomic Layer Deposition A1203 Thin Films in Magnetized Radio Frequency Plasma Source," Physics Procedia 18:100-106.
Man P.F. et al., (Feb. 11-15, 1996) "Elimination of Post-Release Adhesion in Microstructures Using Conformal Fluorocarbon Coatings," MEMS '96 Proceedings, IEEE, pp. 55-60.
Nguyen, S.V. et al., (Jan./Mar. 1999) "Plasma-assist chemical vapor deposition of dielectric thin films for ULSI semiconductor circuits," IBM J.Res.Develop. 43(1.2):5-38.
PCT International Preliminary Report on Patentability and Written Opinion dated Apr. 3, 2014, issued in Application No. PCT/US2012/052769 [NOVLP427WO].
PCT International Preliminary Report on Patentability and Written Opinion dated Apr. 4, 2013 issued in Application No. PCT/US2011/052537 [NOVLP416WO].
PCT International Preliminary Report on Patentability and Written Opinion dated Aug. 7, 2014, issued in Application No. PCT/US2013/022977 [NOVLP441WO].
PCT International Preliminary Report on Patentability and Written Opinion dated Mar. 13, 2014, issued in Application No. PCT/US2012/051740 [NOVLP428WO].
PCT International Preliminary Report on Patentability and Written Opinion, dated Oct. 26, 2012, issued in PCT/US2011/032303 [NOVLP406WO].
PCT International Preliminary Report on Patentability, dated Oct. 26, 2012, issued in PCT/US2011/032186 [NOVLP405WO].
PCT International Search Report and Written Opinion dated Dec. 18, 2012, issued in Application No. PCT/US2012/052769 [NOVLP427WO].
PCT International Search Report and Written Opinion dated Feb. 28, 2013, issued in Application No. PCT/US2012/051740 [NOVLP428WO].
PCT International Search Report and Written Opinion dated May 2, 2012 issued in Application No. PCT/US2011/052537 [NOVLP416WO].
PCT International Search Report and Written Opinion dated May 27, 2013, issued in Application No. PCT/US2013/022977 [NOVLP441WO].
PCT International Search Report and Written Opinion, dated Feb. 20, 2012, issued in PCT/US2011/032303 [NOVLP406WO].
PCT International Search Report and Written Opinion, dated Oct. 20, 2011, issued in PCT/US2011/032186 [NOVLP405WO].
PCT Invitation to Pay Additional Fees; Communication Re Partial International Search, dated Dec. 16, 2011, issued in PCT/US2011/032303 [NOVLP406WO].
Plasma Enhanced Atomic Layer Deposition (PEALD), Website: http://www.asm.com/index.php?option=com-content&task=view&id=19&Itemid=161 (2010), 1 page.
PlasmaPro(TM), NGP® 80 Range, Next Generation Plasma Systems, Oxford Instruments (2010), 8 pages.
PlasmaPro™, NGP® 80 Range, Next Generation Plasma Systems, Oxford Instruments (2010), 8 pages.
U.S. Appl. No. 13/953,616, filed Jul. 29, 2013, entitled "High Pressure, High Power Plasma Activated Conformal Film Deposition" [LAMRP004].
U.S. Appl. No. 13/963,212, filed Aug. 9, 2013, entitled "Plasma-Activated Deposition of Conformal Films" [NOVLP416X1D1].
U.S. Appl. No. 14/061,587, filed Oct. 23, 2013, entitled "Sub-Saturated Atomic Layer Deposition and Conformal Film Deposition" [LAMRP020].
U.S. Appl. No. 14/065,334, filed Oct. 28, 2013, entitled "Method for Depositing a Cholrine-Free Conformal Sin Film" [NOVLP436C1].
U.S. Appl. No. 14/074,596, filed Nov. 7, 2013, entitled "Conformal Film Deposition for Gapfill" [LAMRP011].
U.S. Appl. No. 14/074,617, filed Nov. 7, 2013, entitled "Methods For Depositing Films on Sensitive Substrates" [LAMRP019].
U.S. Appl. No. 14/133,239, filed Dec. 18, 2013, entitled "Plasma Activated Conformal Dielectric Film Deposition" [NOVLP427D1].
U.S. Appl. No. 14/137,860, filed Dec. 20, 2013, entitled "Gapfill of Variable Aspect Ratio Features with a Composite Peald and Pecvd Method" [LAMRP066].
U.S. Appl. No. 14/183,287, filed Feb. 18, 2014, entitled "High Growth Rate Process for Conformal Aluminum Nitride." [LAMRP082].
U.S. Appl. No. 14/187,145 , filed Feb. 21, 2014, entitled "Plasma Assisted Atomic Layer Deposition Titanium Oxide for Conformal Encapsulation and Gap Fill Applications." [LAMRP083].
U.S. Appl. No. 14/194,324, filed Feb. 28, 2014, entitled "Soft Landing Nanolaminates for Advanced Patterning." [LAMRP089].
U.S. Appl. No. 14/194,549, filed Feb. 28, 2014, entitled "Capped ALD Films for Doping Fin-Shaped Channel Regions of 3-D IC Transistors." [LAMRP081].
U.S. Appl. No. 14/195,653, filed Mar. 3, 2014, entitled "RF Cycle Purging to Reduce Surface Roughness in Metal Oxide and Metal Nitride Films." [LAMRP084].
U.S. Appl. No. 14/335,785, filed Jul. 18, 2014, entitled "Methods for Depositing Silicon Oxide." [LAMRP125].
US Examiner's Answer to Appeal Brief (filed May 22, 2014) Before the Patent Trial and Appeal Board dated Aug. 14, 2014 issued U.S. Appl. No. 13/224,240 [NOVLP428].
US Final Office Action dated Apr. 25, 2013 in U.S. Appl. No. 13/084,305 [NOVLP406].
US Final Office Action dated Feb. 26, 2013 in U.S. Appl. No. 13/011,569 [NOVLP416X1].
US Final Office Action dated Nov. 22, 2013 in U.S. Appl. No. 13/224,240 [NOVLP428].
US Final Office Action dated Sep. 13, 2013 in U.S. Appl. No. 13/084,399 [NOVLP405].
US Notice of Allowance dated Aug. 7, 2014 issued U.S. Appl. No. 14/133,239 [NOVLP427D I].
US Notice of Allowance dated Jan. 15, 2014 issued in U.S. Appl. No. 13/084,399 [NOVLP405].
US Notice of Allowance dated Jul. 26, 2013, in U.S. Appl. No. 13/414,619 [NOVLP436].
US Notice of Allowance dated Jun. 19, 2013 in U.S. Appl. No. 13/242,084 [NOVLP427].
US Notice of Allowance dated May 6, 2013 in U.S. Appl. No. 13/011,569 [NOVLP416X1].
US Notice of Allowance dated Oct. 4, 2013 in U.S. Appl. No. 13/472,282 [NOVLP441].
US Notice of Allowance dated Oct. 8, 2014 issued in U.S. Appl. No. 13/607,386 [NOVLP488].
US Notice of Allowance dated Sep. 19, 2013 in U.S. Appl. No. 13/242,084 [NOVLP427].
US Notice of Allowance dated Sep. 30, 2011 in U.S. Appl. No. 12/889,132 [NOVLP416].
US Office Action dated Apr. 11, 2014 issued in U.S. Appl. No. 14/065,334 [NOVLP436C1].
US Office Action dated Apr. 13, 2011 issued in U.S. Appl. No. 12/889,132 [NOVLP416].
US Office Action dated Apr. 29, 2013 in U.S. Appl. No. 13/224,240 [NOVLP428].
US Office Action dated Apr. 4, 2013 in U.S. Appl. No. 13/242,084 [NOVLP427].
US Office Action dated Aug. 1, 2012 issued in U.S. Appl. No. 13/011,569 [NOVLP416X1].
US Office Action dated Jun. 13, 2014 issued in U.S. Appl. No. 13/953,616 [LAMRP004].
US Office Action dated Jun. 7, 2013 in U.S. Appl. No. 13/414,619 [NOVLP436].
US Office Action dated Mar. 15, 2013 issued in U.S. Appl. No. 13/084,399 [NOVLP405].
US Office Action dated May 21, 2014 issued in U.S. Appl. No. 13/607,386 [NOVLP488].
US Office Action dated May 24, 2013 in U.S. Appl. No. 13/472,282 [NOVLP441].
US Office Action dated Sep. 14, 2012 in U.S. Appl. No. 13/084,305 [NOVLP406].
van der Straten et al. (2004) "Atomic layer deposition of tantalum nitride for ultrathin liner applications in advanced copper metallization schemes," Journal of Materials Research, 19(2):447-453.

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10032605B2 (en) 2012-02-22 2018-07-24 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10231321B2 (en) 2012-02-22 2019-03-12 Lam Research Corporation State-based adjustment of power and frequency
US10629413B2 (en) 2012-02-22 2020-04-21 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9905423B2 (en) 2013-11-07 2018-02-27 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US10192742B2 (en) 2013-11-07 2019-01-29 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US10577691B2 (en) 2014-05-15 2020-03-03 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10147599B2 (en) 2015-05-02 2018-12-04 Applied Materials, Inc. Methods for depositing low K and low wet etch rate dielectric thin films
US9799511B2 (en) 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US11479856B2 (en) 2015-07-09 2022-10-25 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US11195845B2 (en) 2017-04-13 2021-12-07 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11286560B2 (en) 2017-09-15 2022-03-29 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US20200240016A1 (en) * 2019-01-25 2020-07-30 Asm Ip Holding B.V. Oxide film forming method
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
WO2020197864A1 (en) * 2019-03-22 2020-10-01 Lam Research Corporation Method for providing doped silicon

Also Published As

Publication number Publication date
US20140113457A1 (en) 2014-04-24

Similar Documents

Publication Publication Date Title
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR102357418B1 (en) Apparatuses and methods for depositing sic and sicn films via cross-metathesis reactions with organometallic co-reactants
KR102384484B1 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
US10192742B2 (en) Soft landing nanolaminates for advanced patterning
KR102628080B1 (en) Methods for depositing silicon oxide
KR102439698B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN107665811B (en) Gap fill for variable aspect ratio features using compound PEALD and PECVD methods
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US20180033622A1 (en) Doped ald films for semiconductor patterning applications
US20160329206A1 (en) Methods of modulating residual stress in thin films
WO2011130397A2 (en) Improved silicon nitride films and methods
US20180308690A1 (en) Densifying films in semiconductor device
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SIMS, JAMES S.;HENRI, JON;KELCHNER, KATHRYN M.;AND OTHERS;SIGNING DATES FROM 20140227 TO 20140306;REEL/FRAME:032430/0541

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8