US9139420B2 - MEMS device structure and methods of forming same - Google Patents

MEMS device structure and methods of forming same Download PDF

Info

Publication number
US9139420B2
US9139420B2 US13/450,223 US201213450223A US9139420B2 US 9139420 B2 US9139420 B2 US 9139420B2 US 201213450223 A US201213450223 A US 201213450223A US 9139420 B2 US9139420 B2 US 9139420B2
Authority
US
United States
Prior art keywords
substrate
forming
dielectric layer
interconnect structure
mems
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US13/450,223
Other versions
US20130277777A1 (en
Inventor
Chang-Chia Chang
Chen-Chih Fan
Bruce C. S. Chou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/450,223 priority Critical patent/US9139420B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHANG-CHIA, CHOU, BRUCE C.S., FAN, CHEN-CHIH
Priority to CN201210390963.8A priority patent/CN103373695B/en
Priority to TW101140051A priority patent/TWI500573B/en
Publication of US20130277777A1 publication Critical patent/US20130277777A1/en
Priority to US14/860,505 priority patent/US9802816B2/en
Application granted granted Critical
Publication of US9139420B2 publication Critical patent/US9139420B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00269Bonding of solid lids or wafers to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0035Packages or encapsulation for maintaining a controlled atmosphere inside of the chamber containing the MEMS
    • B81B7/0041Packages or encapsulation for maintaining a controlled atmosphere inside of the chamber containing the MEMS maintaining a controlled atmosphere with techniques not provided for in B81B7/0038
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0045Packages or encapsulation for reducing stress inside of the package structure
    • B81B7/0051Packages or encapsulation for reducing stress inside of the package structure between the package lid and the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00325Processes for packaging MEMS devices for reducing stress inside of the package structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0235Accelerometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/025Inertial sensors not provided for in B81B2201/0235 - B81B2201/0242
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/07Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0064Constitution or structural means for improving or controlling the physical properties of a device
    • B81B3/0067Mechanical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0064Constitution or structural means for improving or controlling the physical properties of a device
    • B81B3/0067Mechanical properties
    • B81B3/007For controlling stiffness, e.g. ribs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0009Structural features, others than packages, for protecting a device against environmental influences
    • B81B7/0016Protection against shocks or vibrations, e.g. vibration damping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0009Structural features, others than packages, for protecting a device against environmental influences
    • B81B7/0029Protection against environmental influences not provided for in groups B81B7/0012 - B81B7/0025
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/0058Packages or encapsulation for protecting against damages due to external chemical or mechanical influences, e.g. shocks or vibrations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • B81C1/00293Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS maintaining a controlled atmosphere with processes not provided for in B81C1/00285
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0118Bonding a wafer on the substrate, i.e. where the cap consists of another wafer

Definitions

  • MEMS Microelectromechanical systems
  • IC integrated circuit
  • MEMS technology is the technology of forming micro-structures with dimensions in the micrometer scale (one millionth of a meter). Significant parts of the technology have been adopted from integrated circuit (IC) technology. Most of the devices are built on silicon wafers and realized in thin films of materials. There are three basic building blocks in MEMS technology, which are the ability to deposit thin films of material on a substrate, to apply a patterned mask on top of the films by photolithographic imaging, and to etch the films selectively to the mask. A MEMS process is usually a structured sequence of these operations to form actual devices.
  • MEMS applications include inertial sensors applications, such as motion sensors, accelerometers, and gyroscopes.
  • Other MEMS applications include optical applications such as movable mirrors, and RF applications such as RF switches and resonators.
  • FIG. 1 a through 1 k illustrate steps in the manufacture of an illustrative embodiment of a MEMS device
  • FIG. 2 illustrates in plan view an illustrative embodiment of the same MEMS device
  • FIGS. 3 a through 3 f illustrate steps in the manufacture of a second illustrative embodiment of a MEMS device
  • FIG. 4 illustrates a third embodiment of a MEMS device
  • FIG. 5 illustrates a fourth embodiment of a MEMS device
  • FIGS. 6 a and 6 b illustrate in plan views illustrative embodiments of the MEMS device in FIG. 5 .
  • FIGS. 1 a through 1 k Various steps in the formation of a MEMS device will be described with reference to FIGS. 1 a through 1 k .
  • the shape and thickness may be exaggerated for clarity and convenience. This description will be directed in particular to elements forming part of, or cooperating more directly with, methods and apparatus in accordance with the present disclosure. It is to be understood that elements not specifically shown or described may take various forms well known to those skilled in the art. Many alternatives and modifications will be apparent to those skilled in the art, once informed by the present disclosure.
  • Embodiments will be described with respect to a specific context, namely a MEMS motion sensor device with a supporting post structure. Other embodiments may also be applied, however, to other MEMS devices, such as accelerometers and gyroscopes.
  • the MEMS device 1 includes a dielectric layer 101 on a wafer 100 .
  • Wafer 100 may comprise a bulk silicon wafer. In other embodiments, wafer 100 may comprise any semiconductor substrate, ceramic substrate, quartz substrate, or the like. In some embodiments, wafer 100 comprises a silicon-on-insulator (SOI) or other composite wafer. Other substrates that may be used include multi-layered substrates, gradient substrates, or hybrid orientation substrates.
  • SOI silicon-on-insulator
  • the wafer 100 may include active and passive devices (not shown in FIG. 1 a ). As one of ordinary skill in the art will recognize, a wide variety of active and passive devices such as transistors, capacitors, resistors, combinations of these, and the like may be used to generate the structural and functional requirements of the design for the MEMS device 1 .
  • the active and passive devices may be formed using any suitable methods.
  • the dielectric layer 101 is formed on the wafer 100 .
  • the dielectric layer 101 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, or a combination thereof.
  • the dielectric layer 101 may be deposited through a process such as chemical vapor deposition (CVD), a spin-on-glass process, although any acceptable process may be utilized. It is in the dielectric layer 101 that an upper cavity 102 is formed (see FIG. 10 .
  • FIG. 1 b illustrates the patterning of the dielectric layer 101 to form openings in the dielectric layer 101 .
  • the patterning process may be accomplished by depositing a commonly used mask material (not shown) such as photoresist or silicon oxide over the dielectric layer 101 .
  • the mask material is then patterned and the dielectric layer 101 is etched in accordance with the pattern.
  • a protective component 201 is deposited in the openings in the dielectric layer 101 and a wafer 200 is placed atop the dielectric layer 101 and the protective component 201 .
  • the protective component 201 is deposited in the openings in the dielectric layer 101 , the protective component 201 and the dielectric layer 101 may be planarized through a process such as chemical mechanical processing (CMP), and the wafer 200 may be placed on the top surfaces of the dielectric layer 101 and protective component 201 .
  • the protective component 201 may comprise aluminum, copper, an aluminum copper alloy, a titanium tungsten alloy, polysilicon, or a combination thereof.
  • the wafer 200 may comprise similar materials as the wafer 100 , such as a bulk silicon wafer, any semiconductor substrate, ceramic substrate, quartz substrate, or the like, although wafer 100 and wafer 200 need not both be the same material.
  • the wafer 200 and the dielectric layer 101 may be bonded by direct wafer bonding. The bonding process may be improved or expedited by the application of heat or pressure.
  • the wafer 200 may be epitaxially grown from a top surface of the dielectric layer 101 and the protective component 201 . Because the process is known in the art, the details are not repeated herein.
  • the wafer 200 may be doped either through an implantation process to introduce p-type or n-type impurities into the wafer 200 , or else by in-situ doping as the material is grown.
  • the wafer 200 may be formed of semiconductor material and deposited on a top surface of the dielectric layer 101 and the protective component 201 .
  • the semiconductor material may comprise silicon, germanium, the like, or a combination thereof.
  • the wafer 200 may be doped through an implantation method as discussed above.
  • FIG. 1 d illustrates the patterning of the wafer 200 into a movable element 203 and static elements 202 .
  • the patterning process may be accomplished by depositing a commonly used mask material (not shown) such as photoresist or silicon oxide over the wafer 100 .
  • the mask material is then patterned and the wafer 200 is etched in accordance with the pattern.
  • the movable element 203 is not movable in FIG. 1 d , as it is still on top of the dielectric layer 101 .
  • FIG. 1 e illustrates the formation and patterning of bonding material 204 on the static elements 202 and the movable element 203 .
  • the bonding material 204 may be made of aluminum copper, germanium, gold, the like, or a combination thereof.
  • the bonding material 204 may act as a eutectic bonding material for subsequent bonding processes.
  • the bonding material 204 may be formed using physical vapor deposition (PVD), such as sputtering or evaporation, the like, a combination thereof, or other acceptable methods, and may be patterned using acceptable lithography techniques.
  • PVD physical vapor deposition
  • the upper cavity 102 is formed by the removal of a portion of the dielectric layer 101 .
  • the resulting structure is a MEMS device 1 having a movable element 203 formed over an upper cavity 102 to allow for free movement in at least one axis.
  • the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202 .
  • the portion of the dielectric layer 101 may be removed by an etch process.
  • the etch process may be a diluted hydrofluoric acid (DHF) treatment or a vapor hydrofluoric acid (VHF) treatment for a suitable time.
  • DHF diluted hydrofluoric acid
  • VHF vapor hydrofluoric acid
  • etch processes have high selectivity between the dielectric layer 101 , the wafer 200 , and the wafer 100 , so that the wafer 100 and the wafer 200 are not significantly attacked during the removal of the dielectric layer 101 .
  • the protective component 201 protects portions of the dielectric layer 101 under the static elements 202 during the wet etch process.
  • a wafer 300 is illustrated at an intermediate stage of processing.
  • the wafer 300 may comprise a contact pad 302 , an interconnect structure 301 on the wafer 300 , and a dielectric layer 303 on the interconnect structure 301 .
  • the wafer 300 may comprise similar materials as the wafers 100 and 200 , such as a bulk silicon wafer, any semiconductor substrate, ceramic substrate, quartz substrate, or the like, but need not be the same material.
  • the wafer 300 may include active and passive devices (not shown in FIG. 1 g ). As one of ordinary skill in the art will recognize, a wide variety of active and passive devices such as transistors, capacitors, resistors, combinations of these, and the like may be used to generate the structural and functional requirements of the design for the MEMS device 1 .
  • the active and passive devices may be formed using any suitable methods.
  • the contact pad 302 may be formed on a top surface of wafer 300 and in electrical contact with the interconnect structure 301 in order to provide external connections to the active and passive devices, the movable element 203 , and the static elements 202 .
  • the contact pad 302 may comprise aluminum, copper, the like, or a combination thereof.
  • the contact pad 302 may be formed using a deposition process, such as sputtering, to form a layer of material (not shown) and portions of the layer of material may then be removed through a suitable process (such as photolithographic masking and etching) to form the contact pad 302 . However, any other suitable process may be utilized to form the contact pad 302 .
  • the interconnect structure 301 may be formed on the top surface of the wafer 300 .
  • the interconnect structure 301 may provide electrical and physical connections between and/or to the active and passive devices, the movable element 203 , and the static elements 202 and external devices through the contact pads 403 and the through substrate via (“TSV”) 400 (also known as a “through semiconductor via” or a “through silicon via”) (see FIG. 1 k ).
  • TSV through substrate via
  • the interconnect structure 301 may comprise any number or combination of metallization layers, inter-metal dielectric (IMD) layers, vias, and passivation layers.
  • IMD inter-metal dielectric
  • the interconnect structure 301 depicted in FIG. 1 g comprises three metallization layers in IMD layers (connections depicted in metallization layers in the figures not intended to implicate specific connections).
  • Vias are formed between metallization layers in the IMD layers.
  • the metallization layers are formed by depositing an IMD layer, etching the metallization pattern of the layer in the IMD layer using, for example, acceptable photolithography techniques, depositing a conductive material for the metallization in the IMD, and removing any excess conductive material by, for example, CMP.
  • the photolithography technique may include a single damascene process or a dual damascene process, particularly when vias are formed through an IMD to an underlying metallization layer.
  • the IMD layers can be an oxide dielectric, such as a silicon dioxide (SiO 2 ), borophosphosilicate glass (BPSG), or other dielectric materials.
  • the conductive material of the metallization layers may be, for example, copper, nickel, aluminum, copper aluminum, tungsten, titanium, gold, silver, combinations of these, such as alloys, or the like.
  • the metallization layers may include barrier layers between the conductive material and the IMD material, and other dielectric layers, such as etch stop layers made of, for example, silicon nitride, may be formed between the IMD layers.
  • the dielectric layer 303 is formed on the interconnect structure 301 .
  • the dielectric layer 303 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, or a combination thereof.
  • the dielectric layer 303 may be deposited through a process such as chemical vapor deposition (CVD), a spin-on-glass process, although any acceptable process may be utilized.
  • FIG. 1 h illustrates the formation and patterning of bonding material 304 on the dielectric layer 303 .
  • the bonding material 304 may be made of aluminum copper, germanium, gold, the like, or a combination thereof.
  • the bonding material 304 may be formed using PVD, such as sputtering or evaporation, the like, a combination thereof, or other acceptable methods.
  • the bonding material 304 may be patterned using acceptable lithography techniques.
  • the structure comprising wafers 100 and 200 is bonded to the wafer 300 structure.
  • the two structures may be bonded together by eutectic bonding between the bonding material 204 (on wafer 200 ) and bonding material 304 (on wafer 300 ).
  • the eutectic bonding process may form a hermetic seal and electrical connection between the two structures allowing the movable element 203 and the static elements 202 to form electrical connections to external components, for example, through the contact pads 403 and TSV 400 on wafer 300 (see FIG. 1 k ).
  • a bond force of larger than 35 kN and a temperature of larger than 400° C. can be applied to get a good bond strength.
  • a vacuum chamber may be used as a bonding chamber.
  • the bond chamber has atmospheric pressure.
  • the resulting structure shows a MEMS structure comprising a movable element 203 and static elements 202 with an upper cavity 102 above and a lower cavity 305 below.
  • the upper cavity 102 is formed by the removal of portions of the dielectric layer 101 and the cavity below is formed by the bonding materials 204 and 304 .
  • the pressure level of the cavities may be controlled by the bonding process.
  • the cavities 102 and 305 are at a vacuum as a result of being bonded in a vacuum chamber.
  • the upper and lower cavities 102 and 305 adjoin in the spaces between the static elements 202 and the movable element 203 .
  • the upper cavity 102 and the lower cavity 305 form a single cavity surrounding the movable element 203 .
  • the supporting post 500 comprises center portions of bonding materials 204 and 304 , a center static element 202 , a center portion of dielectric layer 101 , and center portions of the protective component 201 .
  • the supporting post 500 is in the cavity comprising the upper cavity 102 and the lower cavity 305 .
  • FIG. 1 j illustrates the thinning of the wafers 100 and 300 after the bonding process.
  • the thinning process may include grinding and CMP processes, etch back processes, or other acceptable processes.
  • Wafer 300 may be thinned to reduce the amount of processing time for the subsequent TSV formation process. Further, wafers 100 and 300 may be thinned to reduce the overall package size of the MEMS device 1 .
  • the wafer 100 may be thinned to a thickness between about 300 ⁇ m and 100 ⁇ m and the wafer 300 may be thinned to a thickness less than 100 ⁇ m, such as 80 ⁇ m.
  • the thinning of wafer 300 and wafer 100 may reduce the overall strength of the MEMS device 1 .
  • the inventors discovered that the formation of the supporting post 500 may reduce the deformation of wafer 300 that may be caused by the pressure difference between the cavities and the external area, the process strain, and external force.
  • the supporting post 500 may reduce the strain impact on wafer 300 by up to 80%.
  • the supporting post 500 may also provide additional points for the movable element 203 to be anchored to the static elements 202 by hinges, springs, beams, or the like.
  • the movable element 203 may be anchored to only the outer static elements 202 .
  • the movable element may be anchored only to the center static element 202 of the supporting post 500 .
  • the movable element 203 may be anchored to both the outer static elements 202 and the center static element 202 . This configuration flexibility may also allow flexibility in the layout of the active and passive devices and interconnects in the interconnect structure 301 and wafer 300 .
  • the TSV 400 and contact pads 403 are formed on the backside of wafer 300 .
  • the TSV 400 extends from a backside surface of wafer 300 to the contact pad 302 which is on the top surface of wafer 300 .
  • the contact pads 403 may be coupled, directly or indirectly, to metal interconnects in the interconnect structure 301 .
  • TSV 400 may be formed by forming a recess in the wafer 300 by, for example, etching, milling, laser techniques, the like, or a combination thereof.
  • a thin barrier layer 401 may be conformally deposited over the back side of the wafer 300 and in the recess, such as by CVD, atomic layer deposition (ALD), PVD, thermal oxidation, the like, or a combination thereof.
  • the barrier layer 401 may comprise a nitride or an oxynitride, such as titanium nitride, titanium oxynitride, tantalum nitride, tantalum oxynitride, tungsten nitride, the like, or a combination thereof.
  • a conductive material may be deposited over the thin barrier layer and in the recess.
  • the conductive material may be formed by an electro-chemical plating process, CVD, ALD, PVD, the like, or a combination thereof. Examples of conductive materials are copper, tungsten, aluminum, silver, gold, combinations of these, such as alloys, or the like.
  • the conductive material may be patterned to form the contact pads 403 and the TSV conductive material 402
  • FIG. 2 illustrates a plan view of the static elements 202 , the movable element 203 , and the center static element 202 of the supporting post 500 .
  • the outer static element 202 encircles the movable element 203 with a space between the movable element 203 and the outer static element 202 .
  • the movable element 203 encircles the center static element 202 of the supporting post 500 .
  • the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202 .
  • a device structure including a supporting post could be applied to an accelerometer device or a gyroscope device.
  • the device structure could be applied to any MEMS device structure having a low pressure cavity or a thin capping wafer.
  • FIGS. 3 a through 3 e illustrate a method of forming another embodiment of a MEMS device 1 . Details regarding this embodiment that are similar to those for the previously described embodiment will not be repeated herein.
  • the wafer 200 and wafer 300 are formed together and then bonded to wafer 100 to form the cavities surrounding the movable element 203 .
  • the upper cavity 102 is formed by recessing the wafer 100 and the lower cavity 305 is formed by removing portions of the dielectric layer 303 .
  • FIG. 3 a illustrates a wafer 100 at an intermediate stage of processing.
  • the recessing of wafer 100 and the forming and patterning of bonding material 105 is illustrated.
  • the wafer 100 may be recessed to form the upper cavity 102 .
  • the recesses may be formed by etching, milling, or other acceptable processes as discussed above.
  • the recesses could be formed by epitaxially growing the raised portions of the wafer 100 from a top surface the wafer 100 within trenches or openings formed in a patterned layer atop wafer 100 .
  • the bonding material is formed and patterned on wafer 100 similar to bonding material 204 in FIG. 1 e.
  • the processing of a wafer 300 is at an intermediate stage of processing in which the interconnect structure 301 is formed atop the wafer 300 , as has been previously described.
  • the dielectric layer 303 may be formed, as has been previously described, and patterned to form openings in dielectric layer 303 using acceptable lithography techniques.
  • a protective component 201 is deposited in the openings in the dielectric layer 303 and a wafer 200 is placed atop the dielectric layer 303 and the protective component 201 .
  • the wafer 200 is patterned to form a movable element 203 , static elements 202 , and lower cavity 305 .
  • the wafer 200 may comprise similar materials as described in the previous embodiment.
  • the cavity 305 may be formed by depositing or epitaxially growing wafer 200 on the dielectric layer 303 , patterning the wafer 200 , and selectively etching portions of the dielectric layer 303 as has been previously described.
  • FIG. 3 d illustrates the formation of vias 205 and the forming and patterning of bonding material 204 .
  • the vias 205 are formed through the static elements 202 and the dielectric layer 303 to a metal interconnect on a top surface of the interconnect structure 301 .
  • the vias 205 may provide for electrical and physical connection between the wafer 200 and the interconnect structure 301 which may allow for connections to external devices through the contact pads 403 and the TSV 400 (see FIG. 3 f ).
  • the vias 205 may be formed by similar processes and materials as has been previously described.
  • the bonding material 204 is formed and patterned on the wafer 200 .
  • the bonding material 204 may be formed and patterned by similar processes and materials as has been previously described.
  • the lower cavity 305 is formed by the removal of a portion of the dielectric layer 303 .
  • the resulting structure is a MEMS device 1 having a movable element 203 formed over a lower cavity 305 to allow for free movement in at least one axis as has been previously described. Details regarding the etching process are similar to those previously described, and the details are not repeated herein.
  • the structure comprising wafers 200 and 300 is bonded to wafer 100 forming the supporting post 500 .
  • the bonding process between bonding material 105 and bonding material 204 is similar to the process described in the previous embodiment.
  • the supporting post 500 comprises a raised portion of wafer 100 , center portions of bonding materials 105 and 204 , a center static element 202 , and a center portion of dielectric layer 303 .
  • the wafer 100 and the wafer 300 may be thinned by methods as previously described.
  • the barrier layer 401 , TSV 400 , TSV conductive material 402 , and contact pads 403 may be formed as previously described to enable connections to external devices.
  • the upper and lower cavities 102 and 305 are adjoined in the spaces between the static elements 202 and the movable element 203 .
  • the upper and lower cavities 102 and 305 form a single cavity surrounding the movable element 203 and the supporting post 500 .
  • FIG. 4 illustrates yet another embodiment of MEMS device 1 .
  • the bonding process is between the wafer 100 and the wafer 300 .
  • the wafer 200 is formed on the dielectric layer 303 which is formed on the interconnect structure 301 of wafer 300 .
  • the wafer 200 and the dielectric layer 303 are patterned to remove them from the edges of wafer 300 to allow the direct connection between wafer 100 and wafer 300 . Details regarding this embodiment that are similar to those for the previously described embodiment will not be repeated herein.
  • FIG. 5 illustrates another embodiment of MEMS device 1 .
  • the device includes multiple supporting posts 500 .
  • the supporting posts 500 are laterally adjacent to each other.
  • the upper cavity 102 and the lower cavity 305 form a single cavity surrounding the movable element 203 and the supporting posts 500 . Details regarding this embodiment that are similar to those for the previously described embodiment will not be repeated herein.
  • FIG. 6 a illustrates a plan view of the static elements 202 , the movable element 203 , and center static element 202 of the supporting post 500 of the embodiment illustrated in 5 .
  • the movable element has been patterned to allow two supporting posts 500 to be formed between the wafer 100 and the wafer 300 (see FIG. 5 ).
  • the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202 .
  • the movable element may only be supported from the outer static element 202 .
  • FIG. 6 b illustrates another plan view of the static elements 202 , the movable element 203 , and center static element 202 of the supporting post 500 of the embodiment illustrated in 5 .
  • the movable element has been patterned to allow four supporting posts 500 to be formed between the wafer 100 and the wafer 300 (see FIG. 5 ).
  • the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202 .
  • the movable element may only be supported from the outer static element 202 .
  • An embodiment is a method for forming a microelectromechanical system (MEMS) device comprising forming a MEMS structure over a first substrate, wherein the MEMS structure comprises a movable element and an adjacent static element; forming a cavity surrounding the movable element; forming an interconnect structure on a second substrate; depositing a first dielectric layer on the interconnect structure; and bonding the MEMS structure to the first dielectric layer, wherein the static element forms a first supporting post in the cavity, the first supporting post configured to support the second substrate.
  • MEMS microelectromechanical system
  • Another embodiment is a method of forming a MEMS device comprising forming an interconnect structure on a first substrate; depositing a dielectric layer on the interconnect structure; patterning the dielectric layer to form a central portion and two outer portions; bonding or depositing a MEMS wafer to the patterned dielectric layer; patterning the MEMS wafer to form a movable element, a central static element, and an outer static element, the movable element encircling the central static element, and the outer static element encircling the movable element; and depositing a first bonding material on the central static element and the outer static element.
  • the method further comprising forming two recesses in a second substrate, wherein the recesses form a central raised portion of the second substrate and two outer raised portions of the second substrate; depositing a second bonding material on the central raised portion and the outer raised portions of the second substrate; and bonding the MEMS wafer to the second substrate, wherein the central portion of the dielectric layer, the central static element, the first bonding material on the central static element, the second bonding material on the central raised portion, and the central raised portion form a first supporting post.
  • a further embodiment is a MEMS device comprising a MEMS structure above a first substrate, wherein the MEMS structure comprises a movable element, a central static element, and an outer static element, wherein the movable element is suspended above the first substrate, the movable element is laterally separated from the outer static element by a first spacing, and the movable element is laterally separated from the central static element by a second spacing; and a central portion of a bonding material between the first substrate and a bottom surface of the central static element.
  • the device further comprising a second substrate above the MEMS structure; a central portion of a first dielectric layer between the second substrate and a top surface of the central static element; and a supporting post, the supporting post comprising the central portion of the bonding material, the central static element, and the central portion of the first dielectric layer.

Abstract

A microelectromechanical system (MEMS) device may include a MEMS structure above a first substrate. The MEMS structure comprising a central static element, a movable element, and an outer static element. A portion of bonding material between the central static element and the first substrate. A second substrate above the MEMS structure, with a portion of a dielectric layer between the central static element and the second substrate. A supporting post comprises the portion of bonding material, the central static element, and the portion of dielectric material.

Description

BACKGROUND
Microelectromechanical systems (MEMS) are the technology of forming micro-structures with dimensions in the micrometer scale (one millionth of a meter). Significant parts of the technology have been adopted from integrated circuit (IC) technology. Most of the devices are built on silicon wafers and realized in thin films of materials. There are three basic building blocks in MEMS technology, which are the ability to deposit thin films of material on a substrate, to apply a patterned mask on top of the films by photolithographic imaging, and to etch the films selectively to the mask. A MEMS process is usually a structured sequence of these operations to form actual devices.
MEMS applications include inertial sensors applications, such as motion sensors, accelerometers, and gyroscopes. Other MEMS applications include optical applications such as movable mirrors, and RF applications such as RF switches and resonators.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the present embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1 a through 1 k illustrate steps in the manufacture of an illustrative embodiment of a MEMS device;
FIG. 2 illustrates in plan view an illustrative embodiment of the same MEMS device;
FIGS. 3 a through 3 f illustrate steps in the manufacture of a second illustrative embodiment of a MEMS device;
FIG. 4 illustrates a third embodiment of a MEMS device;
FIG. 5 illustrates a fourth embodiment of a MEMS device; and
FIGS. 6 a and 6 b illustrate in plan views illustrative embodiments of the MEMS device in FIG. 5.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
Various steps in the formation of a MEMS device will be described with reference to FIGS. 1 a through 1 k. Reference will now be made in detail to embodiments illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts. In the drawings, the shape and thickness may be exaggerated for clarity and convenience. This description will be directed in particular to elements forming part of, or cooperating more directly with, methods and apparatus in accordance with the present disclosure. It is to be understood that elements not specifically shown or described may take various forms well known to those skilled in the art. Many alternatives and modifications will be apparent to those skilled in the art, once informed by the present disclosure.
Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. It should be appreciated that the following figures are not drawn to scale; rather, these figures are merely intended for illustration.
Embodiments will be described with respect to a specific context, namely a MEMS motion sensor device with a supporting post structure. Other embodiments may also be applied, however, to other MEMS devices, such as accelerometers and gyroscopes.
With reference to FIG. 1 a, there is shown a cross-sectional view of a MEMS device 1 at an intermediate stage of processing. The MEMS device 1 includes a dielectric layer 101 on a wafer 100. Wafer 100 may comprise a bulk silicon wafer. In other embodiments, wafer 100 may comprise any semiconductor substrate, ceramic substrate, quartz substrate, or the like. In some embodiments, wafer 100 comprises a silicon-on-insulator (SOI) or other composite wafer. Other substrates that may be used include multi-layered substrates, gradient substrates, or hybrid orientation substrates.
The wafer 100 may include active and passive devices (not shown in FIG. 1 a). As one of ordinary skill in the art will recognize, a wide variety of active and passive devices such as transistors, capacitors, resistors, combinations of these, and the like may be used to generate the structural and functional requirements of the design for the MEMS device 1. The active and passive devices may be formed using any suitable methods.
The dielectric layer 101 is formed on the wafer 100. The dielectric layer 101 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, or a combination thereof. The dielectric layer 101 may be deposited through a process such as chemical vapor deposition (CVD), a spin-on-glass process, although any acceptable process may be utilized. It is in the dielectric layer 101 that an upper cavity 102 is formed (see FIG. 10.
FIG. 1 b illustrates the patterning of the dielectric layer 101 to form openings in the dielectric layer 101. The patterning process may be accomplished by depositing a commonly used mask material (not shown) such as photoresist or silicon oxide over the dielectric layer 101. The mask material is then patterned and the dielectric layer 101 is etched in accordance with the pattern.
In FIG. 1 c, a protective component 201 is deposited in the openings in the dielectric layer 101 and a wafer 200 is placed atop the dielectric layer 101 and the protective component 201. In an embodiment, the protective component 201 is deposited in the openings in the dielectric layer 101, the protective component 201 and the dielectric layer 101 may be planarized through a process such as chemical mechanical processing (CMP), and the wafer 200 may be placed on the top surfaces of the dielectric layer 101 and protective component 201. In this embodiment, the protective component 201 may comprise aluminum, copper, an aluminum copper alloy, a titanium tungsten alloy, polysilicon, or a combination thereof. The wafer 200 may comprise similar materials as the wafer 100, such as a bulk silicon wafer, any semiconductor substrate, ceramic substrate, quartz substrate, or the like, although wafer 100 and wafer 200 need not both be the same material. The wafer 200 and the dielectric layer 101 may be bonded by direct wafer bonding. The bonding process may be improved or expedited by the application of heat or pressure. In another embodiment, the wafer 200 may be epitaxially grown from a top surface of the dielectric layer 101 and the protective component 201. Because the process is known in the art, the details are not repeated herein. The wafer 200 may be doped either through an implantation process to introduce p-type or n-type impurities into the wafer 200, or else by in-situ doping as the material is grown. In yet another embodiment, the wafer 200 may be formed of semiconductor material and deposited on a top surface of the dielectric layer 101 and the protective component 201. The semiconductor material may comprise silicon, germanium, the like, or a combination thereof. The wafer 200 may be doped through an implantation method as discussed above.
FIG. 1 d illustrates the patterning of the wafer 200 into a movable element 203 and static elements 202. The patterning process may be accomplished by depositing a commonly used mask material (not shown) such as photoresist or silicon oxide over the wafer 100. The mask material is then patterned and the wafer 200 is etched in accordance with the pattern. The movable element 203 is not movable in FIG. 1 d, as it is still on top of the dielectric layer 101.
FIG. 1 e illustrates the formation and patterning of bonding material 204 on the static elements 202 and the movable element 203. The bonding material 204 may be made of aluminum copper, germanium, gold, the like, or a combination thereof. The bonding material 204 may act as a eutectic bonding material for subsequent bonding processes. The bonding material 204 may be formed using physical vapor deposition (PVD), such as sputtering or evaporation, the like, a combination thereof, or other acceptable methods, and may be patterned using acceptable lithography techniques.
In FIG. 1 f, the upper cavity 102 is formed by the removal of a portion of the dielectric layer 101. The resulting structure is a MEMS device 1 having a movable element 203 formed over an upper cavity 102 to allow for free movement in at least one axis. The movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202. In an embodiment, the portion of the dielectric layer 101 may be removed by an etch process. The etch process may be a diluted hydrofluoric acid (DHF) treatment or a vapor hydrofluoric acid (VHF) treatment for a suitable time. These type of etch processes have high selectivity between the dielectric layer 101, the wafer 200, and the wafer 100, so that the wafer 100 and the wafer 200 are not significantly attacked during the removal of the dielectric layer 101. Note further that the protective component 201 protects portions of the dielectric layer 101 under the static elements 202 during the wet etch process.
In FIG. 1 g, a wafer 300 is illustrated at an intermediate stage of processing. The wafer 300 may comprise a contact pad 302, an interconnect structure 301 on the wafer 300, and a dielectric layer 303 on the interconnect structure 301. The wafer 300 may comprise similar materials as the wafers 100 and 200, such as a bulk silicon wafer, any semiconductor substrate, ceramic substrate, quartz substrate, or the like, but need not be the same material.
The wafer 300 may include active and passive devices (not shown in FIG. 1 g). As one of ordinary skill in the art will recognize, a wide variety of active and passive devices such as transistors, capacitors, resistors, combinations of these, and the like may be used to generate the structural and functional requirements of the design for the MEMS device 1. The active and passive devices may be formed using any suitable methods.
The contact pad 302 may be formed on a top surface of wafer 300 and in electrical contact with the interconnect structure 301 in order to provide external connections to the active and passive devices, the movable element 203, and the static elements 202. The contact pad 302 may comprise aluminum, copper, the like, or a combination thereof. The contact pad 302 may be formed using a deposition process, such as sputtering, to form a layer of material (not shown) and portions of the layer of material may then be removed through a suitable process (such as photolithographic masking and etching) to form the contact pad 302. However, any other suitable process may be utilized to form the contact pad 302.
The interconnect structure 301 may be formed on the top surface of the wafer 300. The interconnect structure 301 may provide electrical and physical connections between and/or to the active and passive devices, the movable element 203, and the static elements 202 and external devices through the contact pads 403 and the through substrate via (“TSV”) 400 (also known as a “through semiconductor via” or a “through silicon via”) (see FIG. 1 k). The interconnect structure 301 may comprise any number or combination of metallization layers, inter-metal dielectric (IMD) layers, vias, and passivation layers. The interconnect structure 301 depicted in FIG. 1 g comprises three metallization layers in IMD layers (connections depicted in metallization layers in the figures not intended to implicate specific connections). Vias are formed between metallization layers in the IMD layers. The metallization layers are formed by depositing an IMD layer, etching the metallization pattern of the layer in the IMD layer using, for example, acceptable photolithography techniques, depositing a conductive material for the metallization in the IMD, and removing any excess conductive material by, for example, CMP. The photolithography technique may include a single damascene process or a dual damascene process, particularly when vias are formed through an IMD to an underlying metallization layer.
The IMD layers can be an oxide dielectric, such as a silicon dioxide (SiO2), borophosphosilicate glass (BPSG), or other dielectric materials. The conductive material of the metallization layers may be, for example, copper, nickel, aluminum, copper aluminum, tungsten, titanium, gold, silver, combinations of these, such as alloys, or the like. The metallization layers may include barrier layers between the conductive material and the IMD material, and other dielectric layers, such as etch stop layers made of, for example, silicon nitride, may be formed between the IMD layers.
The dielectric layer 303 is formed on the interconnect structure 301. The dielectric layer 303 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, or a combination thereof. The dielectric layer 303 may be deposited through a process such as chemical vapor deposition (CVD), a spin-on-glass process, although any acceptable process may be utilized.
FIG. 1 h illustrates the formation and patterning of bonding material 304 on the dielectric layer 303. The bonding material 304 may be made of aluminum copper, germanium, gold, the like, or a combination thereof. The bonding material 304 may be formed using PVD, such as sputtering or evaporation, the like, a combination thereof, or other acceptable methods. The bonding material 304 may be patterned using acceptable lithography techniques.
In FIG. 1 i, the structure comprising wafers 100 and 200 is bonded to the wafer 300 structure. The two structures may be bonded together by eutectic bonding between the bonding material 204 (on wafer 200) and bonding material 304 (on wafer 300). The eutectic bonding process may form a hermetic seal and electrical connection between the two structures allowing the movable element 203 and the static elements 202 to form electrical connections to external components, for example, through the contact pads 403 and TSV 400 on wafer 300 (see FIG. 1 k). A bond force of larger than 35 kN and a temperature of larger than 400° C. can be applied to get a good bond strength. In an embodiment, a vacuum chamber may be used as a bonding chamber. However, in another embodiment, the bond chamber has atmospheric pressure.
The resulting structure, as illustrated in FIG. 1 i, shows a MEMS structure comprising a movable element 203 and static elements 202 with an upper cavity 102 above and a lower cavity 305 below. The upper cavity 102 is formed by the removal of portions of the dielectric layer 101 and the cavity below is formed by the bonding materials 204 and 304. The pressure level of the cavities may be controlled by the bonding process. In an embodiment, the cavities 102 and 305 are at a vacuum as a result of being bonded in a vacuum chamber. The upper and lower cavities 102 and 305 adjoin in the spaces between the static elements 202 and the movable element 203. The upper cavity 102 and the lower cavity 305 form a single cavity surrounding the movable element 203. The supporting post 500 comprises center portions of bonding materials 204 and 304, a center static element 202, a center portion of dielectric layer 101, and center portions of the protective component 201. The supporting post 500 is in the cavity comprising the upper cavity 102 and the lower cavity 305.
FIG. 1 j illustrates the thinning of the wafers 100 and 300 after the bonding process. The thinning process may include grinding and CMP processes, etch back processes, or other acceptable processes. Wafer 300 may be thinned to reduce the amount of processing time for the subsequent TSV formation process. Further, wafers 100 and 300 may be thinned to reduce the overall package size of the MEMS device 1. In an embodiment the wafer 100 may be thinned to a thickness between about 300 μm and 100 μm and the wafer 300 may be thinned to a thickness less than 100 μm, such as 80 μm.
The thinning of wafer 300 and wafer 100 may reduce the overall strength of the MEMS device 1. The inventors discovered that the formation of the supporting post 500 may reduce the deformation of wafer 300 that may be caused by the pressure difference between the cavities and the external area, the process strain, and external force. The supporting post 500 may reduce the strain impact on wafer 300 by up to 80%. The supporting post 500 may also provide additional points for the movable element 203 to be anchored to the static elements 202 by hinges, springs, beams, or the like. In an embodiment, the movable element 203 may be anchored to only the outer static elements 202. In another embodiment, the movable element may be anchored only to the center static element 202 of the supporting post 500. In yet another embodiment, the movable element 203 may be anchored to both the outer static elements 202 and the center static element 202. This configuration flexibility may also allow flexibility in the layout of the active and passive devices and interconnects in the interconnect structure 301 and wafer 300.
In FIG. 1 k, the TSV 400 and contact pads 403 are formed on the backside of wafer 300. The TSV 400 extends from a backside surface of wafer 300 to the contact pad 302 which is on the top surface of wafer 300. The contact pads 403 may be coupled, directly or indirectly, to metal interconnects in the interconnect structure 301. TSV 400 may be formed by forming a recess in the wafer 300 by, for example, etching, milling, laser techniques, the like, or a combination thereof. A thin barrier layer 401 may be conformally deposited over the back side of the wafer 300 and in the recess, such as by CVD, atomic layer deposition (ALD), PVD, thermal oxidation, the like, or a combination thereof. The barrier layer 401 may comprise a nitride or an oxynitride, such as titanium nitride, titanium oxynitride, tantalum nitride, tantalum oxynitride, tungsten nitride, the like, or a combination thereof. A conductive material may be deposited over the thin barrier layer and in the recess. The conductive material may be formed by an electro-chemical plating process, CVD, ALD, PVD, the like, or a combination thereof. Examples of conductive materials are copper, tungsten, aluminum, silver, gold, combinations of these, such as alloys, or the like. The conductive material may be patterned to form the contact pads 403 and the TSV conductive material 402.
FIG. 2 illustrates a plan view of the static elements 202, the movable element 203, and the center static element 202 of the supporting post 500. As shown in FIG. 2, the outer static element 202 encircles the movable element 203 with a space between the movable element 203 and the outer static element 202. Similarly, the movable element 203 encircles the center static element 202 of the supporting post 500. As discussed above, the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202.
Although the present embodiment is described in relation to a motion sensor, other types of MEMS devices are also within the contemplated scope of this disclosure. For example, a device structure including a supporting post could be applied to an accelerometer device or a gyroscope device. Further, the device structure could be applied to any MEMS device structure having a low pressure cavity or a thin capping wafer.
FIGS. 3 a through 3 e illustrate a method of forming another embodiment of a MEMS device 1. Details regarding this embodiment that are similar to those for the previously described embodiment will not be repeated herein. In this embodiment, the wafer 200 and wafer 300 are formed together and then bonded to wafer 100 to form the cavities surrounding the movable element 203. The upper cavity 102 is formed by recessing the wafer 100 and the lower cavity 305 is formed by removing portions of the dielectric layer 303.
FIG. 3 a illustrates a wafer 100 at an intermediate stage of processing. In FIG. 3 a, the recessing of wafer 100 and the forming and patterning of bonding material 105 is illustrated. The wafer 100 may be recessed to form the upper cavity 102. The recesses may be formed by etching, milling, or other acceptable processes as discussed above. In an alternative embodiment, the recesses could be formed by epitaxially growing the raised portions of the wafer 100 from a top surface the wafer 100 within trenches or openings formed in a patterned layer atop wafer 100. The bonding material is formed and patterned on wafer 100 similar to bonding material 204 in FIG. 1 e.
In FIG. 3 b, the processing of a wafer 300 is at an intermediate stage of processing in which the interconnect structure 301 is formed atop the wafer 300, as has been previously described. In an embodiment, the dielectric layer 303 may be formed, as has been previously described, and patterned to form openings in dielectric layer 303 using acceptable lithography techniques.
In FIG. 3 c, a protective component 201 is deposited in the openings in the dielectric layer 303 and a wafer 200 is placed atop the dielectric layer 303 and the protective component 201. The wafer 200 is patterned to form a movable element 203, static elements 202, and lower cavity 305. The wafer 200 may comprise similar materials as described in the previous embodiment. In an alternative embodiment, the cavity 305 may be formed by depositing or epitaxially growing wafer 200 on the dielectric layer 303, patterning the wafer 200, and selectively etching portions of the dielectric layer 303 as has been previously described.
FIG. 3 d illustrates the formation of vias 205 and the forming and patterning of bonding material 204. The vias 205 are formed through the static elements 202 and the dielectric layer 303 to a metal interconnect on a top surface of the interconnect structure 301. The vias 205 may provide for electrical and physical connection between the wafer 200 and the interconnect structure 301 which may allow for connections to external devices through the contact pads 403 and the TSV 400 (see FIG. 3 f). The vias 205 may be formed by similar processes and materials as has been previously described. The bonding material 204 is formed and patterned on the wafer 200. The bonding material 204 may be formed and patterned by similar processes and materials as has been previously described.
In FIG. 3 e, the lower cavity 305 is formed by the removal of a portion of the dielectric layer 303. The resulting structure is a MEMS device 1 having a movable element 203 formed over a lower cavity 305 to allow for free movement in at least one axis as has been previously described. Details regarding the etching process are similar to those previously described, and the details are not repeated herein.
In FIG. 3 f, the structure comprising wafers 200 and 300 is bonded to wafer 100 forming the supporting post 500. The bonding process between bonding material 105 and bonding material 204 is similar to the process described in the previous embodiment. The supporting post 500 comprises a raised portion of wafer 100, center portions of bonding materials 105 and 204, a center static element 202, and a center portion of dielectric layer 303. After the bonding process, the wafer 100 and the wafer 300 may be thinned by methods as previously described. The barrier layer 401, TSV 400, TSV conductive material 402, and contact pads 403 may be formed as previously described to enable connections to external devices.
As shown in FIG. 3 f, the upper and lower cavities 102 and 305 are adjoined in the spaces between the static elements 202 and the movable element 203. The upper and lower cavities 102 and 305 form a single cavity surrounding the movable element 203 and the supporting post 500.
FIG. 4 illustrates yet another embodiment of MEMS device 1. In this embodiment, the bonding process is between the wafer 100 and the wafer 300. The wafer 200 is formed on the dielectric layer 303 which is formed on the interconnect structure 301 of wafer 300. The wafer 200 and the dielectric layer 303 are patterned to remove them from the edges of wafer 300 to allow the direct connection between wafer 100 and wafer 300. Details regarding this embodiment that are similar to those for the previously described embodiment will not be repeated herein.
FIG. 5 illustrates another embodiment of MEMS device 1. In this embodiment, the device includes multiple supporting posts 500. The supporting posts 500 are laterally adjacent to each other. The upper cavity 102 and the lower cavity 305 form a single cavity surrounding the movable element 203 and the supporting posts 500. Details regarding this embodiment that are similar to those for the previously described embodiment will not be repeated herein.
FIG. 6 a illustrates a plan view of the static elements 202, the movable element 203, and center static element 202 of the supporting post 500 of the embodiment illustrated in 5. As shown in FIG. 6 a, the movable element has been patterned to allow two supporting posts 500 to be formed between the wafer 100 and the wafer 300 (see FIG. 5). As discussed above, the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202. In an embodiment, the movable element may only be supported from the outer static element 202.
FIG. 6 b illustrates another plan view of the static elements 202, the movable element 203, and center static element 202 of the supporting post 500 of the embodiment illustrated in 5. As shown in FIG. 6 b, the movable element has been patterned to allow four supporting posts 500 to be formed between the wafer 100 and the wafer 300 (see FIG. 5). As discussed above, the movable element 203 may be supported by hinges, springs, beams, or the like (not shown) which extend from the static elements 202. In an embodiment, the movable element may only be supported from the outer static element 202. Although the present embodiments have been described in relation to a MEMS device one, two, or four supporting posts, other configurations of supporting posts are also within the contemplated scope of this disclosure.
An embodiment is a method for forming a microelectromechanical system (MEMS) device comprising forming a MEMS structure over a first substrate, wherein the MEMS structure comprises a movable element and an adjacent static element; forming a cavity surrounding the movable element; forming an interconnect structure on a second substrate; depositing a first dielectric layer on the interconnect structure; and bonding the MEMS structure to the first dielectric layer, wherein the static element forms a first supporting post in the cavity, the first supporting post configured to support the second substrate.
Another embodiment is a method of forming a MEMS device comprising forming an interconnect structure on a first substrate; depositing a dielectric layer on the interconnect structure; patterning the dielectric layer to form a central portion and two outer portions; bonding or depositing a MEMS wafer to the patterned dielectric layer; patterning the MEMS wafer to form a movable element, a central static element, and an outer static element, the movable element encircling the central static element, and the outer static element encircling the movable element; and depositing a first bonding material on the central static element and the outer static element. The method further comprising forming two recesses in a second substrate, wherein the recesses form a central raised portion of the second substrate and two outer raised portions of the second substrate; depositing a second bonding material on the central raised portion and the outer raised portions of the second substrate; and bonding the MEMS wafer to the second substrate, wherein the central portion of the dielectric layer, the central static element, the first bonding material on the central static element, the second bonding material on the central raised portion, and the central raised portion form a first supporting post.
A further embodiment is a MEMS device comprising a MEMS structure above a first substrate, wherein the MEMS structure comprises a movable element, a central static element, and an outer static element, wherein the movable element is suspended above the first substrate, the movable element is laterally separated from the outer static element by a first spacing, and the movable element is laterally separated from the central static element by a second spacing; and a central portion of a bonding material between the first substrate and a bottom surface of the central static element. The device further comprising a second substrate above the MEMS structure; a central portion of a first dielectric layer between the second substrate and a top surface of the central static element; and a supporting post, the supporting post comprising the central portion of the bonding material, the central static element, and the central portion of the first dielectric layer.
Although the present embodiments and their advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods, and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (21)

What is claimed is:
1. A method of forming a MEMS device comprising:
forming an interconnect structure on a first substrate;
depositing a dielectric layer on the interconnect structure;
patterning the dielectric layer to form a central portion and two outer portions;
bonding a MEMS wafer to the patterned dielectric layer;
patterning the MEMS wafer to form a movable element, a central static element, and an outer static element, the movable element encircling the central static element, and the outer static element encircling the movable element;
depositing a first bonding material on the central static element and the outer static element;
forming two recesses in a second substrate, wherein the recesses form a central raised portion of the second substrate and two outer raised portions of the second substrate;
depositing a second bonding material on the central raised portion and the outer raised portions of the second substrate; and
bonding the MEMS wafer to the second substrate, wherein the central portion of the dielectric layer, the central static element, the first bonding material on the central static element, the second bonding material on the central raised portion, and the central raised portion form a first supporting post.
2. The method of claim 1 further comprising:
before the forming the interconnect structure, forming a contact pad on a top surface of the first substrate;
thinning a backside of the first substrate;
thinning a backside of the second substrate;
forming an opening in the backside of the first substrate, wherein a bottom surface of the opening adjoins the contact pad;
depositing a conductive material on the backside of the first substrate and in the opening; and
patterning the conductive material to form a via in the opening and contact pads on the backside of the first substrate.
3. The method of claim 1, wherein the bonding further comprises:
forming a cavity above and below the MEMS wafer, the cavity surrounding the first supporting post.
4. The method of claim 3, wherein the bonding the MEMS wafer to the second substrate forms a second supporting post in the cavity, wherein the second supporting post is laterally spaced from the first supporting post.
5. A method for forming a microelectromechanical system (MEMS) device comprising:
forming an interconnect structure on a first substrate, the interconnect structure comprising more than one metal layer;
forming a MEMS structure over the interconnect structure, wherein the MEMS structure comprises a movable element and an adjacent static element;
forming a cavity surrounding the movable element; and
bonding a second substrate to at least one of the MEMS structure and the interconnect structure, wherein the static element forms a first supporting post in the cavity, the first supporting post configured to support the first substrate.
6. The method of claim 5, wherein the bonding further forms a second supporting post in the cavity, wherein the second supporting post is laterally spaced from the first supporting post, the second supporting post configured to support the first substrate.
7. The method of claim 5, wherein the movable element is not supported by the first supporting post.
8. The method of claim 5 further comprising:
before the forming the interconnect structure, forming a contact pad on a top surface of the first substrate;
thinning a backside of the first substrate;
forming an opening in the backside of the first substrate, wherein a bottom surface of the opening adjoins the contact pad;
depositing a conductive material on the backside of the first substrate and in the opening; and
patterning the conductive material to form a via in the opening and contact pads on the backside of the first substrate.
9. The method of claim 5, wherein the bonding further comprises:
depositing a first bonding material on the MEMS structure;
depositing a second bonding material on the second substrate;
bringing the first bonding material and the second bonding material into contact;
creating a hermetic seal and electrical connection between the first bonding material and the second bonding material; and
creating a vacuum in the cavity.
10. The method of claim 9, wherein the first supporting post comprises:
a portion of the first bonding material;
a portion of the second bonding material; and
the static element of the MEMS structure.
11. The method of claim 5 further comprising:
the forming the MEMS structure further comprises:
depositing a first dielectric layer on the interconnect structure;
forming openings in the first dielectric layer;
depositing a protective component in the openings;
bonding a MEMS wafer to the first dielectric layer;
patterning the MEMS wafer to form the movable element, wherein the movable element encircles a central static element, and the movable element is encircled by an outer static element; and
the forming the cavity further comprises:
removing portions of the first dielectric layer between the movable element and the first substrate, wherein the removed portions of the first dielectric layer are not protected by the protective component, and the removing forms a central portion of the first dielectric layer between the first substrate and the central static element.
12. The method of claim 11, wherein the central portion of the first dielectric layer is between two protective components.
13. The method of claim 5, wherein the forming the cavity further comprises:
recessing the second substrate; and
removing portions of a first dielectric layer, the first dielectric layer being between the interconnect structure and the MEMS structure.
14. The method of claim 5, wherein the bonding further comprises:
depositing a first bonding material on the interconnect structure;
depositing a second bonding material on the second substrate;
bringing the first bonding material and the second bonding material into contact;
creating a hermetic seal and electrical connection between the first bonding material and the second bonding material; and
creating a vacuum in the cavity.
15. The method of claim 5 further comprising:
forming a first dielectric layer on the interconnect structure, the MEMS structure being formed over the first dielectric layer; and
removing portions of the first dielectric layer to form the cavity surrounding the movable element and to expose outer portions of the interconnect structure, the second substrate being bonded to the interconnect structure at these outer portions of the interconnect structure.
16. The method of claim 15, wherein an outer portion of the second substrate laterally encircles the MEMS structure.
17. A method of forming a MEMS device comprising:
forming an interconnect structure on a first substrate;
depositing a dielectric layer on the interconnect structure;
patterning the dielectric layer to form a central portion and two outer portions;
bonding a MEMS wafer to the patterned dielectric layer;
patterning the MEMS wafer to form a movable element, a central static element, and an outer static element, the movable element encircling the central static element, and the outer static element encircling the movable element;
depositing a first bonding material on the central static element and an outer portion of the interconnect structure;
depositing a second bonding material on a second substrate; and
bonding the second substrate to the MEMS wafer and the interconnect structure, wherein the central portion of the dielectric layer, the central static element, the first bonding material on the central static element, and the second bonding material on the second substrate form a first supporting post.
18. The method of claim 17 further comprising:
forming two recesses in the second substrate, wherein the recesses form a central raised portion of the second substrate and two outer raised portions of the second substrate, the central static element being bonded to the central raised portion of the second substrate and the two outer raised portions being bonded to the interconnect structure.
19. The method of claim 17 further comprising:
before the forming the interconnect structure, forming a contact pad on a top surface of the first substrate;
thinning a backside of the first substrate;
forming an opening in the backside of the first substrate, wherein a bottom surface of the opening adjoins the contact pad;
depositing a conductive material on the backside of the first substrate and in the opening; and
patterning the conductive material to form a via in the opening and contact pads on the backside of the first substrate.
20. The method of claim 17, wherein the bonding the second substrate to the MEMS wafer and the interconnect structure forms a second supporting post, wherein the second supporting post is laterally spaced from the first supporting post.
21. A method for forming a microelectromechanical system (MEMS) device comprising:
forming an interconnect structure on a first substrate;
forming a MEMS structure over the interconnect structure, wherein the MEMS structure comprises a movable element and a static element, the movable element encircling the static element;
forming a cavity surrounding the movable element; and
bonding a second substrate to at least one of the MEMS structure and the interconnect structure, wherein the static element forms a first supporting post in the cavity, the first supporting post configured to support the first substrate.
US13/450,223 2012-04-18 2012-04-18 MEMS device structure and methods of forming same Expired - Fee Related US9139420B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/450,223 US9139420B2 (en) 2012-04-18 2012-04-18 MEMS device structure and methods of forming same
CN201210390963.8A CN103373695B (en) 2012-04-18 2012-10-15 MEMS device architecture and forming method thereof
TW101140051A TWI500573B (en) 2012-04-18 2012-10-30 Mems device and methods of forming same
US14/860,505 US9802816B2 (en) 2012-04-18 2015-09-21 MEMS device structure and methods of forming same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/450,223 US9139420B2 (en) 2012-04-18 2012-04-18 MEMS device structure and methods of forming same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/860,505 Division US9802816B2 (en) 2012-04-18 2015-09-21 MEMS device structure and methods of forming same

Publications (2)

Publication Number Publication Date
US20130277777A1 US20130277777A1 (en) 2013-10-24
US9139420B2 true US9139420B2 (en) 2015-09-22

Family

ID=49379320

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/450,223 Expired - Fee Related US9139420B2 (en) 2012-04-18 2012-04-18 MEMS device structure and methods of forming same
US14/860,505 Active 2032-08-05 US9802816B2 (en) 2012-04-18 2015-09-21 MEMS device structure and methods of forming same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/860,505 Active 2032-08-05 US9802816B2 (en) 2012-04-18 2015-09-21 MEMS device structure and methods of forming same

Country Status (3)

Country Link
US (2) US9139420B2 (en)
CN (1) CN103373695B (en)
TW (1) TWI500573B (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9796582B1 (en) 2016-11-29 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer
US9828234B2 (en) 2016-04-29 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor MEMS structure and manufacturing method thereof
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9884758B2 (en) 2016-01-15 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Selective nitride outgassing process for MEMS cavity pressure control
US9919914B2 (en) 2014-01-16 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices including MEMS dies and connectors thereto
US9938134B2 (en) 2016-04-14 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Getter electrode to improve vacuum level in a microelectromechanical systems (MEMS) device
US9957156B2 (en) 2016-03-24 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Bond rings in semiconductor devices and methods of forming same
US10025442B2 (en) 2016-05-20 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitive fingerprint sensor
US10029910B1 (en) 2017-03-02 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of MEMS device structure with cavities
US10035700B2 (en) 2015-09-03 2018-07-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10065852B2 (en) 2016-09-26 2018-09-04 Taiwan Semiconductor Manufacturing Company Ltd. MEMS device and manufacturing method thereof
US10087071B2 (en) 2016-10-25 2018-10-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10097030B2 (en) 2016-04-29 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd Packaged semiconductor devices with wireless charging means
US10131541B2 (en) 2016-07-21 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS devices having tethering structures
US10160639B2 (en) 2016-06-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure for MEMS Device
US10202278B2 (en) 2016-09-02 2019-02-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with cavity spacing monitoring functions
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10280076B2 (en) 2016-04-15 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10618801B2 (en) 2016-11-10 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS structure with bilayer stopper and method for forming the same
US10737936B2 (en) 2016-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for fabricating the same
US10865103B2 (en) 2015-12-31 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
US11289404B2 (en) 2020-01-17 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11434129B2 (en) 2015-11-06 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for fabricating the same
US11841561B2 (en) 2021-04-22 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon photonic device with backup light paths

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9041213B2 (en) * 2013-03-14 2015-05-26 Freescale Semiconductor Inc. Microelectromechanical system devices having through substrate vias and methods for the fabrication thereof
EP3052901B8 (en) * 2013-10-03 2018-08-29 Robert Bosch GmbH Inertial and pressure sensors on single chip
DE102014202220B3 (en) * 2013-12-03 2015-05-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing a cover substrate and coated radiation-emitting component
US9791470B2 (en) * 2013-12-27 2017-10-17 Intel Corporation Magnet placement for integrated sensor packages
US20150225230A1 (en) * 2014-02-07 2015-08-13 Infineon Technologies Dresden Gmbh Support for mems cover
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US10442685B2 (en) * 2014-03-31 2019-10-15 Nxp Usa, Inc. Microelectronic packages having hermetic cavities and methods for the production thereof
US9522822B2 (en) * 2014-05-13 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Sensor integration with an outgassing barrier and a stable electrical signal path
DE102014210945A1 (en) * 2014-06-06 2015-12-17 Robert Bosch Gmbh MEMS device with a stress decoupling structure and component with such a MEMS device
US9630835B2 (en) * 2014-08-25 2017-04-25 Texas Instruments Incorporated Wafer level packaging of MEMS
US9567204B2 (en) 2014-08-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectrochemical systems (MEMS) device having a seal layer arranged over or lining a hole in fluid communication with a cavity of the MEMS device
US9394161B2 (en) * 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US10131540B2 (en) 2015-03-12 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method to mitigate soldering offset for wafer-level chip scale package (WLCSP) applications
US9637372B2 (en) * 2015-04-27 2017-05-02 Nxp Usa, Inc. Bonded wafer structure having cavities with low pressure and method for forming
US10273148B2 (en) * 2015-08-14 2019-04-30 Taiwan Semiconductor Manufacturing Company Ltd. Micro-electro-mechanical system and manufacturing method thereof
US9695039B1 (en) 2016-03-24 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-pressure MEMS package
US10056310B2 (en) * 2016-09-26 2018-08-21 International Business Machines Corporation Electrolytic seal
US11097942B2 (en) 2016-10-26 2021-08-24 Analog Devices, Inc. Through silicon via (TSV) formation in integrated circuits
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10343895B2 (en) 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro-mechanical system (MEMS) structure including isolation ring at sidewalls of semiconductor via and method for forming the same
US10301171B1 (en) * 2017-11-13 2019-05-28 Globalfoundries Singapore Pte. Ltd. Wafer level packaging for MEMS device
US10793421B2 (en) 2017-11-13 2020-10-06 Vanguard International Semiconductor Singapore Pte. Ltd. Wafer level encapsulation for MEMS device
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
CN109956447A (en) * 2017-12-25 2019-07-02 中芯国际集成电路制造(上海)有限公司 A kind of MEMS device and preparation method, electronic device
FR3077283B1 (en) * 2018-01-30 2021-09-17 Commissariat Energie Atomique METHOD OF ENCAPSULATION OF A MICROELECTRONIC DEVICE, INCLUDING A STAGE OF THINNING OF THE SUBSTRATE AND / OR OF THE ENCAPSULATION HOOD
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
EP3786108A1 (en) * 2019-08-30 2021-03-03 Imec VZW Fabrication method for a mems device
CN112909024B (en) * 2021-02-03 2022-08-02 武汉华星光电半导体显示技术有限公司 Display panel, preparation method thereof and display device

Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5510298A (en) 1991-09-12 1996-04-23 Texas Instruments Incorporated Method of interconnect in an integrated circuit
US5767001A (en) 1993-05-05 1998-06-16 Siemens Aktiengesellschaft Process for producing semiconductor components between which contact is made vertically
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6184060B1 (en) 1996-10-29 2001-02-06 Trusi Technologies Llc Integrated circuits and methods for their fabrication
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6448168B1 (en) 1997-09-30 2002-09-10 Intel Corporation Method for distributing a clock on the silicon backside of an integrated circuit
US6465892B1 (en) 1999-04-13 2002-10-15 Oki Electric Industry Co., Ltd. Interconnect structure for stacked semiconductor device
US6538333B2 (en) 2000-06-16 2003-03-25 Chartered Semiconductor Manufacturing Ltd. Three dimensional IC package module
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US6664129B2 (en) 1996-10-29 2003-12-16 Tri-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6962872B2 (en) 2002-12-09 2005-11-08 International Business Machines Corporation High density chip carrier with integrated passive devices
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7071546B2 (en) 2002-01-16 2006-07-04 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US7122912B2 (en) 2004-01-28 2006-10-17 Nec Electronics Corporation Chip and multi-chip semiconductor device using thereof and method for manufacturing same
US7157787B2 (en) 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7193308B2 (en) 2003-09-26 2007-03-20 Seiko Epson Corporation Intermediate chip module, semiconductor device, circuit board, and electronic device
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US20090261430A1 (en) * 2008-04-22 2009-10-22 Denso Corporation Physical quantity sensor and method for manufacturing the same
US20100252898A1 (en) * 2009-04-06 2010-10-07 Denso Corporation Semiconductor device and method of manufacturing the same
US20110042761A1 (en) * 2009-03-30 2011-02-24 Freescale Semiconductor, Inc. Eutectic flow containment in a semiconductor fabrication process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100772321B1 (en) * 2006-06-14 2007-10-31 매그나칩 반도체 유한회사 Package of mems device and method for manufacturing the same
JP4792143B2 (en) * 2007-02-22 2011-10-12 株式会社デンソー Semiconductor device and manufacturing method thereof
JP4607153B2 (en) * 2007-07-12 2011-01-05 株式会社日立製作所 Method for manufacturing micro electromechanical system element
US8507358B2 (en) * 2010-08-27 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Composite wafer semiconductor
CN102180435B (en) * 2011-03-15 2012-10-10 迈尔森电子(天津)有限公司 Integrated micro electro-mechanical system (MEMS) device and forming method thereof

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510298A (en) 1991-09-12 1996-04-23 Texas Instruments Incorporated Method of interconnect in an integrated circuit
US5767001A (en) 1993-05-05 1998-06-16 Siemens Aktiengesellschaft Process for producing semiconductor components between which contact is made vertically
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US6664129B2 (en) 1996-10-29 2003-12-16 Tri-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6740582B2 (en) 1996-10-29 2004-05-25 Tru-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US6184060B1 (en) 1996-10-29 2001-02-06 Trusi Technologies Llc Integrated circuits and methods for their fabrication
US6639303B2 (en) 1996-10-29 2003-10-28 Tru-Si Technolgies, Inc. Integrated circuits and methods for their fabrication
US6448168B1 (en) 1997-09-30 2002-09-10 Intel Corporation Method for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6465892B1 (en) 1999-04-13 2002-10-15 Oki Electric Industry Co., Ltd. Interconnect structure for stacked semiconductor device
US6472293B2 (en) 1999-04-13 2002-10-29 Oki Electric Industry Co., Ltd. Method for manufacturing an interconnect structure for stacked semiconductor device
US6693361B1 (en) 1999-12-06 2004-02-17 Tru-Si Technologies, Inc. Packaging of integrated circuits and vertical integration
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6538333B2 (en) 2000-06-16 2003-03-25 Chartered Semiconductor Manufacturing Ltd. Three dimensional IC package module
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US7071546B2 (en) 2002-01-16 2006-07-04 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US7157787B2 (en) 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6962867B2 (en) 2002-07-31 2005-11-08 Microntechnology, Inc. Methods of fabrication of semiconductor dice having back side redistribution layer accessed using through-silicon vias and assemblies thereof
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7355273B2 (en) 2002-07-31 2008-04-08 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, methods
US6962872B2 (en) 2002-12-09 2005-11-08 International Business Machines Corporation High density chip carrier with integrated passive devices
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US7193308B2 (en) 2003-09-26 2007-03-20 Seiko Epson Corporation Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7122912B2 (en) 2004-01-28 2006-10-17 Nec Electronics Corporation Chip and multi-chip semiconductor device using thereof and method for manufacturing same
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US20090261430A1 (en) * 2008-04-22 2009-10-22 Denso Corporation Physical quantity sensor and method for manufacturing the same
US20110042761A1 (en) * 2009-03-30 2011-02-24 Freescale Semiconductor, Inc. Eutectic flow containment in a semiconductor fabrication process
US20100252898A1 (en) * 2009-04-06 2010-10-07 Denso Corporation Semiconductor device and method of manufacturing the same

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10508023B2 (en) 2014-01-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices including MEMS dies and connectors thereto
US9919914B2 (en) 2014-01-16 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices including MEMS dies and connectors thereto
US10710871B2 (en) 2014-01-16 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices including MEMS dies and connectors thereto
US11117796B2 (en) 2014-01-16 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices including MEMS dies and connectors thereto
US10035700B2 (en) 2015-09-03 2018-07-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11434129B2 (en) 2015-11-06 2022-09-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for fabricating the same
US11713241B2 (en) 2015-12-31 2023-08-01 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
US10865103B2 (en) 2015-12-31 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
US11078075B2 (en) 2015-12-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
US9884758B2 (en) 2016-01-15 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Selective nitride outgassing process for MEMS cavity pressure control
US9957156B2 (en) 2016-03-24 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Bond rings in semiconductor devices and methods of forming same
US10351418B2 (en) 2016-03-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Bond rings in semiconductor devices and methods of forming same
US9938134B2 (en) 2016-04-14 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Getter electrode to improve vacuum level in a microelectromechanical systems (MEMS) device
US10280076B2 (en) 2016-04-15 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11192775B2 (en) 2016-04-26 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Rough layer for better anti-stiction deposition
US10273141B2 (en) 2016-04-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rough layer for better anti-stiction deposition
US10787360B2 (en) 2016-04-29 2020-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor MEMS structure
US11837517B2 (en) 2016-04-29 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices with wireless charging means
US11767216B2 (en) 2016-04-29 2023-09-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor MEMS structure
US9828234B2 (en) 2016-04-29 2017-11-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor MEMS structure and manufacturing method thereof
US11251644B2 (en) 2016-04-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Packaged semiconductor devices with wireless charging means
US10273142B2 (en) 2016-04-29 2019-04-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor MEMS structure
US10097030B2 (en) 2016-04-29 2018-10-09 Taiwan Semiconductor Manufacturing Co., Ltd Packaged semiconductor devices with wireless charging means
US10651675B2 (en) 2016-04-29 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd Packaged semiconductor devices with wireless charging means
US10025442B2 (en) 2016-05-20 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitive fingerprint sensor
US10737936B2 (en) 2016-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for fabricating the same
US10752497B2 (en) 2016-06-27 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure for MEMS device
US10160639B2 (en) 2016-06-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure for MEMS Device
US11312623B2 (en) 2016-06-27 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure for MEMS device
US10131541B2 (en) 2016-07-21 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS devices having tethering structures
US10202278B2 (en) 2016-09-02 2019-02-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with cavity spacing monitoring functions
US10065852B2 (en) 2016-09-26 2018-09-04 Taiwan Semiconductor Manufacturing Company Ltd. MEMS device and manufacturing method thereof
US10087071B2 (en) 2016-10-25 2018-10-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10618801B2 (en) 2016-11-10 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS structure with bilayer stopper and method for forming the same
US10745271B2 (en) 2016-11-29 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer
US9796582B1 (en) 2016-11-29 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer
US10472233B2 (en) 2016-11-29 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer
US11342266B2 (en) 2016-11-29 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer
US9834435B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10138116B2 (en) 2016-11-29 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for integrating complementary metal-oxide-semiconductor (CMOS) devices with microelectromechanical systems (MEMS) devices using a flat surface above a sacrificial layer
US10029910B1 (en) 2017-03-02 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of MEMS device structure with cavities
US11776881B2 (en) 2020-01-17 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11289404B2 (en) 2020-01-17 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11841561B2 (en) 2021-04-22 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon photonic device with backup light paths

Also Published As

Publication number Publication date
US20130277777A1 (en) 2013-10-24
CN103373695A (en) 2013-10-30
CN103373695B (en) 2016-06-08
TW201343533A (en) 2013-11-01
US9802816B2 (en) 2017-10-31
TWI500573B (en) 2015-09-21
US20160009551A1 (en) 2016-01-14

Similar Documents

Publication Publication Date Title
US9802816B2 (en) MEMS device structure and methods of forming same
US9422153B2 (en) Support structure for TSV in MEMS structure
US10155659B2 (en) Vacuum sealed MEMS and CMOS package
US10087069B2 (en) Semiconductor devices with moving members and methods for making the same
US10486964B2 (en) Method for forming a micro-electro mechanical system (MEMS) including bonding a MEMS substrate to a CMOS substrate via a blocking layer
US10160633B2 (en) MEMS devices and fabrication methods thereof
TWI543280B (en) Method of manufacturing mems device
US9085455B2 (en) MEMS devices and methods for forming same
US8633554B2 (en) MEMS device etch stop
CN104045048B (en) Stacket semiconductor device and forming method thereof
US10155655B2 (en) MEMS devices and fabrication methods thereof
US8742595B1 (en) MEMS devices and methods of forming same
US9546090B1 (en) Integrated MEMS-CMOS devices and methods for fabricating MEMS devices and CMOS devices
US10160640B2 (en) Mechanisms for forming micro-electro mechanical system device
US10494252B2 (en) MEMS devices and methods of manufacturing the same
CN103204456A (en) Support structure for TSV in MEMS structure
US20230037849A1 (en) Method and system for fabricating a mems device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, CHANG-CHIA;FAN, CHEN-CHIH;CHOU, BRUCE C.S.;REEL/FRAME:028085/0855

Effective date: 20120411

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20230922