US9450181B2 - Semiconductor memory and method of manufacturing the same - Google Patents

Semiconductor memory and method of manufacturing the same Download PDF

Info

Publication number
US9450181B2
US9450181B2 US14/288,321 US201414288321A US9450181B2 US 9450181 B2 US9450181 B2 US 9450181B2 US 201414288321 A US201414288321 A US 201414288321A US 9450181 B2 US9450181 B2 US 9450181B2
Authority
US
United States
Prior art keywords
films
semiconductor
memory
layer
stacked
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/288,321
Other versions
US20140264227A1 (en
Inventor
Masahiro Kiyotoshi
Akihito Yamamoto
Yoshio Ozawa
Fumitaka Arai
Riichiro Shirota
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kioxia Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to US14/288,321 priority Critical patent/US9450181B2/en
Publication of US20140264227A1 publication Critical patent/US20140264227A1/en
Priority to US15/231,616 priority patent/US10056433B2/en
Assigned to KABUSHIKI KAISHA TOSHIBA reassignment KABUSHIKI KAISHA TOSHIBA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHIROTA, RIICHIRO, OZAWA, YOSHIO, ARAI, FUMITAKA, KIYOTOSHI, MASAHIRO, YAMAMOTO, AKIHITO
Application granted granted Critical
Publication of US9450181B2 publication Critical patent/US9450181B2/en
Assigned to TOSHIBA MEMORY CORPORATION reassignment TOSHIBA MEMORY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KABUSHIKI KAISHA TOSHIBA
Priority to US16/102,958 priority patent/US11101325B2/en
Assigned to TOSHIBA MEMORY CORPORATION reassignment TOSHIBA MEMORY CORPORATION CHANGE OF NAME AND ADDRESS Assignors: K.K. PANGEA
Assigned to KIOXIA CORPORATION reassignment KIOXIA CORPORATION CHANGE OF NAME AND ADDRESS Assignors: TOSHIBA MEMORY CORPORATION
Assigned to K.K. PANGEA reassignment K.K. PANGEA MERGER (SEE DOCUMENT FOR DETAILS). Assignors: TOSHIBA MEMORY CORPORATION
Priority to US17/381,911 priority patent/US11937437B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H01L45/12
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • H01L27/1052
    • H01L27/115
    • H01L27/11568
    • H01L27/24
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • H10B63/845Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays the switching components being connected to a common vertical conductor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/028Formation of the switching material, e.g. layer deposition by conversion of electrode material, e.g. oxidation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/823Device geometry adapted for essentially horizontal current flow, e.g. bridge type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Definitions

  • the present invention relates to a semiconductor memory and a method of manufacturing the same.
  • Flash memories are widely used to store large-volume data in, e.g., cell phones, digital still cameras (DSCs), USB memories, and silicon audio, and the markets of these flash memories keep extending due to the reduction in manufacturing cost per bit (bit cost) resulting from rapid scaling of the device dimension. New applications are also rapidly rising. The result is a favorable cycle in which the rapid scaling-down and the reduction in manufacturing cost find new markets.
  • a NAND flash memory has achieved a practical cross-point cell by allowing a plurality of active areas (AAs) to share a gate electrode (GC), and its simple structure allows rapid progress of scaling.
  • NAND flash memories are beginning to be widely used for storage purposes in, e.g., the USB memories and silicon audio described above, since the above-mentioned rapid scaling-down reduces the bit cost.
  • the recent NAND flash memories are leading devices of LSI (Large Scale Integration) scaling, and the minimum half pitch has reached 0.1 ⁇ m or less even on the mass-production level.
  • the present inventor therefore, has invented a stacked memory as a semiconductor memory structure capable of relatively easily increasing the bit density of memory elements, without entirely depending upon micropatterning of the lithography techniques, and a method of manufacturing the stacked memory.
  • Patent reference 7 United States Patent Application Publication Pub. No. US2005/0012220A1
  • Patent reference 8 United States Patent Application Publication Pub. No. US2005/0014322A1
  • a semiconductor memory comprises a plurality of stripe-like active areas formed by stacking, in a direction perpendicular to a substrate, a plurality of layers extending parallel to the substrate, a first gate electrode formed on first side surfaces of the active areas, the first side surfaces being perpendicular to the substrate, a second gate electrode formed on second side surfaces of the active areas, the second side surfaces being perpendicular to the substrate, and wherein the layers are patterned in self-alignment with each other, intersections of the active areas and the first gate electrode form a plurality of memory cells, and the plurality of memory cells in an intersecting plane share the first gate electrode.
  • a semiconductor memory manufacturing method comprises depositing a plurality of layers on a substrate, forming a plurality of stripe-like active areas by processing the layers in self-alignment with each other, and forming a plurality of gate electrodes intersecting the active areas in a longitudinal direction thereof, wherein each of the active areas uses, as a channel region, at least one of two side surfaces perpendicular to the substrate, intersections of the active areas and the gate electrodes form memory cells, and a plurality of memory cells in an intersecting plane share the gate electrode.
  • FIG. 1 is a perspective view showing a main manufacturing step of a semiconductor memory according to the first embodiment of the present invention
  • FIG. 2 is a sectional view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention
  • FIG. 3 is a sectional view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention.
  • FIG. 4 is a perspective view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention.
  • FIG. 5 is a perspective view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention.
  • FIG. 6 is a plan view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention.
  • FIG. 7 is a sectional view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention.
  • FIG. 8 is a sectional view showing a main manufacturing step of a semiconductor memory according to the second embodiment of the present invention.
  • FIG. 9 is a perspective view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention.
  • FIG. 10 is a sectional view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention.
  • FIG. 11 is a perspective view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention.
  • FIG. 12 is a perspective view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention.
  • FIG. 13 is a sectional view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention.
  • FIG. 14 is a sectional view showing a main manufacturing step of a semiconductor memory according to the third embodiment of the present invention.
  • FIG. 15 is a sectional view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention.
  • FIG. 16 is a perspective view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention.
  • FIG. 17 is a perspective view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention.
  • FIG. 18 is a perspective view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention.
  • FIG. 19 is a sectional view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention.
  • FIG. 20 is a perspective view showing a main manufacturing step of a semiconductor memory according to the fourth embodiment of the present invention.
  • FIG. 21 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fourth embodiment of the present invention.
  • FIG. 22 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fourth embodiment of the present invention.
  • FIG. 23 is a perspective view showing a main manufacturing step of a semiconductor memory according to the fifth embodiment of the present invention.
  • FIG. 24 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fifth embodiment of the present invention.
  • FIG. 25 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fifth embodiment of the present invention.
  • FIG. 26 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fifth embodiment of the present invention.
  • FIG. 27 is a sectional view showing a plane perpendicular to AA regions of the semiconductor memories according to the first to third embodiments;
  • FIG. 28 is a sectional view showing a plane perpendicular to AA regions of the semiconductor memory according to the fourth embodiment.
  • FIG. 29 is a sectional view showing a plane perpendicular to AA regions of the semiconductor memory according to the fifth embodiment.
  • FIG. 30 is a sectional view showing a main manufacturing step of a semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 31 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention:
  • FIG. 32 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 33 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 34 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 35 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 36 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 37 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 38 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 39 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 40 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention.
  • FIG. 41 is a sectional view of a semiconductor memory according to a modification of the sixth embodiment of the present invention.
  • FIG. 42 is a sectional view showing a main manufacturing step of a semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 43 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 44 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 45 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 46 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 47 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 48 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 49 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 50 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 51 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 52 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention.
  • FIG. 53 is a sectional view showing a main manufacturing step of a semiconductor memory according to the eighth embodiment of the present invention.
  • FIG. 54 is a sectional view showing a main manufacturing step of the semiconductor memory according to the eighth embodiment of the present invention.
  • FIG. 55 is a sectional view showing a main manufacturing step of the semiconductor memory according to the eighth embodiment of the present invention.
  • FIG. 56 is a sectional view showing a main manufacturing step of a semiconductor memory according to the ninth embodiment of the present invention.
  • FIG. 57 is a sectional view showing a main manufacturing step of the semiconductor memory according to the ninth embodiment of the present invention.
  • FIG. 58 is a sectional view showing a main manufacturing step of the semiconductor memory according to the ninth embodiment of the present invention.
  • FIG. 59 is a sectional view showing a semiconductor memory according to a modification of the ninth embodiment of the present invention.
  • FIG. 60 is a sectional view showing a main manufacturing step of a semiconductor memory according to the 10th embodiment of the present invention.
  • FIG. 61 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 10th embodiment of the present invention.
  • FIG. 62 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 10th embodiment of the present invention.
  • FIG. 63 is a sectional view showing a main manufacturing step of a semiconductor memory according to the 11th embodiment of the present invention:
  • FIG. 64 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 11th embodiment of the present invention.
  • FIG. 65 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 11th embodiment of the present invention.
  • a semiconductor memory that is a stacked memory in which a plurality of active area (AA) layers are stacked parallel to a substrate, and these stacked AAs are controlled by a common gate electrode (GC).
  • AA active area
  • GC common gate electrode
  • a semiconductor memory manufacturing method comprising a step of stacking, as AA layers, a plurality of single-crystal silicon layers, polysilicon layers, or amorphous silicon layers to be finally converted into polysilicon layers, via interlayer dielectrics or single-crystal silicon germanium films, and simultaneously processing the stacked layers, thereby forming a plurality of AAs stacked parallel to a substrate, and a step of forming a conductor film serving as a GC on the entire substrate surface, and processing the conductor film by reactive ion etching (RIE) or chemical-mechanical polishing (CMP), thereby forming GCs of the stacked AAs at once.
  • RIE reactive ion etching
  • CMP chemical-mechanical polishing
  • the stacked memory and the method of manufacturing the same described above can further increase the bit density compared to the conventional memories and methods.
  • the stacked memory has layer selection gate transistors for selecting a layer among the stacked layers. This makes it possible to use peripheral circuits without largely changing the conventional flash memories, while the number of times of lithography is small and the bit density is high.
  • FIGS. 1 to 7 is a perspective, sectional, or plan view showing a semiconductor memory (flash memory) according to the first embodiment of the present invention in a predetermined main manufacturing step.
  • semiconductor memory flash memory
  • This embodiment is directed to a two-layered memory in which single-crystal silicon layers serving as AA regions are formed by solid-phase epitaxial growth.
  • a silicon thermal oxide film 102 serving as an interlayer dielectric (ILD) is formed to have a thickness of, e.g., about 50 nm on a semiconductor substrate 101 .
  • the conventional lithography technique and etching technique are used to expose portions of the substrate as seeds of solid-phase growth.
  • An amorphous silicon film about, e.g., 60 nm thick is formed on the entire surface of the substrate.
  • annealing is performed to cause solid-phase epitaxial growth of the amorphous silicon film from the exposed portions of the substrate, thereby forming a single-crystal silicon film 103 about, e.g., 60 nm thick on the thermal oxide film 102 .
  • a CVD silicon oxide film 104 serving as an interlayer dielectric is formed to have a thickness of, e.g., about 50 nm. Then, the conventional lithography technique and RIE technique are used to expose portions of the substrate as seeds of solid-phase growth again. An amorphous silicon film about, e.g., 60 nm thick is formed on the entire surface of the substrate. Subsequently, annealing is performed to cause solid-phase growth of the amorphous silicon film from the exposed portions of the substrate, thereby forming a single-crystal silicon film 105 about, e.g., 60 nm thick on the CVD silicon oxide film 104 .
  • a silicon thermal oxide film 106 about, e.g., 2 nm thick is formed on the single-crystal silicon film 305 , a silicon nitride film 107 about, e.g., 60 nm thick is formed on the silicon thermal oxide film 106 , and a CVD silicon oxide film 108 about, e.g., 100 nm thick is formed on the silicon nitride film 107 .
  • the conventional lithography technique and RIE technique are used to sequentially remove the CVD silicon oxide film 108 , silicon nitride film 107 , silicon thermal oxide film 106 , single-crystal silicon film 105 , CVD silicon oxide film 104 , single-crystal silicon film 103 , and silicon thermal oxide film 102 from a peripheral circuit portion, thereby exposing the surface of the semiconductor substrate 101 .
  • a thermal oxide film 109 serving as a gate oxide film of a high-voltage transistor is formed to have a thickness of, e.g., about 35 nm on the exposed surface of the semiconductor substrate 101 .
  • a thermal oxide film 110 serving as a gate oxide film of a low-voltage transistor is formed to have a thickness smaller than that of the thermal oxide film 109 .
  • a polysilicon film 111 serving as a gate electrode is formed to have a thickness of, e.g., 100 nm on the entire substrate surface.
  • the conventional transistor formation procedure is used to form transistors 112 and shallow trench isolations (STIs) 113 in the peripheral circuit portion.
  • An interlayer dielectric 114 is formed on the entire substrate surface, and the upper surface of the interlayer dielectric 114 is planarized.
  • the conventional lithography technique and RIE technique are used to process the polysilicon film 111 and CVD silicon oxide film 108 .
  • the processed polysilicon films 111 and CVD silicon oxide films 108 are used as hard masks to sequentially process the silicon nitride film 107 , CVD silicon oxide film 106 , single-crystal silicon film 105 , CVD silicon oxide film 104 , and single-crystal silicon film 103 , and over-etch the silicon thermal oxide film 102 , thereby forming stripe patterns serving as AA regions of a multilayered memory.
  • the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the processing damage.
  • silicon thermal oxide films/CVD silicon nitride films/ALD-alumina films 115 serving as memory dielectric layers are sequentially formed.
  • phosphorus (P)-doped polysilicon films 116 serving as gate electrodes are filled between the AAs.
  • This embodiment processes the gate electrodes by using the conventional lithography technique and reactive ion etching. Consequently, the stacked AA regions share the gate electrodes.
  • diffusion layers serving as source/drain regions are formed by gas phase doping (GPD), and spin-on-glass (SOG) films 117 serving as interlayer dielectrics are filled between the gate electrodes made of the polysilicon films 116 .
  • contact plugs connecting to the AA regions and gate electrodes (GCs) are formed. That is, the conventional lithography technique and RIE technique are used to partially remove the upper AA regions of the stacked AA regions, and the interlayer dielectrics 117 are filled. After the upper surfaces of the interlayer dielectrics 117 are planarized, contact plugs 118 and 119 are formed.
  • the contact plugs 118 correspond to the upper AA regions (AA21 to AA25), and the contact plugs 119 correspond to the lower AA regions (AA11 to AA15).
  • One contact plug is formed over two AA regions, and the contact plugs at the two ends of each AA region are in zigzag alignment with each other by one active area. Accordingly, an arbitrary AA region can be selected by selecting two contact plugs.
  • FIG. 6 is a plan view showing the arrangement of the contact plugs.
  • Multilayered interconnection formation steps start after the formation of the contact plugs. These steps form interlayer dielectrics 120 , 123 , and 126 , interconnections 122 , 125 , and 127 , and contact plugs 121 and 124 . Although a flash memory is formed by repeating the above procedure, the details will not be explained. FIG. 7 shows the final shape.
  • This embodiment achieves the storage density twice that of the conventional memories.
  • the AA regions are stacked on the substrate, each of AA region processing and GC processing need only be performed once as in the conventional unstacked memories.
  • the source/drain area of the cell transistor is formed by GPD.
  • the cell transistor is SOI structure. Because of this, it is possible that it is used as a cell transistor of depletion type. For example, instead of forming the source/drain area by doping, it dopes impurities such as P at the time of forming an amorphous silicon film or it dopes impurities such as P after forming the single-crystal silicon film 103 . By this process, impurities are doped in the single crystal silicon film 103 of the cell region uniformly, the depletion layer which appears by providing a voltage in the gate electrode is used, and ON/off of the cell transistor works.
  • each cell transistor has an SOI structure, and this SOI structure is a double-gate structure in which the gate electrodes (GCs) sandwich the AA region. This makes the structure of the present invention tough against the short-channel effect.
  • GCs gate electrodes
  • the channel region is formed perpendicularly to the substrate, and hence the channel width can be set regardless of the design rules. This achieves the advantage that it is possible to manufacture a transistor tough against the narrow-channel effect as well.
  • the structure of this embodiment can increase the bit density without any micropatterning, and does not increase the number of critical lithography steps which are essential, for minimum half pitch patterning.
  • FIGS. 8 to 13 is a perspective or sectional view showing a semiconductor memory (flash memory) according to the second embodiment of the present invention in a predetermined main manufacturing step.
  • This embodiment is directed to a four-layered memory in which single-crystal silicon layers serving as AA regions are formed by sequentially stacking and growing epitaxial silicon and epitaxial silicon germanium, and removing the silicon germanium films by selective etching.
  • a plasma CVD silicon oxide film about, e.g., 100 nm thick is formed on the entire substrate surface.
  • the conventional lithography technique and reactive ion etching are used to simultaneously process the plasma CVD silicon oxide film and layered epitaxial films in a cell region.
  • an epitaxial silicon film 210 about, e.g., 100 nm thick is formed on the exposed end faces of the layered epitaxial films.
  • the conventional lithography technique and RIE technique are used to remove the plasma CVD silicon oxide film from a contact plug region.
  • the remaining plasma CVD silicon oxide film is used as a mask to etch the epitaxial silicon germanium films and epitaxial silicon films with an aqueous alkaline solution.
  • the stacked epitaxial films are facet-etched at an inclination angle of 45° as shown in FIG. 8 .
  • a thermal oxide film 211 serving as a gate oxide film of a high-voltage transistor is formed to have a thickness of, e.g., about 35 nm.
  • the conventional lithography technique and wet etching are used to remove the thermal oxide film 211 and the above-mentioned plasma CVD silicon oxide film from a low-voltage transistor region, and a thermal oxide film 212 serving as a gate oxide film of a low-voltage transistor is formed.
  • a 100-nm thick polysilicon film serving as a gate electrode is formed on the entire substrate surface.
  • the conventional transistor formation procedure is used to process the polysilicon film, form diffusion layers serving as source/drain regions, form transistors 213 and STIs 214 in a peripheral circuit portion, and selectively form a silicon nitride film 215 in the cell portion.
  • an interlayer dielectric 216 is formed on the entire substrate surface, and the upper surface of the interlayer dielectric 216 is planarized.
  • the conventional lithography technique and reactive ion etching are used to simultaneously process the interlayer dielectric film 216 , silicon nitride film 215 , and layered epitaxial films in the cell region into stripe patterns of AA regions.
  • the epitaxial silicon films serving as AA regions are stacked via the epitaxial silicon germanium films. Since this makes RIE processing relatively easy, it is possible to form even the stripe patterns of AA regions of a multilayered memory having a large number of layers.
  • the epitaxial silicon germanium films of the layered epitaxial films are removed by selective etching.
  • the selective etching is wet etching by mixture of fluoric acid and nitric acid or gas etching with CF 4 /O 2 system. This forms a shape in which the linear AA regions float as they are supported at their two ends by the epitaxial silicon film 210 and the silicon nitride film 215 formed in the facet-etched region.
  • an SOG film 217 is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with the SOG film 217 .
  • this embodiment employs the SOG film filled in the inter-layer spaces, it is also possible to fill a flowable dielectric by Chemical Vapor Condensation or the like.
  • the epitaxial silicon film 203 about, e.g., 60 nm thick, the epitaxial silicon germanium film 204 about, e.g., 20 nm thick are formed.
  • the epitaxial silicon germanium film 204 is removed by etching to form the space.
  • the epitaxial silicon film 203 on the top and bottom of the space is thermally oxidized to form the thermally oxide film between the epitaxial silicon films 203 .
  • this embodiment can be filled the insulating film in the inter-layer spaces.
  • the SOG film 217 is etched back by reactive ion etching and left behind only between the vertically stacked AA regions. This forms interlayer dielectrics between the stacked AA regions.
  • the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage.
  • thermal oxide films/CVD silicon nitride films/ALD-hafnium oxide films 218 serving as memory dielectric layers are sequentially formed.
  • phosphorus (P)-doped polysilicon films 219 serving as gate electrodes are filled between the AA regions.
  • This embodiment processes the gate electrodes by using the conventional lithography technique and reactive ion etching. This forms gate electrodes (GCs) that the stacked AA regions share in the plane perpendicular to the AA regions.
  • GCs gate electrodes
  • diffusion layers are formed by gas phase doping, and an SOG film 220 serving as an interlayer dielectric is filled between the gate electrodes and planarized.
  • SOG film 220 serving as an interlayer dielectric is filled between the gate electrodes and planarized.
  • silicidation of GCs is performed.
  • underlying memory layer is influenced with the thermal budget of upper memory layer formation, therefore it is difficult to employ GC silicidation process which is fragile against thermal treatment.
  • This invention has an advantage of easier employment of silicidation since silicidation should be done only at once after GCs formation. After that, contact plugs 221 connecting to the AA regions and CG electrodes are formed.
  • contact plugs connecting to the AAs can be simultaneously formed because the end portions of the AA regions are offset by facet etching.
  • multilayered interconnection formation steps begin. These steps form interlayer dielectrics 222 and 225 , interconnections 223 and 226 , and contact plugs 224 , thereby forming a flash memory.
  • the details will not be explained, and only the final shape is shown. Note that the SOG film 220 is intentionally unshown in the cell portion illustrated in FIG. 13 in order to clearly show the relationship between the AA regions and gate electrodes (GCs).
  • GCs gate electrodes
  • This embodiment achieves the storage density four times that of the conventional memory having only one memory layer.
  • the AA regions are stacked on the substrate, each of AA region processing and GC processing need only be performed once as in the conventional memory having only one storage layer. The result is the advantage that the number of processing steps does not largely increase.
  • the structure of the present invention is tough against the short-channel effect and narrow-channel effect.
  • the cell transistor of depletion type similar to the first embodiment, it is used as a cell transistor of depletion type.
  • it dopes impurities such as P at the time of forming the epitaxial silicon films 203 or it dopes impurities such as P after forming the epitaxial silicon films 203 .
  • impurities are doped in the epitaxial silicon films 203 of the cell region uniformly, the depletion layer which appears by providing a voltage in the gate electrode is used, and ON/off of the cell transistor works.
  • the epitaxial silicon germanium film is removed by selective etching after the lamination layer of the epitaxial silicon film/the epitaxial silicon germanium film process to cell size by micropatterning. Accordingly the etching amount is little.
  • the minute processing whose precision is high is possible because of the lamination layer of silicon/silicon germanium which is the same kind of film.
  • an active area is processed to the size of (about the cell area) of about a several microns ⁇ several microns.
  • the epitaxial silicon germanium film is removed from the processed end part by wet etching under the condition. After the insulating (misspelling) film is formed in that gap, it is possible that it is processed into the line-shaped in full detail as well as the first embodiment.
  • the structure of this embodiment can increase the bit density without any special micropatterning, and does not increase the number of lithography steps (generally, AA processing, GC processing, formation of contact plugs to cells, and extraction of interconnections from the contact plugs in a memory) requiring the minimum half pitch, particularly, the numbers of AA processing steps and GC processing steps.
  • lithography steps generally, AA processing, GC processing, formation of contact plugs to cells, and extraction of interconnections from the contact plugs in a memory
  • FIGS. 14 to 19 is a perspective or sectional view showing a semiconductor memory (flash memory) according to the third embodiment of the present invention in a predetermined main manufacturing step.
  • This embodiment is directed to a four-layered memory similar to the second embodiment, but gate electrodes are processed by using the CMP technique.
  • each epitaxial silicon germanium films 302 and four epitaxial silicon films 303 are alternately stacked on a semiconductor substrate 301 , and a silicon nitride film 304 and plasma CVD silicon oxide film 305 are formed on the layered epitaxial films.
  • the conventional lithography technique and etching technique are used to expose the end portions of the layered epitaxial films in a cell portion, and an epitaxial silicon film 306 is formed on the exposed portions.
  • the silicon nitride film 304 and plasma CVD silicon oxide film 305 are removed from a contact plug region by using the conventional lithography technique and RIE technique.
  • an SOG film 307 is formed by coating so as to have a thickness with which the SOG film 307 does not fill the recess, thereby forming a gentle slope shape as shown in FIG. 14 .
  • the SOG film 307 is used as a mask to etch back the stacked epitaxial films. Since the mask film thickness changes from one portion to another, the stacked epitaxial films are processed into a shape reflecting the gentle slope shape of the SOG film 307 as shown in FIG. 15 .
  • transistors 308 and STIs 309 of high- and low-voltage circuits are formed, an interlayer dielectric 310 is formed, and the upper surface of the interlayer dielectric 310 is planarized.
  • the conventional lithography technique and reactive ion etching are used to simultaneously process the interlayer dielectric film 310 , silicon nitride film 304 , and layered epitaxial films in the cell region into stripe patterns of AA regions.
  • the epitaxial silicon germanium films 303 of the layered epitaxial films are removed by selective etching.
  • the selective etching is wet etching by mixture of flioroc acid and nitric acid or gas etching with CF 4 /O 2 system. This forms a shape in which the linear AA regions float as they are supported at their two ends by the epitaxial silicon film 306 and interlayer dielectric 310 .
  • SOG is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with an SOG film 311 .
  • the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage.
  • thermal oxide films/CVD silicon nitride films/ALD-lanthanum aluminum oxide films 312 serving as memory dielectric layers are sequentially formed.
  • CVD titanium nitride films 313 serving as gate electrodes are filled in the gaps, and planarized by CMP. Since this embodiment processes the gate electrodes by CMP, metal electrodes can be easily processed.
  • the use of metal electrodes is relatively easy because the damage of GC processing requiring a relatively high temperature can be removed before the metal electrodes are filled.
  • an interlayer dielectric 314 is formed and planarized, and contact plugs 315 connecting to the AA regions and gate electrodes (GCs) are formed.
  • Contact plugs connecting to the AA regions can be simultaneously formed because the end portions of the AA regions are offset into the shape of a gentle slope by etching using the SOG film.
  • a multilayered interconnection is formed by forming interlayer dielectrics 316 and 319 , interconnections 317 and 320 , and contact plugs 318 , thereby forming a flash memory.
  • the details will not be explained, and only the final shape is shown.
  • this embodiment achieves the storage density four times that of the conventional memory having only one memory layer.
  • this embodiment forms the AA regions by stacking four layers on the substrate, each of AA processing and GC processing need only be performed once as in the conventional memory having only one memory layer.
  • the structure of this embodiment is tough against the short-channel effect and narrow-channel effect.
  • this embodiment can increase the degree of integration of cells without any micropatterning, and does not increase the number of lithography steps requiring the minimum half pitch.
  • FIGS. 20 to 22 are perspective views showing a semiconductor memory (flash memory) according to the fourth embodiment of the present invention in predetermined main manufacturing steps.
  • This embodiment is directed to a four-layered memory similar to the third embodiment, but implements a dual-gate electrode structure.
  • layered epitaxial films are formed by alternately stacking four epitaxial silicon germanium films and four epitaxial silicon films 402 on a semiconductor substrate 401 following the same procedure as in the third embodiment, and a peripheral circuit portion is formed in the same manner as in the first to third embodiments.
  • a silicon nitride film 403 and the layered epitaxial films in a cell region are simultaneously processed into stripe patterns of AA regions by using the conventional lithography technique and reactive ion etching, and only the epitaxial silicon germanium films of the layered epitaxial films are removed by selective wet etching.
  • SOG is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with SOG films 404 .
  • the conventional lithography technique and reactive ion etching are used to etch back the silicon oxide film described above, thereby forming gaps as templates of gate electrodes.
  • the width of the template is “3F” (“E” is the minimum half pitch) in this embodiment.
  • the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage. Then, thermal oxide films/CVD silicon nitride films/ALD-silicon oxide films 405 serving as memory dielectric layers and phosphorus (P)-doped polysilicon films 406 are sequentially filled in the gaps, and planarized by CMP. Similar to the third embodiment, this embodiment processes the gate electrodes by CMP, and hence requires no high-aspect-ratio RIE patterning.
  • a plasma CVD silicon oxide film 407 serving as an interlayer dielectric is formed on the entire substrate surface, and contact plugs 408 communicating with the gate electrodes are formed. These contact plugs are formed into a zigzag pattern. Then, interconnections that connect the contact plugs are formed. These interconnections connect alternate phosphorus (P)-doped polysilicon films 405 filled between the AA regions adjacent to each other in the horizontal direction. That is, a dual-gate electrode structure in which two independent gate electrodes sandwich one AA region is formed.
  • contact plugs connecting to the AA regions and gate electrodes (GCs) are formed, and a flash memory is formed through multilayered interconnection formation steps.
  • GCs gate electrodes
  • information can be independently written in the two thermal oxide films/CVD silicon nitride films/ALD-silicon oxide films sandwiching the AA region.
  • the structure of this embodiment is tough against the short-channel effect and narrow-channel effect.
  • the structure of the fourth embodiment can increase the bit density without any special micropatterning, and does not increase the number of lithography steps requiring the minimum half pitch.
  • FIGS. 23 to 26 are perspective views showing a semiconductor memory (flash memory) according to the fifth embodiment of the present invention in predetermined main manufacturing steps.
  • This embodiment is directed to a four-layered memory similar to the second to fourth embodiments, but forms back gate electrodes for improving the erase characteristic of memory cells.
  • layered epitaxial films are formed by alternately stacking four epitaxial silicon germanium films and four epitaxial silicon films 502 on a semiconductor substrate 501 following the same procedure as in the second to fourth embodiments, and a peripheral circuit portion is formed in the same manner as in the first to fourth embodiments.
  • a plasma CVD silicon oxide film 503 , a silicon nitride film 504 , and the layered epitaxial films in a cell region are simultaneously processed into stripe patterns of AA regions by using the conventional lithography technique and reactive ion etching, and only the epitaxial silicon germanium films of the layered epitaxial films are removed by selective etching.
  • the selective etching is wet etching by mixture of fluoric acid and nitric acid or gas etching with CF 4 /O 2 system.
  • SOG is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with SOG films 505 .
  • back gate electrodes parallel to the AAs are formed. That is, the conventional lithography technique and RIE technique are used to etch back alternate SOG films 505 filled between the AAs, thereby forming gaps as templates of back gate electrodes. Since the processing is performed on every other SOG film, no minimum half pitch patterning is necessary.
  • the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage.
  • gate oxide films 506 are formed, and a P-doped polysilicon film 507 for forming gate electrodes is filled.
  • the P-doped polysilicon film is recessed to remain in only the gaps described above. Note that the upper portions of the P-doped polysilicon films are made lower than the uppermost surface of the substrate, so that the gaps remain above the P-doped polysilicon films.
  • silicon nitride films 508 are filled in the gaps above the P-doped polysilicon films, and recessed by RIE again. After that, templates of GC electrodes to be formed into stripes in a direction perpendicular to the AAs are formed.
  • the conventional lithography and reactive ion etching are used to etch back the plasma CVD silicon oxide films 503 , further etch back every other SOG film 505 in the gap between the AAs where no back gate electrode is formed, and finally recess the silicon nitride films 508 , thereby forming trenches as templates of GC electrodes.
  • the end faces of the AA regions exposed in the trenches by the processing are thermally oxidized, and the thermal oxide films are removed by dry preprocessing, thereby removing the process damage.
  • Thermal oxide films/CVD silicon nitride films/ALD-hafnium aluminum oxide films 509 serving as memory dielectric layers and P-doped polysilicon films 510 are sequentially formed. Subsequently, CMP is used to leave the P-doped polysilicon films in only the trenches.
  • the two gate electrodes sandwiching the AA region can be independently controlled, the gate electrode can be controlled with respect to each cell, and a plurality of AA regions share the back gate electrode.
  • This structure does not change the write characteristic.
  • the structure improves the erase characteristic because it is possible during data erase to forcedly remove electric charge from the hafnium aluminum oxide film/silicon nitride film/silicon oxide film by applying an electric field to the back gate electrode.
  • the structure of this embodiment is tough against the short-channel effect and narrow-channel effect.
  • the structure of the fifth embodiment can increase the bit density without any special micropatterning, and does not increase the number of lithography steps requiring the minimum half pitch.
  • the present invention basically has three structures different in GC electrode arrangement, and each structure is identifiable by the section in a plane perpendicular to AA regions.
  • the AAs are formed by alternately stacking epitaxial silicon films 601 and insulating films 602 .
  • the first to third embodiments are directed to a double-gate structure in which gate electrodes 604 connected to each other and having the same potential sandwich each AA region via a memory dielectric layer 603 .
  • the fourth embodiment is directed to a dual-gate structure in which two types of gate electrodes 604 and 605 that axe independently controllable sandwich each AA region via a memory dielectric layer 603 .
  • FIG. 28 shows two different sections in order to illustrate zigzag interconnections to the gate electrodes.
  • the fifth embodiment is directed to a dual-gate structure in which back gate electrodes 607 parallel to AA regions are filled between the AA regions via gate insulating films 605 , gate electrodes 604 perpendicular to the AA regions are connected to each other, and the gate electrode 604 and back gate electrode 607 sandwich each AA region.
  • the first to fifth embodiments have shown the forms of two- and four-layered memories.
  • the methods of these embodiments are evidently applicable to memories having larger numbers of layers.
  • the use of these embodiments makes it possible to continuously increase the degree of integration of memories in the future, so various application fields presumably extend.
  • the stacked AA regions share the source contact, and axe connected to the peripheral circuit by individually forming the drain contacts. In embodiments to be explained below, however, each AA region is selected on the source side.
  • FIGS. 30 to 40 is a sectional or perspective view showing a semiconductor memory (flash memory) according to the sixth embodiment of the present invention in a predetermined main fabrication step.
  • This embodiment is directed to a four-layered stacked memory similar to the second to fourth embodiments.
  • this embodiment uses polysilicon films obtained by crystallizing amorphous silicon films as AA regions, and uses layer selection transistors that select individual layers.
  • silicon oxide films 702 and four amorphous silicon films are alternately stacked on a semiconductor substrate 701 , and a silicon nitride film 703 and a silicon oxide film 704 serving as a hard mask are formed on top of the structure.
  • the amorphous silicon films are crystallized by annealing to form polysilicon films 705 .
  • the stacked films are removed from a peripheral circuit portion, and B-doped polysilicon films 706 are formed on the sidewalls of the stacked films, thereby connecting the polysilicon films 705 .
  • Transistors 707 forming a peripheral circuit are formed on the surface of the semiconductor substrate 701 exposed by removing the stacked films.
  • the obtained structure is planarized by filling an interlayer dielectric 708 .
  • the conventional lithography technique and RIE technique are used to simultaneously process the stacked silicon oxide film 704 , silicon nitride film 703 , silicon oxide films 702 , and polysilicon films 705 into stripe patterns of AA regions, thereby forming stacked AAs.
  • the side surfaces of the polysilicon films are thermally oxidized, the thermal oxide films are removed by dry pre-treatment, and silicon thermal oxide films/CVD-silicon nitride films/ALD-alumina films 709 serving as memory dielectric layers are sequentially formed.
  • gate electrodes 710 that the stacked AAs share.
  • These gate electrodes are used as masks to ion-implant As by the conventional ion implantation technique. After that, the sidewalls of the gate electrodes are oxidized to activate diffusion layers and form extension regions.
  • it dopes impurities such as P at the time of depositing the polysilicon films 705 or it dopes impurities such as P after forming the polysilicon films 705 .
  • impurities are doped in the polysilicon films 705 of the cell region uniformly, the depletion layer which appears by applying a voltage to the gate electrode is used for ON/Off operation of the cell transistor.
  • an SOG film is filled between the gate electrodes and converted into a silicon oxide film, thereby forming an interlayer dielectric 711 .
  • the conventional lithography technique and RIE technique are used to expose the first and third polysilicon films 705 .
  • the conventional lithography technique and RIE technique are used to expose the second and fourth polysilicon films 705 .
  • the entire substrate surface is etched back to expose the surfaces and side surfaces of the polysilicon films 705 .
  • gate oxide films/gate electrode films are formed and patterned by the conventional lithography technique and RIE technique, thereby forming layer selection gate transistors 712 for selecting layers.
  • the formation of the layer selection gate transistors forms a tri-gate structure in which gate electrodes exist in three directions of the channel region. This increases the controllability of the gates and improves the cutoff performance.
  • an interlayer dielectric 713 is formed on the entire substrate surface, contact holes connecting to the AA regions, gate electrodes, and peripheral circuit are formed, and a conductor film is filled, thereby forming contact plugs 714 on the source and drain sides, interconnections 715 between the layer selection gates and source-side contacts and between the drain-side contacts and peripheral circuit, and word line contact plugs connecting to the gate electrodes.
  • a flash memory is formed by forming interlayer dielectrics 716 , 717 , and 718 , contact plugs 719 and 720 , and interconnections 721 and 722 in multilayered interconnection formation steps.
  • interlayer dielectrics 716 , 717 , and 718 contact plugs 719 and 720 , and interconnections 721 and 722 in multilayered interconnection formation steps.
  • contact plugs 719 and 720 contact plugs 719 and 720
  • interconnections 721 and 722 in multilayered interconnection formation steps.
  • an arbitrary cell can be selected by first selecting one of the first to fourth layers by the layer gate transistor, selecting an AA region in the layer by the drain-side contact plug, and then selecting a gate electrode.
  • an arbitrary cell can be selected by selecting two AA regions sharing a source contact by a select gate transistor, selecting a desired AA region from a plurality of AA regions sharing the select gate electrode by a drain-side contact plug, and selecting a gate electrode. Therefore, the cell selecting operation is substantially the same as that of this embodiment.
  • This makes it possible to implement the peripheral circuit and the like by a circuit configuration similar to that of the conventional NAND flash memory. That is, this embodiment has high affinity for the design of the conventional NAND flash memory, compared to the method of extracting the drain-side contact for each layer disclosed in the first to fifth embodiments.
  • each AA region can also be formed by a single-crystal silicon film in the same manner as described in the first to fifth embodiments.
  • Select gates 723 as used in the conventional NAND flash memory may also be formed in a cell structure similar to that of this embodiment.
  • FIG. 41 shows an example.
  • the select gate 723 selects one of stacked NAND chain arrays
  • the layer selection gate transistor 712 selects one of the first to fourth layers
  • the drain-side contact plug 714 selects an AA region in the layer
  • the gate electrode 710 selects a cell in the same AA region.
  • select gates allow the above-mentioned layer selection gate transistors to be simultaneously formed, and high cutoff performance is readily achieved by forming the select gates on the semiconductor substrate.
  • the layer selection gates also have the following advantage in addition to implementing a stacked memory without largely increasing the number of times of lithography as described above.
  • the layer selection gate transistors are formed as in this embodiment, the numbers of contacts on the source and drain sides of the AA regions are the same as in the conventional unstacked NAND flash memory, and the connection to the peripheral circuit is also the same as in the conventional memory.
  • the gate electrode that drives each layer selection gate transistor is extracted parallel to the control gate electrode of each cell. Therefore, the method of connecting the gate electrodes and the peripheral circuit is also basically the same as in the conventional unstacked NAND flash memory. That is, the number of select gates that originally each exist for one NAND chain increases in accordance with the number of stacked layers. This results in the big advantage that the peripheral circuit need not be largely changed.
  • FIGS. 42 to 52 are sectional views showing a semiconductor memory (flash memory) according to the seventh embodiment of the present invention in predetermined main manufacturing steps.
  • This embodiment is an example in which single-crystal silicon layers axe stacked as in the first to fifth embodiments.
  • a memory cell region is dug down beforehand in order to increase the flatness of the structure.
  • dummy gate electrodes are used to form diffusion layers.
  • a silicon oxide film 802 as a hard mask is formed on a semiconductor substrate 801 , and a memory cell region is dug down by the conventional lithography technique and RIE technique.
  • a plasma CVD silicon oxide film 803 is formed on the entire surface, and left behind on only the sidewalls of the dug region by RIE that leaves sidewalls behind.
  • FIG. 43 eight epitaxial silicon germanium films 804 and eight epitaxial silicon films 805 are selectively sequentially stacked.
  • a P-doped polysilicon film 806 is formed on the entire substrate surface, and left behind on only the sidewalls of the stacked epitaxial films by PIE etch back.
  • a silicon nitride film 807 and a silicon oxide film 808 serving as a hard mask are formed on top of the structure.
  • transistors 809 forming a peripheral circuit are formed on the surface of the semiconductor substrate 801 except for the cell region, and the obtained structure is planarized by filling an interlayer dielectric 810 .
  • the conventional lithography technique and RIE technique are used to simultaneously process the stacked silicon oxide film 808 , silicon nitride film 807 , epitaxial silicon films 805 , and epitaxial silicon germanium films 804 into stripe patterns of AA regions.
  • the epitaxial silicon germanium films 802 are selectively removed by wet etching.
  • the entire substrate surface is coated with SOG, and SOG films 811 are filled in gaps formed by the selective wet etching of the silicon germanium films, thereby forming stacked AAs.
  • the SOG films between the stacked AAs arrayed into stripes are etched back by RIE, thereby exposing the side surfaces of the epitaxial silicon films 805 forming the AAs.
  • the side surfaces of the epitaxial silicon films 803 are thermally oxidized to form silicon thermal, oxide films, and an amorphous silicon film for forming dummy gate electrodes is formed. Subsequently, the conventional lithography technique and RIE technique are used to process the amorphous silicon film, thereby forming dummy gate electrodes 812 .
  • the dummy gate electrodes are used as masks to remove the silicon thermal oxide films by dry pre-treatment.
  • As-doped silicon oxide films 813 are filled by using LPCVD, and diffusion layers are formed by contact diffusion.
  • the dummy gate electrodes 812 are then removed by chemical dry etching (CDE), and the silicon thermal oxide films are removed by dry pre-treatment, thereby forming gaps as templates of gate electrodes.
  • thermal, oxide films/CVD-silicon nitride films/ALD-hafnium oxide films 814 serving as memory dielectric layers are sequentially formed.
  • CVD-TiN films serving as gate electrodes are filled and processed by CMP, thereby forming gate electrodes 815 that the stacked AA regions share.
  • an interlayer dielectric 816 is formed.
  • the conventional lithography technique and RIE technique are used to expose the eighth and fourth epitaxial silicon films 805 .
  • the conventional, lithography technique and RIE technique are used to expose the sixth and second epitaxial silicon films 805 .
  • the conventional lithography technique and RIE technique are used to expose the seventh, fifth, third, and first epitaxial silicon films 805 . Then, the entire substrate surface is etched back to expose the surfaces and side surfaces of the epitaxial silicon films 805 . After that, gate oxide films/gate electrode films are formed and processed by the conventional lithography technique and RIE technique, thereby forming layer selection gate transistors 817 for selecting layers.
  • an interlayer dielectric 818 is formed on the entire substrate surface, contact holes connecting to the AA regions, gate electrodes, and peripheral circuit are formed, and a conductor film is filled, thereby forming contact plugs 819 on the source and drain sides, interconnections 820 that connect the layer selection gate transistors and source-side contacts, and word line contact plugs connecting to the gate electrodes.
  • a flash memory is formed by forming interlayer dielectrics 821 , 822 , and 823 , contact plugs 824 and 825 , and interconnections 826 and 827 in multilayered interconnection formation steps.
  • interlayer dielectrics 821 , 822 , and 823 contact plugs 824 and 825 , and interconnections 826 and 827 in multilayered interconnection formation steps.
  • contact plugs 824 and 825 contact plugs 824 and 825
  • interconnections 826 and 827 in multilayered interconnection formation steps.
  • This embodiment recesses the stacked cell portion to be lower than the surface of the semiconductor substrate. This suppresses the substrate step when forming the multilayered interconnection, and facilitates the lithography steps and processing steps.
  • This embodiment also has the advantage that the diffusion layers can be easily formed by using the dummy gate electrodes.
  • a total of nine lithography steps can implement the embodiment. That is, the use of the present invention makes it possible to reduce the number of lithography steps to almost 1 ⁇ 3.
  • each of the above embodiments has explained an example in which a planar MONOS memory widespread as a nonvolatile memory is used in a form in which a channel is formed parallel to a semiconductor substrate in a plane perpendicular to the substrate.
  • the above embodiments are also effective in nonvolatile memories using different cell structures and different storage principles. Even in these cases, the contact or layer selection gate formation method remains the same. Therefore, an explanation will be limited to the cell portion formation method hereinafter in order avoid complexity.
  • FIGS. 53 to 55 are sectional views showing a semiconductor memory (flash memory) according to the eighth embodiment of the present invention in predetermined main manufacturing steps.
  • This embodiment is an example of a MONOS memory similar to the first to seventh embodiments, but gives the channel surface a curvature in order to improve the write/erase characteristics.
  • Stacked AA regions are formed in a memory cell portion in the same manner as in the embodiments explained above. For the sake of simplicity, an explanation will be made with reference to only sectional views of the AAs.
  • epitaxial silicon films 902 serving as AA regions and silicon oxide films 903 that separate AA regions are stacked as they are processed by using hard masks 904 into a shape in which AA regions are simultaneously processed into stripes.
  • the exposed side surfaces of the epitaxial silicon films 902 are oxidized by steam oxidation, and bird's beak oxidation is caused by steam diffused in the silicon oxide films 903 , thereby forming silicon thermal oxide films 905 such that the side surfaces of the epitaxial silicon films 902 processed into stripes have a sectional shape with a curvature.
  • the silicon thermal oxide films 905 are removed by dry pre-treatment, and the silicon oxide films 903 are partially recessed, thereby forming a shape in which the epitaxial silicon films 902 whose side surfaces have a curvature are stacked.
  • the entire substrate surface is coated with SOG to fill SOG films 906 in gaps between the stacked AA regions.
  • the conventional lithography technique and wet etching technique are used to form gaps as templates of gate electrodes by etch back.
  • thermal oxide films/silicon nitride films/silicon oxide films 907 are sequentially formed, and P-doped polysilicon films 908 are filled and processed by CMP, thereby forming MONOS memory cells.
  • the channel of each of the AA regions vertically arranged parallel to the substrate is formed into a shape having a curvature. Since electric field concentration raises the effective electric field, a memory cell operation at a lower write/erase voltage can be implemented.
  • FIGS. 56 to 58 are sectional views showing a semiconductor memory (flash memory) according to the ninth embodiment of the present invention in predetermined main manufacturing steps.
  • this embodiment is an example in which the present invention is applied to a phase change RAM (PRAM). Similar to a resistive RAM (RRAM) to be described later, the PRAM senses the change in resistance of a memory element by the change in amount of an electric current flowing through the memory element. Accordingly, it is unnecessary to stack transistors as in a MONOS memory, but diodes need to be stacked.
  • PRAM phase change RAM
  • this embodiment also forms stacked AA regions in a memory cell portion. An explanation will be made with reference to sectional views of the AA regions.
  • B-doped polysilicon films 1003 serving as AA regions and silicon oxide films 1004 that separate AA regions are stacked into a shape in which AA regions are simultaneously processed into stripes by hard masks 1002 .
  • Interlayer dielectrics 1005 are filled between the stacked AA regions.
  • the conventional lithography technique and RIE technique are used to form gaps as templates of diodes and memory elements. These gaps are formed in alternate spaces between the AA regions arranged into stripes for the reason explained below. That is, in a memory such as a PRAM or RRAM that senses a resistance change, even when elements are formed at the two ends of one AA, if the resistance of the element at one end lowers due to data write, it becomes difficult to apply a voltage to the element at the other end. Consequently, the following three states:
  • gaps are formed by selectively and isotropically recessing the B-doped polysilicon films 1003 by chemical dry etching.
  • a P-doped polysilicon film 1006 is formed on the entire substrate surface, and left behind in only the gaps in the B-doped polysilicon films 1003 by non-masking RIE etch back, thereby forming diode portions.
  • the P-doped polysilicon films are then recessed by chemical dry etching.
  • tungsten films 1007 serving as heaters are selectively grown on the surfaces of the recessed P-doped polysilicon films by using CVD.
  • GST films (Ge 2 Sb 2 Te 5 ) 1008 and CVD titanium nitride films 1009 serving as electrode films are formed by using CVD, and processed by using the CMP technique, thereby forming PRAM memory cells.
  • This embodiment uses the method of filling an n-type semiconductor in a p-type semiconductor as the diode formation method. As shown in FIG. 59 , however, it is also possible to form n-type semiconductor layers 1010 by diffusing an impurity on the surfaces of the gaps formed by selectively and isotropically recessing the B-doped polysilicon films 1003 , and partially filling the GST films 1008 in the recesses of the tungsten films 1007 as heater films, thereby improving the write characteristic (reducing the write voltage).
  • FIGS. 60 to 62 are sectional views showing a semiconductor memory (flash memory) according to the 10th embodiment of the present invention in predetermined main manufacturing steps.
  • This embodiment is an example in which the present invention is applied to a resistive RAM (RRAM).
  • RRAM resistive RAM
  • this embodiment also forms stacked AA regions in a memory cell portion. An explanation will be made with reference to sectional views of the AA regions.
  • B-doped polysilicon films 1103 serving as AA regions and silicon oxide films 1104 that separate AA regions are stacked into a shape in which AA regions are simultaneously patterned into stripes by using hard masks 1102 .
  • Interlayer dielectrics 1105 are filled between the stacked AA regions.
  • gaps are formed by selectively and isotropically recessing the B-doped polysilicon films 1103 by chemical dry etching.
  • a P-doped polysilicon film 1106 is formed on the entire substrate surface, and left behind in only the gaps in the B-doped polysilicon films 1103 by non-masking RIE etch back, thereby forming diode portions.
  • the P-doped polysilicon films 1106 are then recessed by chemical dry etching.
  • a CVD titanium nitride film 1107 and ruthenium film 1108 are formed by using CVD, and etched back by using the conventional RIE technique so as to remain in only the gaps formed by recessing the P-doped polysilicon films.
  • a zirconia film 1109 for forming resistive elements is formed on the entire substrate surface, and a ruthenium film 1110 for forming upper electrodes is formed by using ADL. These films are processed by using the conventional CMP technique, thereby forming RFAM memory cells.
  • This embodiment uses the method of filling an n-type semiconductor in a p-type semiconductor as the diode formation method. As described in the ninth embodiment, however, diodes may also be formed by forming n-type semiconductor layers by diffusing an impurity on the surfaces of the gaps formed by selectively and isotropically recessing the B-doped polysilicon films 1102 .
  • the insulating film serving as a resistive element it is also possible to use, e.g., a titania film, hafnia film, or nickel oxide film, instead of a zirconia film. It is clear that the effect (of increasing the bit density per unit area) of this embodiment is obtained regardless of whether any of these films is used.
  • FIGS. 63 to 65 are sectional views showing a semiconductor memory (flash memory) according to the 11th embodiment of the present invention in predetermined main manufacturing steps.
  • this embodiment is also an example in which the present invention is applied to a resistive RAM (RRAM).
  • RRAM resistive RAM
  • a resistive element is selectively formed by using the plating technique.
  • this embodiment also forms stacked AA regions in a memory cell portion. An explanation will be made with reference to sectional views of the AA regions.
  • B-doped polysilicon films 1203 serving as AA regions and silicon oxide films 1204 that separate AA regions are stacked into a shape in which AA regions are simultaneously processed into stripes by using hard masks 1202 .
  • Interlayer dielectrics 1205 are filled between the stacked AA regions.
  • gaps are formed by selectively and isotropically recessing the B-doped polysilicon films 1203 by chemical dry etching.
  • Diodes are formed by forming n-type semiconductor layers 1206 by diffusing P by gas phase doping (GPD) on the surfaces of the gaps formed by selectively and isotropically recessing the B-doped polysilicon films 1203 .
  • a CVD titanium nitride film 1207 and ruthenium film 1208 are formed by using CVD, and etched back by using the conventional RIE technique so as to remain in only the gaps formed in the B-doped polysilicon films 1203 .
  • nickel films are selectively formed on the surfaces of ruthenium by using electroplating (EP), and platinum films 1209 are also selectively formed by using the EP process, thereby completely filling the gaps formed between the AA regions.
  • EP electroplating
  • no resistive elements are formed.
  • oxidation is performed in oxygen at 500° C.
  • the platinum films 1209 do not oxidize but transmit oxygen. Since a low temperature of 500° C. is selected, however, this oxidation process oxidizes the nickel films without oxidizing the ruthenium films 1208 as lower electrodes, thereby forming nickel oxide films 1210 as resistive elements. In this manner, RRAM memory cells are formed.
  • a semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are patterned in self-alignment with each other, each AA uses, as a channel region, one or both of the side surfaces perpendicular to the substrate, and intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, and a plurality of cells in an intersecting plane share the gate electrode.
  • AAs stripe-like active areas
  • GCs gate electrodes
  • bit density per unit area can be increased by stacking the memory cells. That is, the degree of integration can be increased without any micropatterning.
  • the structure is basically a double-gate electrode structure. This improves the controllability of a transistor.
  • a semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are patterned in self-alignment with each other, a memory dielectric layer and gate electrode are formed on a side surface, which is perpendicular to the substrate, of each AA, each AA intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, each cell in an intersecting plane shares the gate electrode with an adjacent cell, and gate electrodes sandwiching each AA are connected by interconnections so as to be driven independently of each other.
  • AAs stripe-like active areas
  • a semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are processed in self-alignment with each other, a memory dielectric layer and gate electrode are formed on a side surface, which is perpendicular to the substrate, of each AA, each AA intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, each cell has a structure sandwiched between first and second gate electrodes, cells in a plane perpendicular to the AAs share the first gate electrode, and the second gate electrode is formed parallel to the AAs and shared by a plurality of cells in a plane parallel to the AA direction and perpendicular to the substrate.
  • AAs stripe-like active areas
  • a semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are patterned in self-alignment with each other, a memory layer and gate electrode are formed on a side surface, which is perpendicular to the substrate, of each AA, each AA intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, each cell has a structure sandwiched between an insulating film and the gate electrode, and a plurality of cells in a plane parallel to the AA direction and perpendicular to the substrate share the gate electrode.
  • AAs stripe-like active areas
  • the cell transistor uses the depletion-type. By this, the source/drain of the transistor is not formed in particular. This facilitates transistor shrinkage.
  • a semiconductor memory described in any one of modes (1) to (4) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein each AA is made of single-crystal silicon or polysilicon.
  • a semiconductor memory described in any one of modes (1) to (5) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, gate electrodes (GCs) shared by the AAs, and a layer selection gate transistor for selecting a layer to which a group of AAs, among other AAs arranged into stripes, in a plane parallel to the substrate belongs.
  • AAs stripe-like active areas
  • GCs gate electrodes
  • Peripheral circuits can be basically the same as in the conventional NAND flash memories. This facilitates circuit design.
  • a semiconductor memory described in any one of modes (1) to (6) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein each of the stacked AAs is formed in a recess dug down from the substrate surface.
  • AAs stripe-like active areas
  • GCs gate electrodes
  • a semiconductor memory described in any one of modes (1) to (3) and (5) to (7) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein a memory element is a MONOS (Metal-Oxide-Nitride-Oxide-Silicon) element.
  • AAs stripe-like active areas
  • GCs gate electrodes
  • a semiconductor memory described in mode (8) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, gate electrodes (GCs) shared by the AAs, wherein a memory element is a MONOS (Metal-Oxide-Nitride-Oxide-Silicon) element, and the shape of the AA sidewall on which the memory element is formed has a curvature outward.
  • AAs stripe-like active areas
  • GCs gate electrodes
  • a semiconductor memory described in any one of modes (1) and (4) to (7) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein a memory element is a PRAM (Phase Change RAM).
  • AAs stripe-like active areas
  • GCs gate electrodes
  • a semiconductor memory described in any one of modes (1) and (4) to (7) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein a memory element is an RRAM (Resistive PAM).
  • AAs stripe-like active areas
  • GCs gate electrodes
  • a semiconductor memory manufacturing method which has stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1), and forms the AAs stacked perpendicularly to the substrate in self-alignment with each other is characterized by alternately stacking insulating films and epitaxial silicon films or polysilicon films on a semiconductor substrate, and simultaneously processing the stacked films into AA shapes.
  • a semiconductor memory manufacturing method which has stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1), and forms the AAs stacked perpendicularly to the substrate in self-alignment with each other is characterized by alternately stacking epitaxial silicon germanium films and epitaxial silicon films on a semiconductor substrate, simultaneously processing the stacked films into AA shapes, removing the epitaxial silicon germanium films by wet etching, and filling insulating films in the gaps.
  • AAs active areas
  • Each epitaxial silicon layer as an AA is formed by epitaxial growth. This makes it possible to form a channel region of a transistor having good crystallinity without any complicated procedure such as solid-phase epitaxial growth.
  • a semiconductor memory manufacturing method of forming gate electrodes that intersect stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by filling conductor films in gaps between the stripe-like active areas (AAs) stacked parallel to the substrate and insulating films formed between the AAs, and patterning the conductor films by reactive ion etching.
  • a semiconductor memory manufacturing method of forming gate electrodes that intersect stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by filling insulator films in gaps between the stripe-like active areas (AAs) stacked parallel to the substrate and insulating films formed between the AAs, forming gaps as templates of gate electrodes, filling memory dielectric layers and conductor films in the gaps, and patterning the filled films by CMP.
  • the GCs can be formed by a metal that is difficult to be processed by RIE.
  • a semiconductor memory manufacturing method of forming contact plugs connecting to stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by arranging contact plugs at two ends of each active area, each of which is formed over two adjacent AAs, so as to be in zigzag alignment with each other by one active area.
  • a semiconductor memory manufacturing method of forming diodes in stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by comprising steps of filling insulating films between rows of the stacked AAs, forming gaps as templates of gate electrodes in the insulating films, forming recesses in the AA sidewalls by using the insulating films as masks, and forming diodes by filling, in the recesses, semiconductor films having a conductivity type different from the AAs or by forming, on the surfaces of the recesses, semiconductor layers having a conductivity type different from the AAs by gas phase doping.
  • a semiconductor memory manufacturing method of forming electrodes of, e.g., an RRAM or PRAM in stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by comprising steps of filling insulating films between rows of the stacked AAs, forming gaps as templates of gate electrodes in the insulating films, forming recessed diodes filled in the AA sidewalls by using the insulating films as masks, and filling electrode films in the recesses.
  • a semiconductor memory manufacturing method of forming an RRAM described in mode (18) is characterized by comprising steps of filling first electrode films in recessed diodes filled in the sidewalls of stacked AAs, and forming memory dielectric films and second electrode films between rows of the AAs.
  • the embodiments of the present invention can provide a semiconductor memory having a structure in which memory layers can be stacked without largely increasing the number of process steps, and provide a method of manufacturing the semiconductor memory.
  • the semiconductor memories disclosed in the embodiments make it possible to continuously increase the degree of integration of semiconductor memories, particularly, flash memories in the future. Accordingly, the range of applications of flash memories presumably further extends in the future.

Abstract

A semiconductor memory includes a plurality of stripe-like active areas formed by stacking, in a direction perpendicular to a substrate, a plurality of layers extending parallel to the substrate, a first gate electrode formed on first side surfaces of the active areas, the first side surfaces being perpendicular to the substrate, a second gate electrode formed on second side surfaces of the active areas, the second side surfaces being perpendicular to the substrate. The layers are patterned in self-alignment with each other, intersections of the active areas and the first gate electrode form a plurality of memory cells, and the plurality of memory cells in an intersecting plane share the first gate electrode.

Description

This is a continuation of application Ser. No. 13/185,930, filed Jul. 19, 2011, which is a continuation of application Ser. No. 11/858,731, filed Sep. 20, 2007, now U.S. Pat. No. 8,008,732, which is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2006-256194, filed Sep. 21, 2006, the entire contents of all of which are incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to a semiconductor memory and a method of manufacturing the same.
2. Description of the Related Art
Flash memories are widely used to store large-volume data in, e.g., cell phones, digital still cameras (DSCs), USB memories, and silicon audio, and the markets of these flash memories keep extending due to the reduction in manufacturing cost per bit (bit cost) resulting from rapid scaling of the device dimension. New applications are also rapidly rising. The result is a favorable cycle in which the rapid scaling-down and the reduction in manufacturing cost find new markets.
In particular, a NAND flash memory has achieved a practical cross-point cell by allowing a plurality of active areas (AAs) to share a gate electrode (GC), and its simple structure allows rapid progress of scaling. NAND flash memories are beginning to be widely used for storage purposes in, e.g., the USB memories and silicon audio described above, since the above-mentioned rapid scaling-down reduces the bit cost. Accordingly, the recent NAND flash memories are leading devices of LSI (Large Scale Integration) scaling, and the minimum half pitch has reached 0.1 μm or less even on the mass-production level. Although the technical difficulties are also abruptly increasing with the rapid scaling of the dimension, demands are arising for further scaling in the future.
Unfortunately, many problems must be solved to further scaling of flash memories. The problems are enumerated below:
(1) The development of lithography techniques cannot follow the rapid device scaling. Presently, mass-production of lithography apparatuses starts immediately after they are put on sale. In the future, therefore, it is necessary to increase the bit density while keeping the lithography techniques in status quo.
(2) Since the dimensions of elements decrease as micropatterning progresses, the short-channel effect or narrow-channel effect abruptly worsens. This makes it difficult to ensure the reliability and increase the operating speed of nonvolatile memories generation by generation.
(3) As scaling advances, the dimensions of elements decrease. Therefore, statistical variations in numbers of atoms of dopant impurities of transistors and the like presumably worsen the device characteristics or the variations in device characteristics in the future.
Accordingly, it is highly likely to become difficult to continuously increase the bit density in the future by simple scaling of elements size in the horizontal plane only.
The present inventor, therefore, has invented a stacked memory as a semiconductor memory structure capable of relatively easily increasing the bit density of memory elements, without entirely depending upon micropatterning of the lithography techniques, and a method of manufacturing the stacked memory.
As well-known examples of stacked memories, methods of sequentially stacking memory layers as described in patent references 1 to 8, and some stacked memories are presently mass-produced. However, any of these methods forms memory layers by stacking one layer at a time. If the number of memory layers increases, therefore, the number of manufacturing steps largely increases.
[Patent reference 1] Jpn. Pat. Appln. KOKAI Publication No. 7-235649
[Patent reference 2] U.S. Pat. No. 6,534,403B2
[Patent reference 3] United States Patent Application Publication Pub. No. US2005/0014334A1
[Patent reference 4] United States Patent Application Publication Pub. No. US2005/0012119A1
[Patent reference 5] United States Patent Application Publication Pub. No. US2005/0012120A1
[Patent reference 6] United States Patent Application Publication Pub. No. US2005/0012154A1
[Patent reference 7] United States Patent Application Publication Pub. No. US2005/0012220A1
[Patent reference 8] United States Patent Application Publication Pub. No. US2005/0014322A1
BRIEF SUMMARY OF THE INVENTION
A semiconductor memory according to the first aspect of the present invention comprises a plurality of stripe-like active areas formed by stacking, in a direction perpendicular to a substrate, a plurality of layers extending parallel to the substrate, a first gate electrode formed on first side surfaces of the active areas, the first side surfaces being perpendicular to the substrate, a second gate electrode formed on second side surfaces of the active areas, the second side surfaces being perpendicular to the substrate, and wherein the layers are patterned in self-alignment with each other, intersections of the active areas and the first gate electrode form a plurality of memory cells, and the plurality of memory cells in an intersecting plane share the first gate electrode.
A semiconductor memory manufacturing method according to the second aspect of the present invention comprises depositing a plurality of layers on a substrate, forming a plurality of stripe-like active areas by processing the layers in self-alignment with each other, and forming a plurality of gate electrodes intersecting the active areas in a longitudinal direction thereof, wherein each of the active areas uses, as a channel region, at least one of two side surfaces perpendicular to the substrate, intersections of the active areas and the gate electrodes form memory cells, and a plurality of memory cells in an intersecting plane share the gate electrode.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
FIG. 1 is a perspective view showing a main manufacturing step of a semiconductor memory according to the first embodiment of the present invention;
FIG. 2 is a sectional view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention;
FIG. 3 is a sectional view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention;
FIG. 4 is a perspective view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention;
FIG. 5 is a perspective view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention;
FIG. 6 is a plan view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention;
FIG. 7 is a sectional view showing a main manufacturing step of the semiconductor memory according to the first embodiment of the present invention;
FIG. 8 is a sectional view showing a main manufacturing step of a semiconductor memory according to the second embodiment of the present invention;
FIG. 9 is a perspective view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention;
FIG. 10 is a sectional view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention;
FIG. 11 is a perspective view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention;
FIG. 12 is a perspective view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention;
FIG. 13 is a sectional view showing a main manufacturing step of the semiconductor memory according to the second embodiment of the present invention;
FIG. 14 is a sectional view showing a main manufacturing step of a semiconductor memory according to the third embodiment of the present invention;
FIG. 15 is a sectional view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention;
FIG. 16 is a perspective view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention;
FIG. 17 is a perspective view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention;
FIG. 18 is a perspective view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention;
FIG. 19 is a sectional view showing a main manufacturing step of the semiconductor memory according to the third embodiment of the present invention;
FIG. 20 is a perspective view showing a main manufacturing step of a semiconductor memory according to the fourth embodiment of the present invention;
FIG. 21 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fourth embodiment of the present invention;
FIG. 22 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fourth embodiment of the present invention;
FIG. 23 is a perspective view showing a main manufacturing step of a semiconductor memory according to the fifth embodiment of the present invention;
FIG. 24 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fifth embodiment of the present invention;
FIG. 25 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fifth embodiment of the present invention;
FIG. 26 is a perspective view showing a main manufacturing step of the semiconductor memory according to the fifth embodiment of the present invention;
FIG. 27 is a sectional view showing a plane perpendicular to AA regions of the semiconductor memories according to the first to third embodiments;
FIG. 28 is a sectional view showing a plane perpendicular to AA regions of the semiconductor memory according to the fourth embodiment;
FIG. 29 is a sectional view showing a plane perpendicular to AA regions of the semiconductor memory according to the fifth embodiment;
FIG. 30 is a sectional view showing a main manufacturing step of a semiconductor memory according to the sixth embodiment of the present invention;
FIG. 31 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention:
FIG. 32 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 33 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 34 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 35 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 36 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 37 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 38 is a perspective view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 39 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 40 is a sectional view showing a main manufacturing step of the semiconductor memory according to the sixth embodiment of the present invention;
FIG. 41 is a sectional view of a semiconductor memory according to a modification of the sixth embodiment of the present invention;
FIG. 42 is a sectional view showing a main manufacturing step of a semiconductor memory according to the seventh embodiment of the present invention;
FIG. 43 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 44 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 45 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 46 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 47 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 48 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 49 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 50 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 51 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 52 is a sectional view showing a main manufacturing step of the semiconductor memory according to the seventh embodiment of the present invention;
FIG. 53 is a sectional view showing a main manufacturing step of a semiconductor memory according to the eighth embodiment of the present invention;
FIG. 54 is a sectional view showing a main manufacturing step of the semiconductor memory according to the eighth embodiment of the present invention;
FIG. 55 is a sectional view showing a main manufacturing step of the semiconductor memory according to the eighth embodiment of the present invention;
FIG. 56 is a sectional view showing a main manufacturing step of a semiconductor memory according to the ninth embodiment of the present invention;
FIG. 57 is a sectional view showing a main manufacturing step of the semiconductor memory according to the ninth embodiment of the present invention;
FIG. 58 is a sectional view showing a main manufacturing step of the semiconductor memory according to the ninth embodiment of the present invention;
FIG. 59 is a sectional view showing a semiconductor memory according to a modification of the ninth embodiment of the present invention;
FIG. 60 is a sectional view showing a main manufacturing step of a semiconductor memory according to the 10th embodiment of the present invention;
FIG. 61 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 10th embodiment of the present invention;
FIG. 62 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 10th embodiment of the present invention;
FIG. 63 is a sectional view showing a main manufacturing step of a semiconductor memory according to the 11th embodiment of the present invention:
FIG. 64 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 11th embodiment of the present invention; and
FIG. 65 is a sectional view showing a main manufacturing step of the semiconductor memory according to the 11th embodiment of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
Outlines of semiconductor memories and methods of manufacturing the same to be disclosed in the following embodiments are:
A semiconductor memory that is a stacked memory in which a plurality of active area (AA) layers are stacked parallel to a substrate, and these stacked AAs are controlled by a common gate electrode (GC).
A semiconductor memory manufacturing method comprising a step of stacking, as AA layers, a plurality of single-crystal silicon layers, polysilicon layers, or amorphous silicon layers to be finally converted into polysilicon layers, via interlayer dielectrics or single-crystal silicon germanium films, and simultaneously processing the stacked layers, thereby forming a plurality of AAs stacked parallel to a substrate, and a step of forming a conductor film serving as a GC on the entire substrate surface, and processing the conductor film by reactive ion etching (RIE) or chemical-mechanical polishing (CMP), thereby forming GCs of the stacked AAs at once.
The stacked memory and the method of manufacturing the same described above can further increase the bit density compared to the conventional memories and methods.
Also, the stacked memory has layer selection gate transistors for selecting a layer among the stacked layers. This makes it possible to use peripheral circuits without largely changing the conventional flash memories, while the number of times of lithography is small and the bit density is high.
Several embodiments of the present invention will be explained below with reference to the accompanying drawing. Note that the same reference numerals denote the same parts in the drawing.
First Embodiment
Each of FIGS. 1 to 7 is a perspective, sectional, or plan view showing a semiconductor memory (flash memory) according to the first embodiment of the present invention in a predetermined main manufacturing step.
This embodiment is directed to a two-layered memory in which single-crystal silicon layers serving as AA regions are formed by solid-phase epitaxial growth.
First, as shown in FIG. 1, a silicon thermal oxide film 102 serving as an interlayer dielectric (ILD) is formed to have a thickness of, e.g., about 50 nm on a semiconductor substrate 101. Then, the conventional lithography technique and etching technique are used to expose portions of the substrate as seeds of solid-phase growth. An amorphous silicon film about, e.g., 60 nm thick is formed on the entire surface of the substrate. Subsequently, annealing is performed to cause solid-phase epitaxial growth of the amorphous silicon film from the exposed portions of the substrate, thereby forming a single-crystal silicon film 103 about, e.g., 60 nm thick on the thermal oxide film 102. A CVD silicon oxide film 104 serving as an interlayer dielectric is formed to have a thickness of, e.g., about 50 nm. Then, the conventional lithography technique and RIE technique are used to expose portions of the substrate as seeds of solid-phase growth again. An amorphous silicon film about, e.g., 60 nm thick is formed on the entire surface of the substrate. Subsequently, annealing is performed to cause solid-phase growth of the amorphous silicon film from the exposed portions of the substrate, thereby forming a single-crystal silicon film 105 about, e.g., 60 nm thick on the CVD silicon oxide film 104. After that, a silicon thermal oxide film 106 about, e.g., 2 nm thick is formed on the single-crystal silicon film 305, a silicon nitride film 107 about, e.g., 60 nm thick is formed on the silicon thermal oxide film 106, and a CVD silicon oxide film 108 about, e.g., 100 nm thick is formed on the silicon nitride film 107.
Then, as shown in FIG. 2, the conventional lithography technique and RIE technique are used to sequentially remove the CVD silicon oxide film 108, silicon nitride film 107, silicon thermal oxide film 106, single-crystal silicon film 105, CVD silicon oxide film 104, single-crystal silicon film 103, and silicon thermal oxide film 102 from a peripheral circuit portion, thereby exposing the surface of the semiconductor substrate 101. A thermal oxide film 109 serving as a gate oxide film of a high-voltage transistor is formed to have a thickness of, e.g., about 35 nm on the exposed surface of the semiconductor substrate 101. Subsequently, the conventional lithography technique and wet etching are used to remove the thermal oxide film 109 from a low-voltage transistor region. On this region from which the thermal oxide film 109 is removed, a thermal oxide film 110 serving as a gate oxide film of a low-voltage transistor is formed to have a thickness smaller than that of the thermal oxide film 109. After that, a polysilicon film 111 serving as a gate electrode is formed to have a thickness of, e.g., 100 nm on the entire substrate surface.
As shown in FIG. 3, the conventional transistor formation procedure is used to form transistors 112 and shallow trench isolations (STIs) 113 in the peripheral circuit portion. An interlayer dielectric 114 is formed on the entire substrate surface, and the upper surface of the interlayer dielectric 114 is planarized.
Subsequently, as shown in FIG. 4, the conventional lithography technique and RIE technique are used to process the polysilicon film 111 and CVD silicon oxide film 108. The processed polysilicon films 111 and CVD silicon oxide films 108 are used as hard masks to sequentially process the silicon nitride film 107, CVD silicon oxide film 106, single-crystal silicon film 105, CVD silicon oxide film 104, and single-crystal silicon film 103, and over-etch the silicon thermal oxide film 102, thereby forming stripe patterns serving as AA regions of a multilayered memory.
As shown in FIG. 5, the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the processing damage. Then, silicon thermal oxide films/CVD silicon nitride films/ALD-alumina films 115 serving as memory dielectric layers are sequentially formed. Subsequently, phosphorus (P)-doped polysilicon films 116 serving as gate electrodes are filled between the AAs. This embodiment processes the gate electrodes by using the conventional lithography technique and reactive ion etching. Consequently, the stacked AA regions share the gate electrodes.
As shown in FIG. 6, diffusion layers serving as source/drain regions are formed by gas phase doping (GPD), and spin-on-glass (SOG) films 117 serving as interlayer dielectrics are filled between the gate electrodes made of the polysilicon films 116. Then, contact plugs connecting to the AA regions and gate electrodes (GCs) are formed. That is, the conventional lithography technique and RIE technique are used to partially remove the upper AA regions of the stacked AA regions, and the interlayer dielectrics 117 are filled. After the upper surfaces of the interlayer dielectrics 117 are planarized, contact plugs 118 and 119 are formed. The contact plugs 118 correspond to the upper AA regions (AA21 to AA25), and the contact plugs 119 correspond to the lower AA regions (AA11 to AA15). One contact plug is formed over two AA regions, and the contact plugs at the two ends of each AA region are in zigzag alignment with each other by one active area. Accordingly, an arbitrary AA region can be selected by selecting two contact plugs. FIG. 6 is a plan view showing the arrangement of the contact plugs.
Multilayered interconnection formation steps start after the formation of the contact plugs. These steps form interlayer dielectrics 120, 123, and 126, interconnections 122, 125, and 127, and contact plugs 121 and 124. Although a flash memory is formed by repeating the above procedure, the details will not be explained. FIG. 7 shows the final shape.
This embodiment achieves the storage density twice that of the conventional memories. Although the AA regions are stacked on the substrate, each of AA region processing and GC processing need only be performed once as in the conventional unstacked memories.
In this embodiment, the source/drain area of the cell transistor is formed by GPD. The cell transistor is SOI structure. Because of this, it is possible that it is used as a cell transistor of depletion type. For example, instead of forming the source/drain area by doping, it dopes impurities such as P at the time of forming an amorphous silicon film or it dopes impurities such as P after forming the single-crystal silicon film 103. By this process, impurities are doped in the single crystal silicon film 103 of the cell region uniformly, the depletion layer which appears by providing a voltage in the gate electrode is used, and ON/off of the cell transistor works.
Also, in the structure of the present invention, each cell transistor has an SOI structure, and this SOI structure is a double-gate structure in which the gate electrodes (GCs) sandwich the AA region. This makes the structure of the present invention tough against the short-channel effect.
Furthermore, the channel region is formed perpendicularly to the substrate, and hence the channel width can be set regardless of the design rules. This achieves the advantage that it is possible to manufacture a transistor tough against the narrow-channel effect as well.
As described above, the structure of this embodiment can increase the bit density without any micropatterning, and does not increase the number of critical lithography steps which are essential, for minimum half pitch patterning.
Second Embodiment
Each of FIGS. 8 to 13 is a perspective or sectional view showing a semiconductor memory (flash memory) according to the second embodiment of the present invention in a predetermined main manufacturing step.
This embodiment is directed to a four-layered memory in which single-crystal silicon layers serving as AA regions are formed by sequentially stacking and growing epitaxial silicon and epitaxial silicon germanium, and removing the silicon germanium films by selective etching.
First, as shown in FIG. 8, a first epitaxial silicon germanium film 202 about, e.g., 50 nm thick, first epitaxial silicon film 203 about, e.g., 60 nm thick, second epitaxial silicon germanium film 204 about, e.g., 50 nm thick, second epitaxial silicon film 205 about, e.g., 60 nm thick, third epitaxial silicon germanium film 206 about, e.g., 50 nm thick, third epitaxial silicon film 207 about, e.g., 60 nm thick, fourth epitaxial silicon germanium film 208 about, e.g., 50 nm thick, and fourth epitaxial silicon film 209 about, e.g., 70 nm thick are sequentially formed on a semiconductor substrate 201. Then, a plasma CVD silicon oxide film about, e.g., 100 nm thick is formed on the entire substrate surface. The conventional lithography technique and reactive ion etching are used to simultaneously process the plasma CVD silicon oxide film and layered epitaxial films in a cell region. Subsequently, an epitaxial silicon film 210 about, e.g., 100 nm thick is formed on the exposed end faces of the layered epitaxial films. The conventional lithography technique and RIE technique are used to remove the plasma CVD silicon oxide film from a contact plug region. The remaining plasma CVD silicon oxide film is used as a mask to etch the epitaxial silicon germanium films and epitaxial silicon films with an aqueous alkaline solution. Since the etching rate changes from one crystal orientation to another, the stacked epitaxial films are facet-etched at an inclination angle of 45° as shown in FIG. 8. On the exposed surface of the semiconductor substrate 201, a thermal oxide film 211 serving as a gate oxide film of a high-voltage transistor is formed to have a thickness of, e.g., about 35 nm. Subsequently, the conventional lithography technique and wet etching are used to remove the thermal oxide film 211 and the above-mentioned plasma CVD silicon oxide film from a low-voltage transistor region, and a thermal oxide film 212 serving as a gate oxide film of a low-voltage transistor is formed. A 100-nm thick polysilicon film serving as a gate electrode is formed on the entire substrate surface. Then, as in the first embodiment, the conventional transistor formation procedure is used to process the polysilicon film, form diffusion layers serving as source/drain regions, form transistors 213 and STIs 214 in a peripheral circuit portion, and selectively form a silicon nitride film 215 in the cell portion. After that, an interlayer dielectric 216 is formed on the entire substrate surface, and the upper surface of the interlayer dielectric 216 is planarized.
Then, as shown in FIG. 9, the conventional lithography technique and reactive ion etching are used to simultaneously process the interlayer dielectric film 216, silicon nitride film 215, and layered epitaxial films in the cell region into stripe patterns of AA regions. Unlike in the first embodiment, the epitaxial silicon films serving as AA regions are stacked via the epitaxial silicon germanium films. Since this makes RIE processing relatively easy, it is possible to form even the stripe patterns of AA regions of a multilayered memory having a large number of layers.
As shown in FIG. 10, only the epitaxial silicon germanium films of the layered epitaxial films are removed by selective etching. The selective etching is wet etching by mixture of fluoric acid and nitric acid or gas etching with CF4/O2 system. This forms a shape in which the linear AA regions float as they are supported at their two ends by the epitaxial silicon film 210 and the silicon nitride film 215 formed in the facet-etched region. Then, an SOG film 217 is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with the SOG film 217. Although this embodiment employs the SOG film filled in the inter-layer spaces, it is also possible to fill a flowable dielectric by Chemical Vapor Condensation or the like. Also, the epitaxial silicon film 203 about, e.g., 60 nm thick, the epitaxial silicon germanium film 204 about, e.g., 20 nm thick are formed. The epitaxial silicon germanium film 204 is removed by etching to form the space. The epitaxial silicon film 203 on the top and bottom of the space is thermally oxidized to form the thermally oxide film between the epitaxial silicon films 203. Although this embodiment can be filled the insulating film in the inter-layer spaces.
Subsequently, as shown in FIG. 11, the SOG film 217 is etched back by reactive ion etching and left behind only between the vertically stacked AA regions. This forms interlayer dielectrics between the stacked AA regions.
As shown in FIG. 12, the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage. Then, thermal oxide films/CVD silicon nitride films/ALD-hafnium oxide films 218 serving as memory dielectric layers are sequentially formed. Subsequently, phosphorus (P)-doped polysilicon films 219 serving as gate electrodes are filled between the AA regions. This embodiment processes the gate electrodes by using the conventional lithography technique and reactive ion etching. This forms gate electrodes (GCs) that the stacked AA regions share in the plane perpendicular to the AA regions.
As shown in FIG. 13, diffusion layers are formed by gas phase doping, and an SOG film 220 serving as an interlayer dielectric is filled between the gate electrodes and planarized. Next, silicidation of GCs is performed. In case of conventional stacked memory which is manufactured by sequential stacking of individual memory layer, underlying memory layer is influenced with the thermal budget of upper memory layer formation, therefore it is difficult to employ GC silicidation process which is fragile against thermal treatment. This invention has an advantage of easier employment of silicidation since silicidation should be done only at once after GCs formation. After that, contact plugs 221 connecting to the AA regions and CG electrodes are formed. In particular, contact plugs connecting to the AAs can be simultaneously formed because the end portions of the AA regions are offset by facet etching. After the contact plugs are formed, multilayered interconnection formation steps begin. These steps form interlayer dielectrics 222 and 225, interconnections 223 and 226, and contact plugs 224, thereby forming a flash memory. However, the details will not be explained, and only the final shape is shown. Note that the SOG film 220 is intentionally unshown in the cell portion illustrated in FIG. 13 in order to clearly show the relationship between the AA regions and gate electrodes (GCs).
This embodiment achieves the storage density four times that of the conventional memory having only one memory layer. Although the AA regions are stacked on the substrate, each of AA region processing and GC processing need only be performed once as in the conventional memory having only one storage layer. The result is the advantage that the number of processing steps does not largely increase.
Also, similar to the first embodiment, the structure of the present invention is tough against the short-channel effect and narrow-channel effect.
In this embodiment, similar to the first embodiment, it is possible that it is used as a cell transistor of depletion type. For example, instead of forming the source/drain area by doping, it dopes impurities such as P at the time of forming the epitaxial silicon films 203 or it dopes impurities such as P after forming the epitaxial silicon films 203. By this process, impurities are doped in the epitaxial silicon films 203 of the cell region uniformly, the depletion layer which appears by providing a voltage in the gate electrode is used, and ON/off of the cell transistor works.
Also, the epitaxial silicon germanium film is removed by selective etching after the lamination layer of the epitaxial silicon film/the epitaxial silicon germanium film process to cell size by micropatterning. Accordingly the etching amount is little. With a viewpoint of processing of RIE, the minute processing whose precision is high is possible because of the lamination layer of silicon/silicon germanium which is the same kind of film. In stead of this, before processing it in full detail to the cell size, an active area is processed to the size of (about the cell area) of about a several microns×several microns. The epitaxial silicon germanium film is removed from the processed end part by wet etching under the condition. After the insulating (misspelling) film is formed in that gap, it is possible that it is processed into the line-shaped in full detail as well as the first embodiment.
As described above, the structure of this embodiment can increase the bit density without any special micropatterning, and does not increase the number of lithography steps (generally, AA processing, GC processing, formation of contact plugs to cells, and extraction of interconnections from the contact plugs in a memory) requiring the minimum half pitch, particularly, the numbers of AA processing steps and GC processing steps.
Third Embodiment
Each of FIGS. 14 to 19 is a perspective or sectional view showing a semiconductor memory (flash memory) according to the third embodiment of the present invention in a predetermined main manufacturing step.
This embodiment is directed to a four-layered memory similar to the second embodiment, but gate electrodes are processed by using the CMP technique.
First, as shown in FIG. 14, following the same procedure as in the second embodiment, four epitaxial silicon germanium films 302 and four epitaxial silicon films 303 are alternately stacked on a semiconductor substrate 301, and a silicon nitride film 304 and plasma CVD silicon oxide film 305 are formed on the layered epitaxial films. Then, the conventional lithography technique and etching technique are used to expose the end portions of the layered epitaxial films in a cell portion, and an epitaxial silicon film 306 is formed on the exposed portions. The silicon nitride film 304 and plasma CVD silicon oxide film 305 are removed from a contact plug region by using the conventional lithography technique and RIE technique. As a consequence, a recess is formed in the contact plug region. Subsequently, an SOG film 307 is formed by coating so as to have a thickness with which the SOG film 307 does not fill the recess, thereby forming a gentle slope shape as shown in FIG. 14.
Then, as shown in FIG. 15, the SOG film 307 is used as a mask to etch back the stacked epitaxial films. Since the mask film thickness changes from one portion to another, the stacked epitaxial films are processed into a shape reflecting the gentle slope shape of the SOG film 307 as shown in FIG. 15. Following the same procedure as explained in the second embodiment, transistors 308 and STIs 309 of high- and low-voltage circuits are formed, an interlayer dielectric 310 is formed, and the upper surface of the interlayer dielectric 310 is planarized.
As shown in FIG. 16, similar to the second embodiment, the conventional lithography technique and reactive ion etching are used to simultaneously process the interlayer dielectric film 310, silicon nitride film 304, and layered epitaxial films in the cell region into stripe patterns of AA regions.
Subsequently, as shown in FIG. 17, only the epitaxial silicon germanium films 303 of the layered epitaxial films are removed by selective etching. The selective etching is wet etching by mixture of flioroc acid and nitric acid or gas etching with CF4/O2 system. This forms a shape in which the linear AA regions float as they are supported at their two ends by the epitaxial silicon film 306 and interlayer dielectric 310. Then, SOG is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with an SOG film 311. Since an impurity is doped into the SOG film 311, diffusion layers are formed by contact diffusion of this impurity. The conventional lithography technique and reactive ion etching are used to etch back the interlayer dielectric 310 and SOG film 311, thereby forming gaps as templates of gate electrodes. Consequently, interlayer dielectrics are formed between the vertically stacked AA regions and between adjacent gate electrodes (GC).
As shown in FIG. 18, the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage. Then, thermal oxide films/CVD silicon nitride films/ALD-lanthanum aluminum oxide films 312 serving as memory dielectric layers are sequentially formed. Subsequently, CVD titanium nitride films 313 serving as gate electrodes are filled in the gaps, and planarized by CMP. Since this embodiment processes the gate electrodes by CMP, metal electrodes can be easily processed. In addition, the use of metal electrodes is relatively easy because the damage of GC processing requiring a relatively high temperature can be removed before the metal electrodes are filled.
As shown in FIG. 19, an interlayer dielectric 314 is formed and planarized, and contact plugs 315 connecting to the AA regions and gate electrodes (GCs) are formed. Contact plugs connecting to the AA regions can be simultaneously formed because the end portions of the AA regions are offset into the shape of a gentle slope by etching using the SOG film. After the contact plugs are formed, a multilayered interconnection is formed by forming interlayer dielectrics 316 and 319, interconnections 317 and 320, and contact plugs 318, thereby forming a flash memory. However, the details will not be explained, and only the final shape is shown.
Similar to the second embodiment, this embodiment achieves the storage density four times that of the conventional memory having only one memory layer. Although this embodiment forms the AA regions by stacking four layers on the substrate, each of AA processing and GC processing need only be performed once as in the conventional memory having only one memory layer.
Also, similar to the first embodiment, the structure of this embodiment is tough against the short-channel effect and narrow-channel effect.
As described above, this embodiment can increase the degree of integration of cells without any micropatterning, and does not increase the number of lithography steps requiring the minimum half pitch.
Fourth Embodiment
FIGS. 20 to 22 are perspective views showing a semiconductor memory (flash memory) according to the fourth embodiment of the present invention in predetermined main manufacturing steps.
This embodiment is directed to a four-layered memory similar to the third embodiment, but implements a dual-gate electrode structure.
First, as shown in FIG. 20, layered epitaxial films are formed by alternately stacking four epitaxial silicon germanium films and four epitaxial silicon films 402 on a semiconductor substrate 401 following the same procedure as in the third embodiment, and a peripheral circuit portion is formed in the same manner as in the first to third embodiments. Subsequently, following the same procedure as in the third embodiment, a silicon nitride film 403 and the layered epitaxial films in a cell region are simultaneously processed into stripe patterns of AA regions by using the conventional lithography technique and reactive ion etching, and only the epitaxial silicon germanium films of the layered epitaxial films are removed by selective wet etching. Then, SOG is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with SOG films 404. The conventional lithography technique and reactive ion etching are used to etch back the silicon oxide film described above, thereby forming gaps as templates of gate electrodes. Unlike in the previous embodiments, the width of the template is “3F” (“E” is the minimum half pitch) in this embodiment.
As shown in FIG. 21, the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage. Then, thermal oxide films/CVD silicon nitride films/ALD-silicon oxide films 405 serving as memory dielectric layers and phosphorus (P)-doped polysilicon films 406 are sequentially filled in the gaps, and planarized by CMP. Similar to the third embodiment, this embodiment processes the gate electrodes by CMP, and hence requires no high-aspect-ratio RIE patterning.
As shown in FIG. 22, a plasma CVD silicon oxide film 407 serving as an interlayer dielectric is formed on the entire substrate surface, and contact plugs 408 communicating with the gate electrodes are formed. These contact plugs are formed into a zigzag pattern. Then, interconnections that connect the contact plugs are formed. These interconnections connect alternate phosphorus (P)-doped polysilicon films 405 filled between the AA regions adjacent to each other in the horizontal direction. That is, a dual-gate electrode structure in which two independent gate electrodes sandwich one AA region is formed.
After that, similar to the first to third embodiments, contact plugs connecting to the AA regions and gate electrodes (GCs) are formed, and a flash memory is formed through multilayered interconnection formation steps. However, the details will not be explained.
In this embodiment, information can be independently written in the two thermal oxide films/CVD silicon nitride films/ALD-silicon oxide films sandwiching the AA region. This makes it possible to write information having one or more bits in one cell. For example, multilevel write of 2×2=4 (i.e., two bits/cell) or 3×3=9 (i.e., three bits/cell) is possible if a binary or ternary threshold value is written in the alumina/silicon nitride films/silicon oxide films on the two sides of the AA region.
Also, similar to the first to third embodiments, the structure of this embodiment is tough against the short-channel effect and narrow-channel effect.
As described above, the structure of the fourth embodiment can increase the bit density without any special micropatterning, and does not increase the number of lithography steps requiring the minimum half pitch.
Fifth Embodiment
FIGS. 23 to 26 are perspective views showing a semiconductor memory (flash memory) according to the fifth embodiment of the present invention in predetermined main manufacturing steps.
This embodiment is directed to a four-layered memory similar to the second to fourth embodiments, but forms back gate electrodes for improving the erase characteristic of memory cells.
First, as shown in FIG. 23, layered epitaxial films are formed by alternately stacking four epitaxial silicon germanium films and four epitaxial silicon films 502 on a semiconductor substrate 501 following the same procedure as in the second to fourth embodiments, and a peripheral circuit portion is formed in the same manner as in the first to fourth embodiments. Subsequently, following the same procedure as in the second to fourth embodiments, a plasma CVD silicon oxide film 503, a silicon nitride film 504, and the layered epitaxial films in a cell region are simultaneously processed into stripe patterns of AA regions by using the conventional lithography technique and reactive ion etching, and only the epitaxial silicon germanium films of the layered epitaxial films are removed by selective etching. The selective etching is wet etching by mixture of fluoric acid and nitric acid or gas etching with CF4/O2 system. Then, SOG is formed by coating and changed into an oxide film under appropriate annealing conditions, thereby filling all the spaces between the linear AA regions with SOG films 505. After that, back gate electrodes parallel to the AAs are formed. That is, the conventional lithography technique and RIE technique are used to etch back alternate SOG films 505 filled between the AAs, thereby forming gaps as templates of back gate electrodes. Since the processing is performed on every other SOG film, no minimum half pitch patterning is necessary.
As shown in FIG. 24, the end faces of the AA regions exposed by the processing are thermally oxidized, and the thermal oxide films are removed by dry pre-treatment, thereby removing the process damage. Then, gate oxide films 506 are formed, and a P-doped polysilicon film 507 for forming gate electrodes is filled. The P-doped polysilicon film is recessed to remain in only the gaps described above. Note that the upper portions of the P-doped polysilicon films are made lower than the uppermost surface of the substrate, so that the gaps remain above the P-doped polysilicon films.
Subsequently, as shown in FIG. 25, silicon nitride films 508 are filled in the gaps above the P-doped polysilicon films, and recessed by RIE again. After that, templates of GC electrodes to be formed into stripes in a direction perpendicular to the AAs are formed. The conventional lithography and reactive ion etching are used to etch back the plasma CVD silicon oxide films 503, further etch back every other SOG film 505 in the gap between the AAs where no back gate electrode is formed, and finally recess the silicon nitride films 508, thereby forming trenches as templates of GC electrodes.
Then, as shown in FIG. 26, the end faces of the AA regions exposed in the trenches by the processing are thermally oxidized, and the thermal oxide films are removed by dry preprocessing, thereby removing the process damage. Thermal oxide films/CVD silicon nitride films/ALD-hafnium aluminum oxide films 509 serving as memory dielectric layers and P-doped polysilicon films 510 are sequentially formed. Subsequently, CMP is used to leave the P-doped polysilicon films in only the trenches.
After that, contact plugs connecting to the AA regions and GC electrodes are formed, and interlayer dielectrics, interconnections, and the like are formed in multilayered interconnection formation steps, thereby forming a flash memory. However, the details will not be explained.
In this embodiment, the two gate electrodes sandwiching the AA region can be independently controlled, the gate electrode can be controlled with respect to each cell, and a plurality of AA regions share the back gate electrode. This structure does not change the write characteristic. However, the structure improves the erase characteristic because it is possible during data erase to forcedly remove electric charge from the hafnium aluminum oxide film/silicon nitride film/silicon oxide film by applying an electric field to the back gate electrode.
Also, similar to the first to third embodiments, the structure of this embodiment is tough against the short-channel effect and narrow-channel effect.
As described above, the structure of the fifth embodiment can increase the bit density without any special micropatterning, and does not increase the number of lithography steps requiring the minimum half pitch.
It is obvious that the effects of the present invention do not reduce even when the memory dielectric layers, gate electrode formation methods, contact plug formation methods, and the like described in the first to fifth embodiments are used in different combinations.
The differences between the structures of the first to fifth embodiments will be compared below with reference to FIGS. 27 to 29.
The present invention basically has three structures different in GC electrode arrangement, and each structure is identifiable by the section in a plane perpendicular to AA regions. The AAs are formed by alternately stacking epitaxial silicon films 601 and insulating films 602.
As shown in FIG. 27, the first to third embodiments are directed to a double-gate structure in which gate electrodes 604 connected to each other and having the same potential sandwich each AA region via a memory dielectric layer 603.
As shown in FIG. 28, the fourth embodiment is directed to a dual-gate structure in which two types of gate electrodes 604 and 605 that axe independently controllable sandwich each AA region via a memory dielectric layer 603. Note that FIG. 28 shows two different sections in order to illustrate zigzag interconnections to the gate electrodes.
As shown in FIG. 29, the fifth embodiment is directed to a dual-gate structure in which back gate electrodes 607 parallel to AA regions are filled between the AA regions via gate insulating films 605, gate electrodes 604 perpendicular to the AA regions are connected to each other, and the gate electrode 604 and back gate electrode 607 sandwich each AA region.
Also, to avoid the complexity of explanation, the first to fifth embodiments have shown the forms of two- and four-layered memories. However, the methods of these embodiments are evidently applicable to memories having larger numbers of layers. The use of these embodiments makes it possible to continuously increase the degree of integration of memories in the future, so various application fields presumably extend.
In the above embodiments, the stacked AA regions share the source contact, and axe connected to the peripheral circuit by individually forming the drain contacts. In embodiments to be explained below, however, each AA region is selected on the source side.
Sixth Embodiment
Each of FIGS. 30 to 40 is a sectional or perspective view showing a semiconductor memory (flash memory) according to the sixth embodiment of the present invention in a predetermined main fabrication step.
This embodiment is directed to a four-layered stacked memory similar to the second to fourth embodiments. However, this embodiment uses polysilicon films obtained by crystallizing amorphous silicon films as AA regions, and uses layer selection transistors that select individual layers.
First, as shown in FIG. 30, four silicon oxide films 702 and four amorphous silicon films are alternately stacked on a semiconductor substrate 701, and a silicon nitride film 703 and a silicon oxide film 704 serving as a hard mask are formed on top of the structure. Then, the amorphous silicon films are crystallized by annealing to form polysilicon films 705. Similar to the first to fifth embodiments, the stacked films are removed from a peripheral circuit portion, and B-doped polysilicon films 706 are formed on the sidewalls of the stacked films, thereby connecting the polysilicon films 705. Transistors 707 forming a peripheral circuit are formed on the surface of the semiconductor substrate 701 exposed by removing the stacked films. The obtained structure is planarized by filling an interlayer dielectric 708.
Then, as shown in FIGS. 31 and 32, the conventional lithography technique and RIE technique are used to simultaneously process the stacked silicon oxide film 704, silicon nitride film 703, silicon oxide films 702, and polysilicon films 705 into stripe patterns of AA regions, thereby forming stacked AAs. The side surfaces of the polysilicon films are thermally oxidized, the thermal oxide films are removed by dry pre-treatment, and silicon thermal oxide films/CVD-silicon nitride films/ALD-alumina films 709 serving as memory dielectric layers are sequentially formed. Subsequently, P-doped polysilicon films serving as gate electrodes are filled and processed by using the conventional lithography technique and RIE technique, thereby forming gate electrodes 710 that the stacked AAs share. These gate electrodes are used as masks to ion-implant As by the conventional ion implantation technique. After that, the sidewalls of the gate electrodes are oxidized to activate diffusion layers and form extension regions.
In this embodiment, it is possible that it is used as a cell transistor of depletion type. For example, instead of forming the source/drain area by doping, it dopes impurities such as P at the time of depositing the polysilicon films 705 or it dopes impurities such as P after forming the polysilicon films 705. By this process, impurities are doped in the polysilicon films 705 of the cell region uniformly, the depletion layer which appears by applying a voltage to the gate electrode is used for ON/Off operation of the cell transistor.
As shown in FIGS. 33 and 34, an SOG film is filled between the gate electrodes and converted into a silicon oxide film, thereby forming an interlayer dielectric 711. The conventional lithography technique and RIE technique are used to expose the first and third polysilicon films 705.
Subsequently, as shown in FIGS. 35 and 36, the conventional lithography technique and RIE technique are used to expose the second and fourth polysilicon films 705.
As shown in FIGS. 37 and 38, the entire substrate surface is etched back to expose the surfaces and side surfaces of the polysilicon films 705. After that, gate oxide films/gate electrode films are formed and patterned by the conventional lithography technique and RIE technique, thereby forming layer selection gate transistors 712 for selecting layers. The formation of the layer selection gate transistors forms a tri-gate structure in which gate electrodes exist in three directions of the channel region. This increases the controllability of the gates and improves the cutoff performance.
As shown in FIG. 39, an interlayer dielectric 713 is formed on the entire substrate surface, contact holes connecting to the AA regions, gate electrodes, and peripheral circuit are formed, and a conductor film is filled, thereby forming contact plugs 714 on the source and drain sides, interconnections 715 between the layer selection gates and source-side contacts and between the drain-side contacts and peripheral circuit, and word line contact plugs connecting to the gate electrodes.
After that, as shown in FIG. 40, a flash memory is formed by forming interlayer dielectrics 716, 717, and 718, contact plugs 719 and 720, and interconnections 721 and 722 in multilayered interconnection formation steps. However, the details will not be explained.
To perform data write and read in this embodiment, an arbitrary cell can be selected by first selecting one of the first to fourth layers by the layer gate transistor, selecting an AA region in the layer by the drain-side contact plug, and then selecting a gate electrode. In the conventional NANO flash memory, an arbitrary cell can be selected by selecting two AA regions sharing a source contact by a select gate transistor, selecting a desired AA region from a plurality of AA regions sharing the select gate electrode by a drain-side contact plug, and selecting a gate electrode. Therefore, the cell selecting operation is substantially the same as that of this embodiment. This makes it possible to implement the peripheral circuit and the like by a circuit configuration similar to that of the conventional NAND flash memory. That is, this embodiment has high affinity for the design of the conventional NAND flash memory, compared to the method of extracting the drain-side contact for each layer disclosed in the first to fifth embodiments.
Note that this embodiment uses the polysilicon film as each AA region, but each AA region can also be formed by a single-crystal silicon film in the same manner as described in the first to fifth embodiments.
Select gates 723 as used in the conventional NAND flash memory may also be formed in a cell structure similar to that of this embodiment. FIG. 41 shows an example.
In this structure, the select gate 723 selects one of stacked NAND chain arrays, the layer selection gate transistor 712 selects one of the first to fourth layers, the drain-side contact plug 714 selects an AA region in the layer, and the gate electrode 710 selects a cell in the same AA region.
Note that the select gates allow the above-mentioned layer selection gate transistors to be simultaneously formed, and high cutoff performance is readily achieved by forming the select gates on the semiconductor substrate.
The effect of suppressing the increase in number of steps that this embodiment has on the prior art will be explained below. Since estimating all steps is too complicated, the numbers of lithography steps requiring the highest process cost will be compared.
The number of times of lithography necessary to form the four-layered memory of this embodiment by the method of sequentially forming the individual layers according to the prior art is as follows:
(1) The number of times of lithography per layer: 3, i.e., 2 for AA processing and gate electrode (GC: Gate Conductor) processing, and 1 for formation of contact plugs between layers
(2) Formation of contacts to stacked AAs: 1
Accordingly, a total of 3×4+1=13 lithography steps are necessary.
By contrast, this embodiment requires:
(1) Simultaneous processing of stacked AAs: 1
(2) Simultaneous processing of GCs: 1
(3) Processing for exposing end portions of stacked AA regions in order to form contact holes: 3
(4) Layer selection gate processing: 1
(5) Contact hole formation and connection of layer selection gates and source lines: 2
That is, a total of seven lithography steps can implement the embodiment.
The layer selection gates also have the following advantage in addition to implementing a stacked memory without largely increasing the number of times of lithography as described above.
When extracting the contact plugs from the stacked AA regions, it is difficult to extract interconnections parallel to the AA regions because the interval between adjacent AA regions is normally the minimum half pitch in the memory. Therefore, interconnections must be extracted perpendicularly to the AA regions. For this purpose, it is necessary to widen the intervals between the contact plugs arranged parallel to the AA regions so as to allow the interconnections to run between the contact plugs. This makes it difficult to increase the bit density.
By contrast, when the layer selection gate transistors are formed as in this embodiment, the numbers of contacts on the source and drain sides of the AA regions are the same as in the conventional unstacked NAND flash memory, and the connection to the peripheral circuit is also the same as in the conventional memory. The gate electrode that drives each layer selection gate transistor is extracted parallel to the control gate electrode of each cell. Therefore, the method of connecting the gate electrodes and the peripheral circuit is also basically the same as in the conventional unstacked NAND flash memory. That is, the number of select gates that originally each exist for one NAND chain increases in accordance with the number of stacked layers. This results in the big advantage that the peripheral circuit need not be largely changed.
Seventh Embodiment
FIGS. 42 to 52 are sectional views showing a semiconductor memory (flash memory) according to the seventh embodiment of the present invention in predetermined main manufacturing steps.
This embodiment is an example in which single-crystal silicon layers axe stacked as in the first to fifth embodiments. In this example, however, a memory cell region is dug down beforehand in order to increase the flatness of the structure. Also, dummy gate electrodes are used to form diffusion layers.
First, as shown in FIG. 42, a silicon oxide film 802 as a hard mask is formed on a semiconductor substrate 801, and a memory cell region is dug down by the conventional lithography technique and RIE technique. In addition, a plasma CVD silicon oxide film 803 is formed on the entire surface, and left behind on only the sidewalls of the dug region by RIE that leaves sidewalls behind.
Then, as shown in FIG. 43, eight epitaxial silicon germanium films 804 and eight epitaxial silicon films 805 are selectively sequentially stacked. In addition, a P-doped polysilicon film 806 is formed on the entire substrate surface, and left behind on only the sidewalls of the stacked epitaxial films by PIE etch back. A silicon nitride film 807 and a silicon oxide film 808 serving as a hard mask are formed on top of the structure. After that, transistors 809 forming a peripheral circuit are formed on the surface of the semiconductor substrate 801 except for the cell region, and the obtained structure is planarized by filling an interlayer dielectric 810.
Then, as shown in FIG. 44, the conventional lithography technique and RIE technique are used to simultaneously process the stacked silicon oxide film 808, silicon nitride film 807, epitaxial silicon films 805, and epitaxial silicon germanium films 804 into stripe patterns of AA regions. The epitaxial silicon germanium films 802 are selectively removed by wet etching. Subsequently, the entire substrate surface is coated with SOG, and SOG films 811 are filled in gaps formed by the selective wet etching of the silicon germanium films, thereby forming stacked AAs. Furthermore, the SOG films between the stacked AAs arrayed into stripes are etched back by RIE, thereby exposing the side surfaces of the epitaxial silicon films 805 forming the AAs.
As shown in FIG. 45, the side surfaces of the epitaxial silicon films 803 are thermally oxidized to form silicon thermal, oxide films, and an amorphous silicon film for forming dummy gate electrodes is formed. Subsequently, the conventional lithography technique and RIE technique are used to process the amorphous silicon film, thereby forming dummy gate electrodes 812.
Subsequently, as shown in FIG. 46, the dummy gate electrodes are used as masks to remove the silicon thermal oxide films by dry pre-treatment. After that, As-doped silicon oxide films 813 are filled by using LPCVD, and diffusion layers are formed by contact diffusion. The dummy gate electrodes 812 are then removed by chemical dry etching (CDE), and the silicon thermal oxide films are removed by dry pre-treatment, thereby forming gaps as templates of gate electrodes.
As shown in FIG. 47, thermal, oxide films/CVD-silicon nitride films/ALD-hafnium oxide films 814 serving as memory dielectric layers are sequentially formed. Then, CVD-TiN films serving as gate electrodes are filled and processed by CMP, thereby forming gate electrodes 815 that the stacked AA regions share.
As shown in FIG. 48, an interlayer dielectric 816 is formed. The conventional lithography technique and RIE technique are used to expose the eighth and fourth epitaxial silicon films 805.
As shown in FIG. 49, the conventional, lithography technique and RIE technique are used to expose the sixth and second epitaxial silicon films 805.
As shown in FIG. 50, the conventional lithography technique and RIE technique are used to expose the seventh, fifth, third, and first epitaxial silicon films 805. Then, the entire substrate surface is etched back to expose the surfaces and side surfaces of the epitaxial silicon films 805. After that, gate oxide films/gate electrode films are formed and processed by the conventional lithography technique and RIE technique, thereby forming layer selection gate transistors 817 for selecting layers.
As shown in FIG. 51, an interlayer dielectric 818 is formed on the entire substrate surface, contact holes connecting to the AA regions, gate electrodes, and peripheral circuit are formed, and a conductor film is filled, thereby forming contact plugs 819 on the source and drain sides, interconnections 820 that connect the layer selection gate transistors and source-side contacts, and word line contact plugs connecting to the gate electrodes.
After that, as shown in FIG. 52, a flash memory is formed by forming interlayer dielectrics 821, 822, and 823, contact plugs 824 and 825, and interconnections 826 and 827 in multilayered interconnection formation steps. However, the details will not be explained.
This embodiment recesses the stacked cell portion to be lower than the surface of the semiconductor substrate. This suppresses the substrate step when forming the multilayered interconnection, and facilitates the lithography steps and processing steps. This embodiment also has the advantage that the diffusion layers can be easily formed by using the dummy gate electrodes.
The effect of suppressing the increase in number of steps that the present invention has on the prior art will be explained below in this embodiment as well. Since estimating all steps is too complicated, the numbers of lithography steps requiring the highest process cost will be compared.
The number of times of lithography necessary to form the eight-layered memory of this embodiment by the method of sequentially forming the individual layers according to the prior art is as follows:
(1) The umber of times of lithography per layer: 3, i.e., 2 for AA processing and gate electrode (GC: Gate Conductor) processing, and 1 for formation of contact plugs between layers
(2) Formation of contacts to stacked AAs: 1
Accordingly, a total of 3×8+1=25 lithography steps are necessary.
By contrast, this embodiment requires:
(1) Processing for digging down a cell region: 1
(2) Simultaneous processing of stacked AAs: 1
(3) Simultaneous processing of GCs: 1
(4) Processing for exposing end portions of stacked AAs in order to form contact holes: 3
(5) Layer selection gate processing: 1
(6) Contact hole formation and connection of layer selection gates and source lines: 2
Accordingly, a total of nine lithography steps can implement the embodiment. That is, the use of the present invention makes it possible to reduce the number of lithography steps to almost ⅓.
Each of the above embodiments has explained an example in which a planar MONOS memory widespread as a nonvolatile memory is used in a form in which a channel is formed parallel to a semiconductor substrate in a plane perpendicular to the substrate. However, the above embodiments are also effective in nonvolatile memories using different cell structures and different storage principles. Even in these cases, the contact or layer selection gate formation method remains the same. Therefore, an explanation will be limited to the cell portion formation method hereinafter in order avoid complexity.
Eighth Embodiment
FIGS. 53 to 55 are sectional views showing a semiconductor memory (flash memory) according to the eighth embodiment of the present invention in predetermined main manufacturing steps.
This embodiment is an example of a MONOS memory similar to the first to seventh embodiments, but gives the channel surface a curvature in order to improve the write/erase characteristics.
Stacked AA regions are formed in a memory cell portion in the same manner as in the embodiments explained above. For the sake of simplicity, an explanation will be made with reference to only sectional views of the AAs.
First, as shown in FIG. 53, in a memory cell region on a semiconductor substrate 901, epitaxial silicon films 902 serving as AA regions and silicon oxide films 903 that separate AA regions are stacked as they are processed by using hard masks 904 into a shape in which AA regions are simultaneously processed into stripes.
Then, as shown in FIG. 54, the exposed side surfaces of the epitaxial silicon films 902 are oxidized by steam oxidation, and bird's beak oxidation is caused by steam diffused in the silicon oxide films 903, thereby forming silicon thermal oxide films 905 such that the side surfaces of the epitaxial silicon films 902 processed into stripes have a sectional shape with a curvature.
As shown in FIG. 55, the silicon thermal oxide films 905 are removed by dry pre-treatment, and the silicon oxide films 903 are partially recessed, thereby forming a shape in which the epitaxial silicon films 902 whose side surfaces have a curvature are stacked. Subsequently, the entire substrate surface is coated with SOG to fill SOG films 906 in gaps between the stacked AA regions. The conventional lithography technique and wet etching technique are used to form gaps as templates of gate electrodes by etch back. Then, thermal oxide films/silicon nitride films/silicon oxide films 907 are sequentially formed, and P-doped polysilicon films 908 are filled and processed by CMP, thereby forming MONOS memory cells.
In this embodiment, the channel of each of the AA regions vertically arranged parallel to the substrate is formed into a shape having a curvature. Since electric field concentration raises the effective electric field, a memory cell operation at a lower write/erase voltage can be implemented.
Ninth Embodiment
FIGS. 56 to 58 are sectional views showing a semiconductor memory (flash memory) according to the ninth embodiment of the present invention in predetermined main manufacturing steps.
Unlike the first to eighth embodiments, this embodiment is an example in which the present invention is applied to a phase change RAM (PRAM). Similar to a resistive RAM (RRAM) to be described later, the PRAM senses the change in resistance of a memory element by the change in amount of an electric current flowing through the memory element. Accordingly, it is unnecessary to stack transistors as in a MONOS memory, but diodes need to be stacked.
Similar to the previous embodiments, this embodiment also forms stacked AA regions in a memory cell portion. An explanation will be made with reference to sectional views of the AA regions.
First, as shown in FIG. 56, in a memory cell region on a semiconductor substrate 1001, B-doped polysilicon films 1003 serving as AA regions and silicon oxide films 1004 that separate AA regions are stacked into a shape in which AA regions are simultaneously processed into stripes by hard masks 1002. Interlayer dielectrics 1005 are filled between the stacked AA regions.
Then, as shown in FIG. 57, the conventional lithography technique and RIE technique are used to form gaps as templates of diodes and memory elements. These gaps are formed in alternate spaces between the AA regions arranged into stripes for the reason explained below. That is, in a memory such as a PRAM or RRAM that senses a resistance change, even when elements are formed at the two ends of one AA, if the resistance of the element at one end lowers due to data write, it becomes difficult to apply a voltage to the element at the other end. Consequently, the following three states:
(1) The state in which the resistances of the elements at the two ends lower
(2) The state in which the resistance of the element at the right end lowers
(3) The state in which the resistance of the element at the left end lowers
and intermediate states (because the element resistance of a PRAM or RRAM continuously changes) of these states coexist. This varies the threshold value of the memory operation.
As shown in FIG. 58, gaps are formed by selectively and isotropically recessing the B-doped polysilicon films 1003 by chemical dry etching. A P-doped polysilicon film 1006 is formed on the entire substrate surface, and left behind in only the gaps in the B-doped polysilicon films 1003 by non-masking RIE etch back, thereby forming diode portions. The P-doped polysilicon films are then recessed by chemical dry etching. Subsequently, tungsten films 1007 serving as heaters are selectively grown on the surfaces of the recessed P-doped polysilicon films by using CVD. After that, GST films (Ge2Sb2Te5) 1008 and CVD titanium nitride films 1009 serving as electrode films are formed by using CVD, and processed by using the CMP technique, thereby forming PRAM memory cells.
This embodiment uses the method of filling an n-type semiconductor in a p-type semiconductor as the diode formation method. As shown in FIG. 59, however, it is also possible to form n-type semiconductor layers 1010 by diffusing an impurity on the surfaces of the gaps formed by selectively and isotropically recessing the B-doped polysilicon films 1003, and partially filling the GST films 1008 in the recesses of the tungsten films 1007 as heater films, thereby improving the write characteristic (reducing the write voltage).
10th Embodiment
FIGS. 60 to 62 are sectional views showing a semiconductor memory (flash memory) according to the 10th embodiment of the present invention in predetermined main manufacturing steps.
This embodiment is an example in which the present invention is applied to a resistive RAM (RRAM).
Similar to the previous embodiments, this embodiment also forms stacked AA regions in a memory cell portion. An explanation will be made with reference to sectional views of the AA regions.
First, as shown in FIG. 60, in a memory cell region on a semiconductor substrate 1101, B-doped polysilicon films 1103 serving as AA regions and silicon oxide films 1104 that separate AA regions are stacked into a shape in which AA regions are simultaneously patterned into stripes by using hard masks 1102. Interlayer dielectrics 1105 are filled between the stacked AA regions.
Then, as shown in FIG. 61, the conventional lithography technique and RIE technique are used to form gaps as templates of diodes and memory elements. These gaps are formed in alternate spaces between the AA regions arranged into stripes. The purpose is the same as described in the ninth embodiment. Subsequently, gaps are formed by selectively and isotropically recessing the B-doped polysilicon films 1103 by chemical dry etching. A P-doped polysilicon film 1106 is formed on the entire substrate surface, and left behind in only the gaps in the B-doped polysilicon films 1103 by non-masking RIE etch back, thereby forming diode portions. The P-doped polysilicon films 1106 are then recessed by chemical dry etching. A CVD titanium nitride film 1107 and ruthenium film 1108 are formed by using CVD, and etched back by using the conventional RIE technique so as to remain in only the gaps formed by recessing the P-doped polysilicon films.
Subsequently, as shown in FIG. 62, a zirconia film 1109 for forming resistive elements is formed on the entire substrate surface, and a ruthenium film 1110 for forming upper electrodes is formed by using ADL. These films are processed by using the conventional CMP technique, thereby forming RFAM memory cells.
This embodiment uses the method of filling an n-type semiconductor in a p-type semiconductor as the diode formation method. As described in the ninth embodiment, however, diodes may also be formed by forming n-type semiconductor layers by diffusing an impurity on the surfaces of the gaps formed by selectively and isotropically recessing the B-doped polysilicon films 1102.
As the insulating film serving as a resistive element, it is also possible to use, e.g., a titania film, hafnia film, or nickel oxide film, instead of a zirconia film. It is clear that the effect (of increasing the bit density per unit area) of this embodiment is obtained regardless of whether any of these films is used.
11th Embodiment
FIGS. 63 to 65 are sectional views showing a semiconductor memory (flash memory) according to the 11th embodiment of the present invention in predetermined main manufacturing steps.
Similar to the 10th embodiment, this embodiment is also an example in which the present invention is applied to a resistive RAM (RRAM). However, a resistive element is selectively formed by using the plating technique.
Similar to the previous embodiments, this embodiment also forms stacked AA regions in a memory cell portion. An explanation will be made with reference to sectional views of the AA regions.
First, as shown in FIG. 63, similar to the 10th embodiment, in a memory cell region on a semiconductor substrate 1201, B-doped polysilicon films 1203 serving as AA regions and silicon oxide films 1204 that separate AA regions are stacked into a shape in which AA regions are simultaneously processed into stripes by using hard masks 1202. Interlayer dielectrics 1205 are filled between the stacked AA regions.
Then, as shown in FIG. 64, the conventional lithography technique and RIE technique are used to form gaps as templates of diodes and memory elements. These gaps are formed in alternate spaces between the AA regions arranged into stripes. The purpose is the same as described in the ninth embodiment. Subsequently, gaps are formed by selectively and isotropically recessing the B-doped polysilicon films 1203 by chemical dry etching. Diodes are formed by forming n-type semiconductor layers 1206 by diffusing P by gas phase doping (GPD) on the surfaces of the gaps formed by selectively and isotropically recessing the B-doped polysilicon films 1203. A CVD titanium nitride film 1207 and ruthenium film 1208 are formed by using CVD, and etched back by using the conventional RIE technique so as to remain in only the gaps formed in the B-doped polysilicon films 1203.
Subsequently, as shown in FIG. 65, nickel films are selectively formed on the surfaces of ruthenium by using electroplating (EP), and platinum films 1209 are also selectively formed by using the EP process, thereby completely filling the gaps formed between the AA regions. This forms upper electrodes of RRAM elements. At this point, however, no resistive elements are formed. Then, oxidation is performed in oxygen at 500° C. The platinum films 1209 do not oxidize but transmit oxygen. Since a low temperature of 500° C. is selected, however, this oxidation process oxidizes the nickel films without oxidizing the ruthenium films 1208 as lower electrodes, thereby forming nickel oxide films 1210 as resistive elements. In this manner, RRAM memory cells are formed.
Although the first to 11th embodiments of the present invention have been explained above, forms and methods of practicing the present invention are not limited to these embodiments. That is, it is possible to appropriately combine the AA region formation methods, gate electrode processing methods, contact hole formation methods, layer selection gate formation methods, materials, and the like disclosed in the embodiments. Even in these cases, it is possible to achieve the effect expected from the embodiments of the present invention, i.e., increase the degree of integration per unit area without largely increasing the number of manufacturing steps. Accordingly, a high density memory can be manufactured relatively easily.
In addition, the above embodiments include the following modes.
(1) A semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are patterned in self-alignment with each other, each AA uses, as a channel region, one or both of the side surfaces perpendicular to the substrate, and intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, and a plurality of cells in an intersecting plane share the gate electrode.
(Effects of Mode (1))
(a) The bit density per unit area can be increased by stacking the memory cells. That is, the degree of integration can be increased without any micropatterning.
(b) Since an SOI structure is formed, a transistor tough against the short-channel effect can be implemented.
(c) The structure is basically a double-gate electrode structure. This improves the controllability of a transistor.
(2) A semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are patterned in self-alignment with each other, a memory dielectric layer and gate electrode are formed on a side surface, which is perpendicular to the substrate, of each AA, each AA intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, each cell in an intersecting plane shares the gate electrode with an adjacent cell, and gate electrodes sandwiching each AA are connected by interconnections so as to be driven independently of each other.
(Effect of Mode (2))
(a) Since two independent gate electrodes are formed for one cell, multilevel storage is possible. This makes it possible to increase the bit density without any special micropatterning.
(3) A semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are processed in self-alignment with each other, a memory dielectric layer and gate electrode are formed on a side surface, which is perpendicular to the substrate, of each AA, each AA intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, each cell has a structure sandwiched between first and second gate electrodes, cells in a plane perpendicular to the AAs share the first gate electrode, and the second gate electrode is formed parallel to the AAs and shared by a plurality of cells in a plane parallel to the AA direction and perpendicular to the substrate.
(Effect of Mode (3))
(a) Since a back gate electrode is formed for each AA, electric charge written in the memory dielectric layer can be readily removed in data erase. That is, the erase characteristic improves.
(4) A semiconductor memory is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, wherein the AAs stacked perpendicularly to the substrate are patterned in self-alignment with each other, a memory layer and gate electrode are formed on a side surface, which is perpendicular to the substrate, of each AA, each AA intersects a plurality of gate electrodes (GCs) in the longitudinal direction, the intersections of the AAs and GCs form memory cells, each cell has a structure sandwiched between an insulating film and the gate electrode, and a plurality of cells in a plane parallel to the AA direction and perpendicular to the substrate share the gate electrode.
(Effect of Mode (4))
(a) When memory layers sharing a gate electrode are formed on the two sides of an AA in a cell such as a PRAM cell or PRAM cell that senses the change in current amount by the change in resistance of a memory layer, if one memory layer is turned on and the resistance lowers, no electric current flows through the other memory layer having a high resistance. This makes the other memory layer difficult to turn on. However, the memory layers on the two sides of the AA are sometimes turned on in a certain cell. This makes it difficult to set the state of each cell constant. Since the electrode is formed on every other row, each AA is controlled by only the gate electrode on one side. This eliminates the instability of the write/erase characteristics.
(Effect of Mode (4) & (5))
(a) the cell transistor uses the depletion-type. By this, the source/drain of the transistor is not formed in particular. This facilitates transistor shrinkage.
(5) A semiconductor memory described in any one of modes (1) to (4) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein each AA is made of single-crystal silicon or polysilicon.
(6) A semiconductor memory described in any one of modes (1) to (5) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, gate electrodes (GCs) shared by the AAs, and a layer selection gate transistor for selecting a layer to which a group of AAs, among other AAs arranged into stripes, in a plane parallel to the substrate belongs.
(Effects of Mode (6))
(a) The use of the layer selection gate transistor obviates the need to extract interconnections from the stacked AAs in the direction perpendicular to them, and makes it possible to extract all the interconnections by the minimum pitch. This facilitates increasing the bit density.
(b) Peripheral circuits can be basically the same as in the conventional NAND flash memories. This facilitates circuit design.
(c) In the forming the layered select gate transistor which is selected each layers of stripe-like active area (AA) stacked parallel to a substrate, it is not necessary to increase the large number of process steps in this case that lithography process to expose each AA in the stairs-shaped, etching process and forming process the layer select gate transistor in each AA by simultaneous patterning. lithography process to expose AA for layer selection gate transistor formation is three times in 4 layer lamination, four times in 8 layer lamination, n+1 times in 2n layer lamination.
(7) A semiconductor memory described in any one of modes (1) to (6) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein each of the stacked AAs is formed in a recess dug down from the substrate surface.
(Effect of Mode (7))
(a) Since the memory cell portion is formed in the recess dug down from the substrate surface, difference in level on the substrate surface can be suppressed. This facilitates lithography steps, processing steps, and interconnection formation.
(8) A semiconductor memory described in any one of modes (1) to (3) and (5) to (7) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein a memory element is a MONOS (Metal-Oxide-Nitride-Oxide-Silicon) element.
(9) A semiconductor memory described in mode (8) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, gate electrodes (GCs) shared by the AAs, wherein a memory element is a MONOS (Metal-Oxide-Nitride-Oxide-Silicon) element, and the shape of the AA sidewall on which the memory element is formed has a curvature outward.
(Effect of Mode (9))
(a) Since the channel has a curvature, electric field concentration raises the effective electric field. This makes it possible to improve the write/erase characteristics.
(10) A semiconductor memory described in any one of modes (1) and (4) to (7) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein a memory element is a PRAM (Phase Change RAM).
(11) A semiconductor memory described in any one of modes (1) and (4) to (7) is characterized by comprising stripe-like active areas (AAs) stacked parallel to a substrate, and gate electrodes (GCs) shared by the AAs, wherein a memory element is an RRAM (Resistive PAM).
(12) A semiconductor memory manufacturing method which has stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1), and forms the AAs stacked perpendicularly to the substrate in self-alignment with each other is characterized by alternately stacking insulating films and epitaxial silicon films or polysilicon films on a semiconductor substrate, and simultaneously processing the stacked films into AA shapes.
(13) A semiconductor memory manufacturing method which has stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1), and forms the AAs stacked perpendicularly to the substrate in self-alignment with each other is characterized by alternately stacking epitaxial silicon germanium films and epitaxial silicon films on a semiconductor substrate, simultaneously processing the stacked films into AA shapes, removing the epitaxial silicon germanium films by wet etching, and filling insulating films in the gaps.
(Effect of Modes (12) & (13))
(a) Since the AAs are simultaneously processed, each of AA lithography and GC lithography requiring minimum half pitch patterning need only be performed once regardless of the number of stacked memory layers.
(Effects of Mode (13))
(a) In AA processing, it is only necessary to process the stacked films made of similar materials, i.e., epitaxial silicon and epitaxial silicon germanium. This facilitates RIE.
(b) Each epitaxial silicon layer as an AA is formed by epitaxial growth. This makes it possible to form a channel region of a transistor having good crystallinity without any complicated procedure such as solid-phase epitaxial growth.
(14) A semiconductor memory manufacturing method of forming gate electrodes that intersect stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by filling conductor films in gaps between the stripe-like active areas (AAs) stacked parallel to the substrate and insulating films formed between the AAs, and patterning the conductor films by reactive ion etching.
(15) A semiconductor memory manufacturing method of forming gate electrodes that intersect stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by filling insulator films in gaps between the stripe-like active areas (AAs) stacked parallel to the substrate and insulating films formed between the AAs, forming gaps as templates of gate electrodes, filling memory dielectric layers and conductor films in the gaps, and patterning the filled films by CMP.
(Effect of Modes (14) & (15))
(a) Since the GCs are simultaneously processed, each of AA lithography and GC lithography requiring minimum half pitch patterning need only be performed once regardless of the number of stacked memory layers.
(Effect of Mode (15))
(a) The GCs can be formed by a metal that is difficult to be processed by RIE.
(16) A semiconductor memory manufacturing method of forming contact plugs connecting to stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by arranging contact plugs at two ends of each active area, each of which is formed over two adjacent AAs, so as to be in zigzag alignment with each other by one active area.
(Effect of Mode (16))
(a) Especially when two layers are stacked, contact plugs equal in number to AAs in the same plane are formed at the two ends of the AAs. Therefore, it is possible to access each AA by selecting two contact plugs. This facilitates formation of interconnections.
(17) A semiconductor memory manufacturing method of forming diodes in stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by comprising steps of filling insulating films between rows of the stacked AAs, forming gaps as templates of gate electrodes in the insulating films, forming recesses in the AA sidewalls by using the insulating films as masks, and forming diodes by filling, in the recesses, semiconductor films having a conductivity type different from the AAs or by forming, on the surfaces of the recesses, semiconductor layers having a conductivity type different from the AAs by gas phase doping.
(Effect of Modes (17))
(a) It is possible to stack layers in a nonvolatile memory such as an RRAM or PRAM that senses the change in resistance.
(18) A semiconductor memory manufacturing method of forming electrodes of, e.g., an RRAM or PRAM in stripe-like active areas (AAs) stacked parallel to a substrate described in mode (1) is characterized by comprising steps of filling insulating films between rows of the stacked AAs, forming gaps as templates of gate electrodes in the insulating films, forming recessed diodes filled in the AA sidewalls by using the insulating films as masks, and filling electrode films in the recesses.
(19) A semiconductor memory manufacturing method of forming an RRAM described in mode (18) is characterized by comprising steps of filling first electrode films in recessed diodes filled in the sidewalls of stacked AAs, and forming memory dielectric films and second electrode films between rows of the AAs.
(Effects of Modes (17)-(19))
(a) It is possible to stack layers in a nonvolatile memory such as an RRAM or PRAM that senses the change in resistance.
(b) It is unnecessary to process the memory layer (a chalcogenide film that largely changes the resistance (normally, increases the resistance by thousand times) when heated in the case of a PRAM, and a metal oxide film such as TiO2, HfO2, ZrO2, or nickel oxide in the case of an RRAM). This makes RIE processing of any new material unnecessary.
As described above, the embodiments of the present invention can provide a semiconductor memory having a structure in which memory layers can be stacked without largely increasing the number of process steps, and provide a method of manufacturing the semiconductor memory. In addition, the semiconductor memories disclosed in the embodiments make it possible to continuously increase the degree of integration of semiconductor memories, particularly, flash memories in the future. Accordingly, the range of applications of flash memories presumably further extends in the future.
Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader modes is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims (14)

What is claimed is:
1. A semiconductor memory device comprising:
a semiconductor substrate having a first part and a second part, the first part having a first upper surface, the second part having a second upper surface, a first distance between the first upper surface and an under surface of the semiconductor substrate being shorter than a second distance between the second upper surface and the under surface of the semiconductor substrate;
a plurality of layers arranged along a stacking direction perpendicular to the first upper surface and extending in a first direction parallel to the first upper surface, the plurality of layers having a first insulating layer disposed on the first upper surface, a first semiconductor layer disposed on a top surface of the first insulating layer, a second insulating layer disposed on a top surface of the first semiconductor layer, and a second semiconductor layer disposed on a top surface of the second insulating layer;
gate electrodes arranged along the first direction, each of the gate electrodes extending in the stacking direction;
first memory portions disposed, in a direction parallel to the first upper surface, between the gate electrodes and a side surface of the first semiconductor layer, the side surface being perpendicular to the first upper surface;
second memory portions disposed, in a direction parallel to the first upper surface, between the gate electrodes and a side surface of the second semiconductor layer, the side surface being perpendicular to the first upper surface; and
a peripheral circuit disposed on the second upper surface.
2. The device according to claim 1, wherein:
a third distance between the second semiconductor layer and the under surface is shorter than the second distance.
3. The device according to claim 2, wherein:
the second semiconductor layer is an uppermost layer of the semiconductor layers.
4. The device according to claim 1, further comprising:
a first contact electrode electrically connected with the second semiconductor layer at a first contact surface,
wherein:
the peripheral circuit has a diffusion layer doped with an impurity and a second contact electrode electrically connected with the diffusion layer at a second contact surface, and
a fourth distance between the first contact surface and the under surface of the semiconductor substrate is longer than a fifth distance between the second contact surface and the under surface of the semiconductor substrate.
5. The device according to claim 4, wherein:
the second semiconductor layer is an uppermost layer of the semiconductor layers.
6. The device according to claim 1, wherein:
each of the gate electrodes extends in the stacking direction and has a third upper surface, a sixth distance between the third upper surface and the under surface of the semiconductor substrate being longer than the second distance.
7. The device according to claim 1, wherein:
end portions of the semiconductor layers are electrically connected to a source contact electrode in common at a source contact surface, and
a seventh distance between the source contact surface and the under surface is shorter than the second distance.
8. The device according to claim 1, wherein:
end portions of the semiconductor layers have a stair shape.
9. The device according to claim 1, further comprising
a first contact electrode electrically connected with an end portion of the first semiconductor layer; and
a second contact electrode electrically connected with an end portion of the second semiconductor layer,
wherein:
an eighth distance between the end portion of the first semiconductor layer and the under surface is shorter than a ninth distance between the end portion of the second semiconductor layer and the under surface, and
the ninth distance is shorter than the second distance.
10. The device according to claim 1, wherein:
the semiconductor substrate has a recess portion, the recess portion having the first upper surface and a side wall surface, and
a second insulating layer is disposed on the side wall surface.
11. The device according to claim 10, wherein:
a shape of the side wall of each semiconductor layers has a curvature outward.
12. The device according to claim 1, wherein:
each of the first memory portions and the second memory portions has a charge storage layer.
13. The device according to claim 1 wherein:
each of the first memory portions and the second memory portions has a chalcogenide layer.
14. The device according to claim 1 wherein:
each of the first memory portions and the second memory portions has a resistance change layer.
US14/288,321 2006-09-21 2014-05-27 Semiconductor memory and method of manufacturing the same Active US9450181B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/288,321 US9450181B2 (en) 2006-09-21 2014-05-27 Semiconductor memory and method of manufacturing the same
US15/231,616 US10056433B2 (en) 2006-09-21 2016-08-08 Semiconductor memory and method of manufacturing the same
US16/102,958 US11101325B2 (en) 2006-09-21 2018-08-14 Semiconductor memory and method of manufacturing the same
US17/381,911 US11937437B2 (en) 2006-09-21 2021-07-21 Semiconductor memory and method of manufacturing the same

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2006-256194 2006-09-21
JP2006256194A JP2008078404A (en) 2006-09-21 2006-09-21 Semiconductor memory and manufacturing method thereof
US11/858,731 US8008732B2 (en) 2006-09-21 2007-09-20 Semiconductor memory and method of manufacturing the same
US13/185,930 US8766373B2 (en) 2006-09-21 2011-07-19 Semiconductor memory and method of manufacturing the same
US14/288,321 US9450181B2 (en) 2006-09-21 2014-05-27 Semiconductor memory and method of manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/185,930 Continuation US8766373B2 (en) 2006-09-21 2011-07-19 Semiconductor memory and method of manufacturing the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/231,616 Continuation US10056433B2 (en) 2006-09-21 2016-08-08 Semiconductor memory and method of manufacturing the same

Publications (2)

Publication Number Publication Date
US20140264227A1 US20140264227A1 (en) 2014-09-18
US9450181B2 true US9450181B2 (en) 2016-09-20

Family

ID=39223967

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/858,731 Active 2029-02-12 US8008732B2 (en) 2006-09-21 2007-09-20 Semiconductor memory and method of manufacturing the same
US13/185,930 Active 2028-02-02 US8766373B2 (en) 2006-09-21 2011-07-19 Semiconductor memory and method of manufacturing the same
US14/288,321 Active US9450181B2 (en) 2006-09-21 2014-05-27 Semiconductor memory and method of manufacturing the same
US15/231,616 Active US10056433B2 (en) 2006-09-21 2016-08-08 Semiconductor memory and method of manufacturing the same
US16/102,958 Active US11101325B2 (en) 2006-09-21 2018-08-14 Semiconductor memory and method of manufacturing the same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/858,731 Active 2029-02-12 US8008732B2 (en) 2006-09-21 2007-09-20 Semiconductor memory and method of manufacturing the same
US13/185,930 Active 2028-02-02 US8766373B2 (en) 2006-09-21 2011-07-19 Semiconductor memory and method of manufacturing the same

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/231,616 Active US10056433B2 (en) 2006-09-21 2016-08-08 Semiconductor memory and method of manufacturing the same
US16/102,958 Active US11101325B2 (en) 2006-09-21 2018-08-14 Semiconductor memory and method of manufacturing the same

Country Status (3)

Country Link
US (5) US8008732B2 (en)
JP (1) JP2008078404A (en)
KR (1) KR100949228B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10403634B2 (en) 2017-06-12 2019-09-03 Samsung Electronics Co., Ltd Semiconductor memory device and method of manufacturing the same
US10886299B2 (en) 2017-06-12 2021-01-05 Samsung Electronics Co., Ltd. Semiconductor memory device and method of manufacturing the same
US11107828B2 (en) 2017-06-12 2021-08-31 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100649313B1 (en) * 2005-12-29 2006-11-24 동부일렉트로닉스 주식회사 Dual metal line structure in semiconductor device and method of fabricating the same
US8779495B2 (en) * 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
JP4455618B2 (en) * 2007-06-26 2010-04-21 株式会社東芝 Manufacturing method of semiconductor device
JP4643617B2 (en) * 2007-06-26 2011-03-02 株式会社東芝 Nonvolatile semiconductor memory device
TWI338947B (en) * 2007-07-05 2011-03-11 Ind Tech Res Inst Semiconductor device and method of fabricating the same
JP5376789B2 (en) * 2007-10-03 2013-12-25 株式会社東芝 Nonvolatile semiconductor memory device and control method of nonvolatile semiconductor memory device
US7768812B2 (en) 2008-01-15 2010-08-03 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US20090194810A1 (en) * 2008-01-31 2009-08-06 Masahiro Kiyotoshi Semiconductor device using element isolation region of trench isolation structure and manufacturing method thereof
JP4691124B2 (en) * 2008-03-14 2011-06-01 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
JP4649487B2 (en) * 2008-03-17 2011-03-09 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
JP2009238874A (en) * 2008-03-26 2009-10-15 Toshiba Corp Semiconductor memory and method for manufacturing the same
US8034655B2 (en) * 2008-04-08 2011-10-11 Micron Technology, Inc. Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
JP2009259975A (en) * 2008-04-15 2009-11-05 Toshiba Corp Semiconductor integrated circuit device
JP5283960B2 (en) * 2008-04-23 2013-09-04 株式会社東芝 Three-dimensional stacked nonvolatile semiconductor memory
JP5253875B2 (en) * 2008-04-28 2013-07-31 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8211743B2 (en) 2008-05-02 2012-07-03 Micron Technology, Inc. Methods of forming non-volatile memory cells having multi-resistive state material between conductive electrodes
JP2009277770A (en) * 2008-05-13 2009-11-26 Toshiba Corp Non-volatile semiconductor memory device and its production process
US20090283739A1 (en) * 2008-05-19 2009-11-19 Masahiro Kiyotoshi Nonvolatile storage device and method for manufacturing same
JP5244454B2 (en) 2008-05-19 2013-07-24 株式会社東芝 Nonvolatile memory device and manufacturing method thereof
JP5198146B2 (en) * 2008-05-22 2013-05-15 株式会社東芝 Nonvolatile memory device
JP2009283799A (en) * 2008-05-26 2009-12-03 Sharp Corp Nonvolatile semiconductor memory device and method of manufacturing the same
JP5191803B2 (en) 2008-05-29 2013-05-08 株式会社東芝 Method for manufacturing nonvolatile memory device
JP2009295694A (en) * 2008-06-03 2009-12-17 Toshiba Corp Non-volatile semiconductor storage device and manufacturing method thereof
US7915667B2 (en) * 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
US8134137B2 (en) 2008-06-18 2012-03-13 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US8114468B2 (en) * 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
KR20100001260A (en) * 2008-06-26 2010-01-06 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
US9343665B2 (en) * 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
FR2933802B1 (en) * 2008-07-10 2010-10-15 Commissariat Energie Atomique STRUCTURE AND METHOD FOR PRODUCING A MICROELECTRONIC 3D MEMORY DEVICE OF NAND FLASH TYPE
JP5430890B2 (en) 2008-07-25 2014-03-05 株式会社東芝 Semiconductor memory device
US8044448B2 (en) * 2008-07-25 2011-10-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
KR20100031401A (en) * 2008-09-12 2010-03-22 삼성전자주식회사 Semiconductor apparatus and manufacturing method of semiconductor apparatus
KR20080091416A (en) * 2008-08-14 2008-10-13 김성동 Three dimensional semiconductor device and methods of fabricating and operating the same
KR101478678B1 (en) 2008-08-21 2015-01-02 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
JP2010098067A (en) * 2008-10-15 2010-04-30 Toshiba Corp Semiconductor device
KR101493874B1 (en) 2008-11-12 2015-02-16 삼성전자주식회사 Non-volatile memory device
US8148763B2 (en) 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
KR101527195B1 (en) * 2009-02-02 2015-06-10 삼성전자주식회사 Nonvolatile memory device having vertical structure
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
TWI433302B (en) 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
JP4875118B2 (en) * 2009-03-24 2012-02-15 株式会社東芝 Method for manufacturing nonvolatile memory device
KR20100109221A (en) 2009-03-31 2010-10-08 삼성전자주식회사 Method of forming nonvolatile memory device
KR101579587B1 (en) * 2009-04-01 2015-12-22 삼성전자주식회사 Semiconductor device and method of forming the same
JP5390918B2 (en) * 2009-04-14 2014-01-15 シャープ株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
WO2010143306A1 (en) * 2009-06-12 2010-12-16 株式会社 東芝 Nonvolatile semiconductor storage device
US8541832B2 (en) * 2009-07-23 2013-09-24 Samsung Electronics Co., Ltd. Integrated circuit memory devices having vertical transistor arrays therein and methods of forming same
JP4987918B2 (en) * 2009-08-27 2012-08-01 株式会社東芝 Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
KR101164954B1 (en) 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3d non-volatile memory device and method for fabricating the same
KR101584113B1 (en) 2009-09-29 2016-01-13 삼성전자주식회사 3 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US9941332B2 (en) * 2009-10-12 2018-04-10 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8383512B2 (en) 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
JP5558090B2 (en) * 2009-12-16 2014-07-23 株式会社東芝 Resistance variable memory cell array
KR101133392B1 (en) * 2009-12-24 2012-04-19 한양대학교 산학협력단 Non-volatile Memory of having 3 Dimensional Structure
US8569829B2 (en) * 2009-12-28 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2011165815A (en) * 2010-02-08 2011-08-25 Toshiba Corp Nonvolatile semiconductor memory device
JP5450165B2 (en) 2010-02-26 2014-03-26 株式会社東芝 Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
KR20110104317A (en) * 2010-03-16 2011-09-22 삼성전자주식회사 Non-volatile memory device having vertical channel structure
WO2011114502A1 (en) * 2010-03-19 2011-09-22 株式会社 東芝 Nonvolatile semiconductor storage device and method for producing same
WO2011114503A1 (en) 2010-03-19 2011-09-22 株式会社 東芝 Nonvolatile semiconductor storage device and method for producing same
JP2011204773A (en) 2010-03-24 2011-10-13 Toshiba Corp Method of manufacturing nonvolatile semiconductor memory device, and nonvolatile semiconductor memory device
US8427859B2 (en) 2010-04-22 2013-04-23 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
JP5641779B2 (en) * 2010-05-18 2014-12-17 株式会社日立製作所 Nonvolatile memory device and manufacturing method thereof
US8289763B2 (en) 2010-06-07 2012-10-16 Micron Technology, Inc. Memory arrays
JP2011258776A (en) 2010-06-09 2011-12-22 Toshiba Corp Nonvolatile semiconductor memory
US8592873B2 (en) 2010-06-24 2013-11-26 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of forming the same
KR20120003351A (en) 2010-07-02 2012-01-10 삼성전자주식회사 Three dimensional non-volatile memory device and operating method thereof
US8890233B2 (en) * 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
KR101763420B1 (en) 2010-09-16 2017-08-01 삼성전자주식회사 Therr dimensional semiconductor memory devices and methods of fabricating the same
JP5172920B2 (en) 2010-09-16 2013-03-27 株式会社東芝 Nonvolatile semiconductor memory device
JP5651415B2 (en) 2010-09-21 2015-01-14 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP5624415B2 (en) * 2010-09-21 2014-11-12 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8351242B2 (en) 2010-09-29 2013-01-08 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
KR101825539B1 (en) 2010-10-05 2018-03-22 삼성전자주식회사 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US8759809B2 (en) 2010-10-21 2014-06-24 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells having platelike electrode and ion conductive material layer
US8526213B2 (en) 2010-11-01 2013-09-03 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
US8796661B2 (en) 2010-11-01 2014-08-05 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cell
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US8329051B2 (en) * 2010-12-14 2012-12-11 Lam Research Corporation Method for forming stair-step structures
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
JP2012151187A (en) 2011-01-17 2012-08-09 Toshiba Corp Manufacturing method of semiconductor storage device
US8304911B2 (en) 2011-01-19 2012-11-06 Macronix International Co., Ltd. Semiconductor structure and manufacturing method of the same
TWI490862B (en) * 2011-01-19 2015-07-01 Macronix Int Co Ltd Memory architecture of 3d array with improved uniformity of bit line capacitances
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8811077B2 (en) 2011-01-19 2014-08-19 Macronix International Co., Ltd. Memory architecture of 3D array with improved uniformity of bit line capacitances
US8598032B2 (en) * 2011-01-19 2013-12-03 Macronix International Co., Ltd Reduced number of masks for IC device with stacked contact levels
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
CN102637693A (en) * 2011-02-10 2012-08-15 旺宏电子股份有限公司 Semiconductor structure and preparation method thereof
TWI487092B (en) * 2011-02-17 2015-06-01 Macronix Int Co Ltd Semiconductor structure and manufacturing method of the same
US8488365B2 (en) 2011-02-24 2013-07-16 Micron Technology, Inc. Memory cells
US9048341B2 (en) 2011-03-16 2015-06-02 Macronix International Co., Ltd. Integrated circuit capacitor and method
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
JP2012234885A (en) 2011-04-28 2012-11-29 Toshiba Corp Semiconductor device and manufacturing method of the same
JP2012244180A (en) 2011-05-24 2012-12-10 Macronix Internatl Co Ltd Multi-layer structure and manufacturing method for the same
US20120327714A1 (en) * 2011-06-23 2012-12-27 Macronix International Co., Ltd. Memory Architecture of 3D Array With Diode in Memory String
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
JP5674579B2 (en) 2011-07-15 2015-02-25 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP5758744B2 (en) * 2011-08-25 2015-08-05 株式会社日立製作所 Phase change memory
US8541882B2 (en) 2011-09-22 2013-09-24 Macronix International Co. Ltd. Stacked IC device with recessed conductive layers adjacent to interlevel conductors
US8574992B2 (en) 2011-09-22 2013-11-05 Macronix International Co., Ltd. Contact architecture for 3D memory array
KR20130046700A (en) * 2011-10-28 2013-05-08 삼성전자주식회사 Semiconductor memory device including three-dimensionally arranged memory elements
US9082656B2 (en) 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
US8570806B2 (en) 2011-12-13 2013-10-29 Macronix International Co., Ltd. Z-direction decoding for three dimensional memory array
EP2608210B1 (en) * 2011-12-23 2019-04-17 IMEC vzw Stacked RRAM array with integrated transistor selector
US8587998B2 (en) 2012-01-06 2013-11-19 Macronix International Co., Ltd. 3D memory array with read bit line shielding
US8501609B2 (en) * 2012-02-02 2013-08-06 Tower Semiconductor Ltd. Method for generating a three-dimensional NAND memory with mono-crystalline channels using sacrificial material
US8599616B2 (en) 2012-02-02 2013-12-03 Tower Semiconductor Ltd. Three-dimensional NAND memory with stacked mono-crystalline channels
JP5912637B2 (en) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP2013207123A (en) 2012-03-29 2013-10-07 Toshiba Corp Semiconductor device
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8853713B2 (en) 2012-05-07 2014-10-07 Micron Technology, Inc. Resistive memory having confined filament formation
US8987098B2 (en) 2012-06-19 2015-03-24 Macronix International Co., Ltd. Damascene word line
US8633099B1 (en) 2012-07-19 2014-01-21 Macronix International Co., Ltd. Method for forming interlayer connectors in a three-dimensional stacked IC device
US8927957B2 (en) 2012-08-09 2015-01-06 Macronix International Co., Ltd. Sidewall diode driving device and memory using same
US8736069B2 (en) 2012-08-23 2014-05-27 Macronix International Co., Ltd. Multi-level vertical plug formation with stop layers of increasing thicknesses
US8872349B2 (en) * 2012-09-11 2014-10-28 Intel Corporation Bridge interconnect with air gap in package assembly
US9196315B2 (en) 2012-11-19 2015-11-24 Macronix International Co., Ltd. Three dimensional gate structures with horizontal extensions
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9219073B2 (en) 2014-01-17 2015-12-22 Macronix International Co., Ltd. Parallelogram cell design for high speed vertical channel 3D NAND memory
US9502349B2 (en) 2014-01-17 2016-11-22 Macronix International Co., Ltd. Separated lower select line in 3D NAND architecture
US9437605B2 (en) 2012-12-24 2016-09-06 Macronix International Co., Ltd. 3D NAND array architecture
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9224474B2 (en) 2013-01-09 2015-12-29 Macronix International Co., Ltd. P-channel 3D memory array and methods to program and erase the same at bit level and block level utilizing band-to-band and fowler-nordheim tunneling principals
KR102059196B1 (en) 2013-01-11 2019-12-24 에프아이오 세미컨덕터 테크놀로지스, 엘엘씨 Three-Dimensional Semiconductor Devices And Methods Of Fabricating The Same
US8759899B1 (en) 2013-01-11 2014-06-24 Macronix International Co., Ltd. Integration of 3D stacked IC device with peripheral circuits
US9171636B2 (en) 2013-01-29 2015-10-27 Macronix International Co. Ltd. Hot carrier generation and programming in NAND flash
US8987914B2 (en) 2013-02-07 2015-03-24 Macronix International Co., Ltd. Conductor structure and method
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8993429B2 (en) 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US9379126B2 (en) 2013-03-14 2016-06-28 Macronix International Co., Ltd. Damascene conductor for a 3D device
JP2014179530A (en) 2013-03-15 2014-09-25 Toshiba Corp Method for manufacturing non-volatile semiconductor memory device
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US10181532B2 (en) * 2013-03-15 2019-01-15 Cree, Inc. Low loss electronic devices having increased doping for reduced resistance and methods of forming the same
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9117526B2 (en) 2013-07-08 2015-08-25 Macronix International Co., Ltd. Substrate connection of three dimensional NAND for improving erase performance
US9076535B2 (en) 2013-07-08 2015-07-07 Macronix International Co., Ltd. Array arrangement including carrier source
KR20150021742A (en) * 2013-08-21 2015-03-03 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
KR102066925B1 (en) * 2013-08-30 2020-01-16 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9099538B2 (en) 2013-09-17 2015-08-04 Macronix International Co., Ltd. Conductor with a plurality of vertical extensions for a 3D device
US8970040B1 (en) 2013-09-26 2015-03-03 Macronix International Co., Ltd. Contact structure and forming method
US9070447B2 (en) 2013-09-26 2015-06-30 Macronix International Co., Ltd. Contact structure and forming method
JP6138653B2 (en) * 2013-10-08 2017-05-31 株式会社日立ハイテクノロジーズ Dry etching method
KR102190384B1 (en) 2013-10-14 2020-12-14 삼성전자주식회사 Method of fabricating a semiconductor device
US9245603B2 (en) * 2013-10-21 2016-01-26 Macronix International Co., Ltd. Integrated circuit and operating method for the same
JP2015133458A (en) 2014-01-16 2015-07-23 株式会社東芝 Nonvolatile semiconductor storage device
US9373632B2 (en) 2014-01-17 2016-06-21 Macronix International Co., Ltd. Twisted array design for high speed vertical channel 3D NAND memory
US9679849B1 (en) 2014-01-17 2017-06-13 Macronix International Co., Ltd. 3D NAND array with sides having undulating shapes
US9343322B2 (en) 2014-01-17 2016-05-17 Macronix International Co., Ltd. Three dimensional stacking memory film structure
US9698156B2 (en) 2015-03-03 2017-07-04 Macronix International Co., Ltd. Vertical thin-channel memory
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
JP2015167200A (en) 2014-03-04 2015-09-24 株式会社東芝 Non-volatile semiconductor storage device
KR20150104817A (en) * 2014-03-06 2015-09-16 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9196628B1 (en) 2014-05-08 2015-11-24 Macronix International Co., Ltd. 3D stacked IC device with stepped substack interlayer connectors
US9721964B2 (en) 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9356037B2 (en) 2014-07-07 2016-05-31 Macronix International Co., Ltd. Memory architecture of 3D array with interleaved control structures
US9620217B2 (en) 2014-08-12 2017-04-11 Macronix International Co., Ltd. Sub-block erase
US9349745B2 (en) 2014-08-25 2016-05-24 Macronix International Co., Ltd. 3D NAND nonvolatile memory with staggered vertical gates
JP6193828B2 (en) * 2014-09-09 2017-09-06 東芝メモリ株式会社 Nonvolatile memory device and manufacturing method thereof
US9478556B2 (en) 2014-09-11 2016-10-25 Kabushiki Kaisha Toshiba Semiconductor memory device
US9224473B1 (en) 2014-09-15 2015-12-29 Macronix International Co., Ltd. Word line repair for 3D vertical channel memory
US10192753B2 (en) 2014-09-15 2019-01-29 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method of manufacturing the same
US9478546B2 (en) * 2014-10-16 2016-10-25 Macronix International Co., Ltd. LC module layout arrangement for contact opening etch windows
US9589979B2 (en) * 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9728499B2 (en) * 2014-11-26 2017-08-08 Sandisk Technologies Llc Set of stepped surfaces formation for a multilevel interconnect structure
US9455007B2 (en) 2014-12-01 2016-09-27 Macronix International Co., Ltd. Word line driver circuitry and compact memory using same
US9741569B2 (en) 2014-12-16 2017-08-22 Macronix International Co., Ltd. Forming memory using doped oxide
US9356105B1 (en) 2014-12-29 2016-05-31 Macronix International Co., Ltd. Ring gate transistor design for flash memory
TWI553808B (en) * 2015-01-09 2016-10-11 旺宏電子股份有限公司 Pad structure
KR102270101B1 (en) * 2015-02-10 2021-06-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9418743B1 (en) 2015-02-17 2016-08-16 Macronix International Co., Ltd. 3D NAND memory with decoder and local word line drivers
US9530503B2 (en) 2015-02-19 2016-12-27 Macronix International Co., Ltd. And-type SGVC architecture for 3D NAND flash
US9524980B2 (en) 2015-03-03 2016-12-20 Macronix International Co., Ltd. U-shaped vertical thin-channel memory
US9490017B2 (en) 2015-03-10 2016-11-08 Macronix International Co., Ltd. Forced-bias method in sub-block erase
US9673057B2 (en) 2015-03-23 2017-06-06 Lam Research Corporation Method for forming stair-step structures
US9607702B2 (en) 2015-03-25 2017-03-28 Macronix International Co., Ltd. Sub-block page erase in 3D p-channel flash memory
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
TWI564996B (en) * 2015-05-05 2017-01-01 旺宏電子股份有限公司 Semiconductor device and manufacturing method thereof
US9478259B1 (en) 2015-05-05 2016-10-25 Macronix International Co., Ltd. 3D voltage switching transistors for 3D vertical gate memory array
CN104916587A (en) * 2015-05-06 2015-09-16 深圳市海泰康微电子有限公司 Semiconductor device for high-density integrated circuit design and preparation method thereof
US9508446B1 (en) 2015-06-24 2016-11-29 Macronix International Co., Ltd. Temperature compensated reverse current for memory
US9595669B2 (en) * 2015-06-30 2017-03-14 Western Digital Technologies, Inc. Electroplated phase change switch
US9373403B1 (en) 2015-07-02 2016-06-21 Macronix International Co., Ltd. 3D NAND memory device and operation thereof
JP6416053B2 (en) * 2015-07-31 2018-10-31 東芝メモリ株式会社 Nonvolatile semiconductor memory device
TWI566448B (en) * 2015-08-17 2017-01-11 旺宏電子股份有限公司 Memory device and method for manufacturing the same
US10199388B2 (en) * 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
US10096654B2 (en) * 2015-09-11 2018-10-09 Sandisk Technologies Llc Three-dimensional resistive random access memory containing self-aligned memory elements
US10515981B2 (en) 2015-09-21 2019-12-24 Monolithic 3D Inc. Multilevel semiconductor device and structure with memory
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US9401371B1 (en) 2015-09-24 2016-07-26 Macronix International Co., Ltd. Sacrificial spin-on glass for air gap formation after bl isolation process in single gate vertical channel 3D NAND flash
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
TWI582964B (en) 2015-12-30 2017-05-11 旺宏電子股份有限公司 A memory device and method for fabricating the same
US9741563B2 (en) 2016-01-27 2017-08-22 Lam Research Corporation Hybrid stair-step etch
US10134672B2 (en) * 2016-03-15 2018-11-20 Toshiba Memory Corporation Semiconductor memory device having a stepped structure and contact wirings formed thereon
US9911752B2 (en) 2016-03-16 2018-03-06 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9859338B2 (en) * 2016-03-21 2018-01-02 Winbond Electronics Corp. Three-dimensional resistive memory
KR101940374B1 (en) * 2016-05-19 2019-04-11 연세대학교 산학협력단 3 dimensional non-volatile memory device and method of fabricating the same
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10446437B2 (en) 2016-10-10 2019-10-15 Macronix International Co., Ltd. Interlevel connectors in multilevel circuitry, and method for forming the same
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US10083982B2 (en) * 2016-11-17 2018-09-25 Sandisk Technologies Llc Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof
US9972641B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device having a multilevel drain select gate electrode and method of making thereof
KR20180068587A (en) * 2016-12-14 2018-06-22 삼성전자주식회사 vertical type semiconductor device
JP6832764B2 (en) * 2017-03-22 2021-02-24 キオクシア株式会社 Semiconductor storage device and its manufacturing method
KR102533149B1 (en) * 2017-12-05 2023-05-18 삼성전자주식회사 Semiconductor memory device and manufactureing the same
KR20180135526A (en) * 2017-06-12 2018-12-21 삼성전자주식회사 Semiconductor memory device and manufactureing the same
KR20180135642A (en) * 2017-06-13 2018-12-21 삼성전자주식회사 Vertical type memory device
US10283710B2 (en) * 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP2019057669A (en) 2017-09-22 2019-04-11 東芝メモリ株式会社 Semiconductor storage
CN107946193B (en) * 2017-11-23 2021-02-26 长江存储科技有限责任公司 Three-dimensional storage structure manufacturing method, storage structure, memory and electronic equipment
US10756102B2 (en) 2017-11-23 2020-08-25 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory structure and manufacturing method thereof
US10504918B2 (en) * 2018-03-16 2019-12-10 Toshiba Memory Corporation Memory device
JP2019169571A (en) * 2018-03-22 2019-10-03 東芝メモリ株式会社 Storage device
US10700004B2 (en) 2018-04-23 2020-06-30 Macronix International Co., Ltd. 3D NAND world line connection structure
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
KR102542624B1 (en) 2018-07-17 2023-06-15 삼성전자주식회사 Semiconductor device and method for manufacturing the same
JP2020043103A (en) 2018-09-06 2020-03-19 キオクシア株式会社 Semiconductor storage device and method of manufacturing the same
TWI757635B (en) * 2018-09-20 2022-03-11 美商森恩萊斯記憶體公司 Memory structure and process for staircase structures for electrically connecting multiple horizontal conductive layers of a 3-dimensional memory device
US10629608B2 (en) 2018-09-26 2020-04-21 Macronix International Co., Ltd. 3D vertical channel tri-gate NAND memory with tilted hemi-cylindrical structure
JP2020072191A (en) 2018-10-31 2020-05-07 キオクシア株式会社 Semiconductor storage device
US10566348B1 (en) * 2018-11-05 2020-02-18 Macronix International Co., Ltd. Tilted hemi-cylindrical 3D NAND array having bottom reference conductor
JP2020092141A (en) 2018-12-04 2020-06-11 キオクシア株式会社 Semiconductor memory
JP2022519537A (en) 2019-02-11 2022-03-24 サンライズ メモリー コーポレイション Applied memory circuit method as a bit line connector for a vertical thin film transistor and a vertical thin film transistor for a three-dimensional memory array.
US11610914B2 (en) 2019-02-11 2023-03-21 Sunrise Memory Corporation Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
JP2020145296A (en) 2019-03-06 2020-09-10 キオクシア株式会社 Semiconductor storage device
JP2020150083A (en) 2019-03-12 2020-09-17 キオクシア株式会社 Nonvolatile semiconductor memory device
TWI720547B (en) 2019-03-22 2021-03-01 日商東芝記憶體股份有限公司 Semiconductor memory device
US11665908B2 (en) 2019-03-22 2023-05-30 Kioxia Corporation Semiconductor memory device incorporating hafnium oxide insulative portions
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11037947B2 (en) 2019-04-15 2021-06-15 Macronix International Co., Ltd. Array of pillars located in a uniform pattern
KR20210141589A (en) * 2019-04-30 2021-11-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3D memory device with 3D phase change memory
KR20210042225A (en) 2019-10-08 2021-04-19 삼성전자주식회사 Semiconductor memory device and method for manufacturing the same
JP2021140844A (en) * 2020-03-04 2021-09-16 キオクシア株式会社 Non-volatile semiconductor storage device and driving method of non-volatile semiconductor storage device
JP2021150564A (en) 2020-03-23 2021-09-27 キオクシア株式会社 Semiconductor storage device
US11195724B1 (en) * 2020-07-01 2021-12-07 Nanya Technology Corporation Method of manufacturing semiconductor structure
CN117042458A (en) * 2020-07-31 2023-11-10 长江存储科技有限责任公司 Method for forming contact structure and semiconductor device thereof
JP7282728B2 (en) * 2020-10-09 2023-05-29 ウィンボンド エレクトロニクス コーポレーション NAND type flash memory and manufacturing method thereof
TWI784335B (en) * 2020-10-30 2022-11-21 台灣奈米碳素股份有限公司 A method for manufacturing three-dimensional semiconductor diode device
JP2022118607A (en) 2021-02-02 2022-08-15 キオクシア株式会社 memory device

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389705A (en) 1981-08-21 1983-06-21 Mostek Corporation Semiconductor memory circuit with depletion data transfer transistor
JPH07235649A (en) 1994-02-25 1995-09-05 Toshiba Corp Manufacture of non-volatile semiconductor storage device
JP2000353803A (en) 1999-06-10 2000-12-19 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
US6380033B1 (en) 1999-09-20 2002-04-30 Advanced Micro Devices, Inc. Process to improve read disturb for NAND flash memory devices
KR20020065387A (en) 2001-02-06 2002-08-13 가부시끼가이샤 도시바 Semiconductor device and manufacturing method thereof
US6534403B2 (en) 2000-12-22 2003-03-18 Matrix Semiconductor Method of making a contact and via structure
JP2004152893A (en) 2002-10-29 2004-05-27 Sony Corp Semiconductor device and semiconductor memory
US20050014334A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory
JP2006155750A (en) 2004-11-29 2006-06-15 Sony Corp Semiconductor memory device
US20060186483A1 (en) * 2005-02-24 2006-08-24 Samsung Electronics Co., Ltd. Phase change memory devices employing cell diodes and methods of fabricating the same
US20060186446A1 (en) 2005-02-05 2006-08-24 Kim Sung-Min 3-Dimensional flash memory device and method of fabricating the same
US20070018201A1 (en) 2005-07-22 2007-01-25 Michael Specht Non-volatile memory cells and methods for fabricating non-volatile memory cells
JP2007042877A (en) 2005-08-03 2007-02-15 Seiko Epson Corp Semiconductor device and its fabrication process
US20070138536A1 (en) 2005-12-13 2007-06-21 Kabushiki Kaisha Toshiba Method of fabricating a semiconductor memory device
US20070200168A1 (en) 2006-02-16 2007-08-30 Yoshio Ozawa MONOS type nonvolatile memory cell, nonvolatile memory, and manufacturing method thereof
JP2008042205A (en) 2006-08-08 2008-02-21 Lg Philips Lcd Co Ltd V-shaped palette
US20080258203A1 (en) 2007-04-19 2008-10-23 Thomas Happ Stacked sonos memory
US20080259687A1 (en) 2007-04-19 2008-10-23 Michael Specht Integrated Circuits and Methods of Manufacturing Thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1093083A (en) 1996-09-18 1998-04-10 Toshiba Corp Manufacturing method of semiconductor device
TW406419B (en) * 1998-01-15 2000-09-21 Siemens Ag Memory-cells arrangement and its production method
US7042043B2 (en) * 2001-08-30 2006-05-09 Micron Technology, Inc. Programmable array logic or memory devices with asymmetrical tunnel barriers
US6853587B2 (en) * 2002-06-21 2005-02-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US7148538B2 (en) * 2003-12-17 2006-12-12 Micron Technology, Inc. Vertical NAND flash memory array
JP2005243709A (en) * 2004-02-24 2005-09-08 Toshiba Corp Semiconductor device and its manufacturing method
US7075146B2 (en) * 2004-02-24 2006-07-11 Micron Technology, Inc. 4F2 EEPROM NROM memory arrays with vertical devices
KR100609527B1 (en) * 2004-11-17 2006-08-08 주식회사 하이닉스반도체 Phase change resistor cell and non-volatile memory device using the same
KR100640620B1 (en) * 2004-12-27 2006-11-02 삼성전자주식회사 NOR type flash memory device having twin bit cell scheme
KR100660543B1 (en) * 2005-10-24 2006-12-22 삼성전자주식회사 Nand falsh memory device and method of fabricating the same
JP4822841B2 (en) * 2005-12-28 2011-11-24 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP5016832B2 (en) * 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP2008042206A (en) * 2006-08-04 2008-02-21 Samsung Electronics Co Ltd Memory element, and manufacturing method thereof
US7514321B2 (en) * 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4389705A (en) 1981-08-21 1983-06-21 Mostek Corporation Semiconductor memory circuit with depletion data transfer transistor
JPH07235649A (en) 1994-02-25 1995-09-05 Toshiba Corp Manufacture of non-volatile semiconductor storage device
JP2000353803A (en) 1999-06-10 2000-12-19 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
KR20010014937A (en) 1999-06-10 2001-02-26 다니구찌 이찌로오 Semiconductor device and manufacturing method thereof
US6380033B1 (en) 1999-09-20 2002-04-30 Advanced Micro Devices, Inc. Process to improve read disturb for NAND flash memory devices
US6534403B2 (en) 2000-12-22 2003-03-18 Matrix Semiconductor Method of making a contact and via structure
KR20020065387A (en) 2001-02-06 2002-08-13 가부시끼가이샤 도시바 Semiconductor device and manufacturing method thereof
JP2004152893A (en) 2002-10-29 2004-05-27 Sony Corp Semiconductor device and semiconductor memory
JP4403356B2 (en) 2002-10-29 2010-01-27 ソニー株式会社 Semiconductor memory and manufacturing method thereof
US20050012154A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory
US20050012119A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory
US20050012220A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Contacts for an improved high-density nonvolatile memory
US20050014322A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory
US20050012120A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory
US20050014334A1 (en) 2002-12-19 2005-01-20 Matrix Semiconductor Method for making high density nonvolatile memory
JP2006155750A (en) 2004-11-29 2006-06-15 Sony Corp Semiconductor memory device
US20060186446A1 (en) 2005-02-05 2006-08-24 Kim Sung-Min 3-Dimensional flash memory device and method of fabricating the same
US20060186483A1 (en) * 2005-02-24 2006-08-24 Samsung Electronics Co., Ltd. Phase change memory devices employing cell diodes and methods of fabricating the same
US20070018201A1 (en) 2005-07-22 2007-01-25 Michael Specht Non-volatile memory cells and methods for fabricating non-volatile memory cells
JP2007042877A (en) 2005-08-03 2007-02-15 Seiko Epson Corp Semiconductor device and its fabrication process
US20070138536A1 (en) 2005-12-13 2007-06-21 Kabushiki Kaisha Toshiba Method of fabricating a semiconductor memory device
US20070200168A1 (en) 2006-02-16 2007-08-30 Yoshio Ozawa MONOS type nonvolatile memory cell, nonvolatile memory, and manufacturing method thereof
JP2008042205A (en) 2006-08-08 2008-02-21 Lg Philips Lcd Co Ltd V-shaped palette
US20080258203A1 (en) 2007-04-19 2008-10-23 Thomas Happ Stacked sonos memory
US20080259687A1 (en) 2007-04-19 2008-10-23 Michael Specht Integrated Circuits and Methods of Manufacturing Thereof

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
"Notice of Reasons for Rejection" issued in Japanese patent application No. 2006-256194, mailed on Feb. 22, 2011, and English-language translation thereof (9 pages total).
A. Walker et al., "3D TFT-SONOS Memory Cell for Ultra-High Density File Storage Applications", 2003 Symposium on VLSI Technology Digest of Technical Papers, (2 pages).
E. Lai, et al. "A Multi-Layer Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory." International Electron Device Meeting 2006, pp. 41-44.
Notification for Filing Opinion in Korean Application No. 10-2007-95646, mailed Sep. 16, 2008, and English translation thereof.
Notification for Filing Opinion issued by the Korean Patent Office in Korean Application No. 10-2007-95646 mailed Jul. 28, 2009, and English language translation thereof.
S. Jung, et al., "Three Dimensionally Stack NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node". Tech. Digest of IEDM 2006, 2.3.1-2.3.4.
Sagara et al., "A 0.72 mum2 Recessed STC (RSTC) Technology for 256Mbit DRAMs Using Quarter-Micron Phase-Shit Lithography," Symposium on VLSI Technology Digest of Technical Papers, pp. 10-11 (1992).
Sagara et al., "A 0.72 μm2 Recessed STC (RSTC) Technology for 256Mbit DRAMs Using Quarter-Micron Phase-Shit Lithography," Symposium on VLSI Technology Digest of Technical Papers, pp. 10-11 (1992).

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10403634B2 (en) 2017-06-12 2019-09-03 Samsung Electronics Co., Ltd Semiconductor memory device and method of manufacturing the same
US10886299B2 (en) 2017-06-12 2021-01-05 Samsung Electronics Co., Ltd. Semiconductor memory device and method of manufacturing the same
US11107828B2 (en) 2017-06-12 2021-08-31 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same

Also Published As

Publication number Publication date
US20110272745A1 (en) 2011-11-10
JP2008078404A (en) 2008-04-03
US8766373B2 (en) 2014-07-01
US20190006419A1 (en) 2019-01-03
US20080073635A1 (en) 2008-03-27
US10056433B2 (en) 2018-08-21
US20140264227A1 (en) 2014-09-18
US20160351621A1 (en) 2016-12-01
US11101325B2 (en) 2021-08-24
KR20080027162A (en) 2008-03-26
KR100949228B1 (en) 2010-03-24
US20210351235A1 (en) 2021-11-11
US8008732B2 (en) 2011-08-30

Similar Documents

Publication Publication Date Title
US11101325B2 (en) Semiconductor memory and method of manufacturing the same
US10644024B2 (en) Transistor, semiconductor device, memory device and fabrication the same
US10347647B1 (en) Three-dimensional memory device containing multi-threshold-voltage drain select gates and method of making the same
CN110520984A (en) It is used to form the structures and methods of the capacitor of three dimensional NAND
US10553537B2 (en) Interconnects containing serpentine line structures for three-dimensional memory devices and methods of making the same
US8859327B2 (en) Method for manufacturing a non-volatile semiconductor memory device
TWI575579B (en) Method of manufacturing semiconductor device and semiconductor device
CN110506334A (en) Three-dimensional storage part with deep isolation structure
US7291881B2 (en) Bit line structure and method of fabrication
US11569120B2 (en) Memory arrays and methods used in forming a memory array comprising strings of memory cells
US7723775B2 (en) NAND flash memory device having a contact for controlling a well potential
US20220093467A1 (en) Integrated Circuitry, Memory Arrays Comprising Strings Of Memory Cells, Methods Used In Forming Integrated Circuitry, And Methods Used In Forming A Memory Array Comprising Strings Of Memory Cells
KR20230018424A (en) A memory array comprising a string of memory cells and a method used to form a memory array comprising a string of memory cells
US7700427B2 (en) Integrated circuit having a Fin structure
US11937437B2 (en) Semiconductor memory and method of manufacturing the same
US20160104746A1 (en) Methods of fabricating a variable resistance memory device using masking and selective removal
TWI784724B (en) Split-gate, 2-bit non-volatile memory cell with erase gate disposed over word line gate, and method of making same
TWI642182B (en) Fin-based nonvolatile memory structures, integrated circuits with such structures, and methods for fabricating same
US11751393B2 (en) Memory arrays and methods used in forming a memory array comprising strings of memory cells
TW200840025A (en) NAND type non-volatile memory and fabricating method thereof
US20220149066A1 (en) Memory Array And Method Used In Forming A Memory Array Comprising Strings Of Memory Cells
US8148770B1 (en) Memory device with buried bit line structure
US20150263027A1 (en) Nonvolatile semiconductor storage device

Legal Events

Date Code Title Description
AS Assignment

Owner name: KABUSHIKI KAISHA TOSHIBA, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIYOTOSHI, MASAHIRO;YAMAMOTO, AKIHITO;OZAWA, YOSHIO;AND OTHERS;SIGNING DATES FROM 20070723 TO 20070910;REEL/FRAME:039439/0970

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: TOSHIBA MEMORY CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KABUSHIKI KAISHA TOSHIBA;REEL/FRAME:043151/0011

Effective date: 20170630

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: K.K. PANGEA, JAPAN

Free format text: MERGER;ASSIGNOR:TOSHIBA MEMORY CORPORATION;REEL/FRAME:055659/0471

Effective date: 20180801

Owner name: TOSHIBA MEMORY CORPORATION, JAPAN

Free format text: CHANGE OF NAME AND ADDRESS;ASSIGNOR:K.K. PANGEA;REEL/FRAME:055669/0401

Effective date: 20180801

Owner name: KIOXIA CORPORATION, JAPAN

Free format text: CHANGE OF NAME AND ADDRESS;ASSIGNOR:TOSHIBA MEMORY CORPORATION;REEL/FRAME:055669/0001

Effective date: 20191001