USRE39484E1 - Process for the production of thin semiconductor material films - Google Patents

Process for the production of thin semiconductor material films Download PDF

Info

Publication number
USRE39484E1
USRE39484E1 US10/449,786 US44978603A USRE39484E US RE39484 E1 USRE39484 E1 US RE39484E1 US 44978603 A US44978603 A US 44978603A US RE39484 E USRE39484 E US RE39484E
Authority
US
United States
Prior art keywords
semiconductor material
wafer
preparation
thin semiconductor
films according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US10/449,786
Inventor
Michel Bruel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Commissariat a lEnergie Atomique CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=9417059&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=USRE39484(E1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Commissariat a lEnergie Atomique CEA filed Critical Commissariat a lEnergie Atomique CEA
Priority to US10/449,786 priority Critical patent/USRE39484E1/en
Application granted granted Critical
Publication of USRE39484E1 publication Critical patent/USRE39484E1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01LMEASURING FORCE, STRESS, TORQUE, WORK, MECHANICAL POWER, MECHANICAL EFFICIENCY, OR FLUID PRESSURE
    • G01L9/00Measuring steady of quasi-steady pressure of fluid or fluent solid material by electric or magnetic pressure-sensitive elements; Transmitting or indicating the displacement of mechanical pressure-sensitive elements, used to measure the steady or quasi-steady pressure of a fluid or fluent solid material, by electric or magnetic means
    • G01L9/0041Transmitting or indicating the displacement of flexible diaphragms
    • G01L9/0042Constructional details associated with semiconductive diaphragm sensors, e.g. etching, or constructional details of non-semiconductive diaphragms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D1/00Working stone or stone-like materials, e.g. brick, concrete or glass, not provided for elsewhere; Machines, devices, tools therefor
    • B28D1/005Cutting sheet laminae in planes between faces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B28WORKING CEMENT, CLAY, OR STONE
    • B28DWORKING STONE OR STONE-LIKE MATERIALS
    • B28D5/00Fine working of gems, jewels, crystals, e.g. of semiconductor material; apparatus or devices therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0093Wafer bonding; Removal of the growth substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68363Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/012Bonding, e.g. electrostatic for strain gauges

Definitions

  • the present invention relates to a process for the production of thin semiconductor material films, preferably applicable to the production of monocrystalline films.
  • monocrystalline films are those used for producing socalled “silicon on insulator” substrates, where the aim is to produce a monocrystalline silicon film resting on a substrate electrically insulated from the film.
  • the SIMOX process makes use of high oxygen dose ion implantation in a silicon substrate for creating in the silicon volume a silicon oxide layer separating a monocrystalline silicon film from the substrate mass (cf. ref. 1).
  • monocrystalline semiconductor films are silicon on insulator substrates, self-supporting silicon or silicon carbide membranes or diaphragms for producing X-ray lithography masks, sensors, solar cells and the production of integrated circuits with several active layers.
  • Heteroepitaxy methods are limited by the nature of the substrate, because the lattice parameter of the substrate is not precisely the same as that of the semiconductor, the film having numerous crystal defects. In addition, these substrates are expensive and fragile and only exist with limited dimensions.
  • the SIMOX method requires a very high dose ion implantation requiring a very heavy and complex implantation machine. The output of such machines is limited and it would be difficult to significantly increase it.
  • Thinning methods are not competitive from the uniformity and quality standpoints except when using the etch-stop principle.
  • the creation of said etch-stop makes the process complex and in certain cases can limit the use of the film.
  • the etch-stop is produced by p-type doping in a n-type substrate, any electronic devices produced in the film would have to adapt to the p-type nature of the films.
  • the present invention relates to a process for producing thin semiconductor material films making it possible to overcome the aforementioned disadvantages without requiring an initial substrate of a different nature from that of the chosen semiconductor, without requiring very high implantation doses, or an etch-stop, but which still makes it possible to obtain a film having a uniform, controlled thickness.
  • This process for the preparation of thin films is characterized in that it comprises subjecting a semiconductor material wafer having a planar face and whose plane is either substantially parallel to a principle crystallographic plane in the case where the semiconductor material is perfectly monocrystalline, or slightly inclined with respect to the principle crystallographic plane of the same indices for all the grains, in the case wherein the material is polycrystalline, to the three following stages:
  • the invention also applies to a polycrystalline semiconductor material, provided that the grains constituting the latter all have a principle crystallographic plane (said plane having the same indices, e.g. 1,0,0 for all the semiconductor grains) substantially parallel to the semiconductor surface.
  • a principle crystallographic plane said plane having the same indices, e.g. 1,0,0 for all the semiconductor grains
  • the term implantation stage is understood to mean both a single implantation stage and a succession of implantations at different does and/or different energies and/or with different ions.
  • the encapsulating layers can be used as means for reducing the penetration of ions in the semiconductor for producing finer membranes or as a means for protecting the semiconductor from possible contamination, or as a means for controlling the physiochemical state of the semiconductor surface.
  • the substrate constituting the wafer is made from silicon, it can be advantageous to choose an encapsulating layer constituted by thermal silicon oxide with a thickness e.g. between 25 and 500 nm.
  • the temperature of the wafer on which ion implantation takes place is controlled throughout the operation, so that it remains below the critical temperature at which the gas produced by the implanted ion diffuses rapidly and escapes from the semiconductor.
  • said critical temperature is approximately 500° C. for hydrogen implantation in silicon. Above said temperature, the process becomes ineffective due to the absence of microbubble formation.
  • the third stage of the heat treatment of the wafer-stiffener assembly there is a crystalline rearrangement following the disorder created by the ion implantation.
  • the separation between the film and the substrate is due both to the crystalline rearrangement and to the coalescence of the bubbles, which produce microbubbles, both resulting from the third stage heat treatment.
  • the semiconductor surface Under the effect of the pressure of the gas within these bubbles, the semiconductor surface is subject to high stresses. If it is wished to avoid a surface deformation and the formation of blisters corresponding to the macrobubbles formed, it is vital to compensate these stresses.
  • the blisters can shatter before the macrobubbles have reached their final growth stage and have coalesced with one another.
  • this compensation is brought about by the intimate contacting of the semiconductor wafer surface and a stiffener.
  • the function of the stiffener is that is contact with the surface and its mechanical properties will lead to a compensation of the stresses produced by the macrobubbles. Therefore the semiconductor film can remain flat and intact throughout the heat treatment phase and up to the final cleaving.
  • the choice of the production method for said stiffener and its nature are a function of each envisaged application for the said film.
  • the stiffener can advantageously be constituted by a silicon wafer covered by at least one dielectric layer, such as an oxide or a nitride layer, the dielectric of the stiffener being intimately contacted with the wafer from which the film is to be produced, the wafer optionally having or not having an e.g. silicon oxide encapsulating layer.
  • the stiffener can either be joined to the wafer, or can be produced thereon with the aid of methods such as evaporation, atomization, chemical vapor deposition, which may or may not be plasma or photon-assisted, if the thickness chosen for the stiffener is of a moderate nature, i.e. a few micrometers to a few dozen micrometers.
  • intimate contact is understood to mean a contact obtained by pressing the stiffener onto the wafer, e.g. by electrostatic pressure and/or by an adherent contact.
  • said same stiffener can also be bonded to the semiconductor wafer either by an adhesive substance both to the stiffener and to the wafer, or, if it is not desired to use an adhesive substance, by the effect of a prior preparation of at least one of the surfaces to be bonded and a thermal and/or electrostatic treatment, optionally with a choice of pressures in order to assist the interatomic bonds between the stiffener and the semiconductor wafer.
  • the stiffener can also be applied to the wafer by an electrostatic pressure.
  • stiffener For applications concerning the production of self-supporting diaphragms and membranes, it is appropriate to choose the nature of the stiffener such that it is easily and selectively possible to separate the stiffener from the film.
  • a silicon oxide stiffener for information purposes, in order to produce a monocrystalline silicon diaphragm, it is e.g. possible to choose a silicon oxide stiffener, which is then eliminated in a hydrofluoric acid bath following the third thermal stage of the process.
  • the choice of the performance temperatures for the second and third stages must comply with the following requirements.
  • the installation of the stiffener on the wafer must not lead to the application thereto of a temperature, which might trigger the third stage procedures.
  • This heat treatment must, according to the invention, be carried out at a temperature at which the crystalline rearrangement and coalescence of the bubbles can effectively take place.
  • a temperature above approximately 500° C. is necessary to enable the crystalline rearrangement and coalescence of the bubbles to take place with adequate kinetics.
  • the ions used for implantation by bombardment are usually H+ ions, but this choice must not be looked upon as limitative.
  • the principle of the method is applicable with molecules hydrogen ions or with ions of rare gases such as helium, neon, krypton and xenon, used either singly or in combination.
  • group IV semiconductors preference is given to group IV semiconductors and it is e.g. possible to use silicon, germanium, silicon carbide and silicon-germanium alloys.
  • FIG. 1 The concentration profile of the hydrogen ions as a function of the penetration depth.
  • FIG. 2 The monocrystalline semiconductor wafer used in the invention as the origin of the monocrystalline film, in section, exposed to a bombardment of H+ ions and within which has appeared a gas microbubble layer produced by the implanted particles.
  • FIG. 3 The semiconductor wafer shown in FIG. 2 and covered with a stiffener.
  • FIG. 4 The assembly of the semiconductor wafer and the stiffener shown in FIG. 3 at the end of the heat treatment phase, when cleaving has take place between the film and the substrate mass.
  • H+ ions prototons
  • a monocrystalline silicon wafer whose surface corresponds to a principle crystallographic plane, e.g. a 1,0,0 plane lead, in the case of weak implantation doses ( ⁇ 10 16 cm ⁇ 2 ) to a hydrogen concentration profile C as a function of the depth P having a concentration maximum for a depth Rp, as shown in FIG. 1 .
  • Rp is approximately 1.25 micrometers.
  • the implanted hydrogen atoms start to form bubbles, which are distributed in the vicinity of a plane parallel to the surface.
  • the plane of the surface corresponds to a principal crystallographic plane and the same applies with respect to the plane of the microbubbles, which is consequently a cleaving plane.
  • Hydrogen implantation is an advantageous example, because the braking process of said ion in silicon is essentially ionization (electronic braking), the braking of the nuclear type with atomic displacements only occurring at the end of the range. This is why very few defects are created in the surface layer of the silicon and the bubbles are concentrated in the vicinity of the depth Rp (depth of the concentration maximum) over a limited thickness. This makes it possible to obtain the necessary efficiency of the method for moderate implanted doses (5 ⁇ 10 6 cm ⁇ 2 ) and, following the separation of the surface layer, a surface having a limited roughness.
  • the use of the process according to the invention makes it possible to choose the thickness of the thin film within a wide thickness range by choosing the implantation energy. This property is all the more important as the implanted ion has a low atomic number z.
  • FIG. 2 shows the semiconductor wafer I optionally covered with an encapsulating layer 10 subject to an ion bombardment 2 of H+ ions through the planar face 4 , which is parallel to a principal crystallographic plane. It is possible to see the microbubble layer 3 parallel to the face 4 .
  • the layer 3 and the face 4 define the thin film 5 .
  • the remainder of the semiconductor substrate 6 constitutes the mass of the substrate.
  • FIG. 3 shows the stiffener 7 which is brought into intimate contact with the face 4 of the semiconductor wafer 1 .
  • ion implantation in the material takes place through a thermal silicon oxide encapsulating layer 10 and the stiffener 7 is constituted by a silicon wafer covered by at least one dielectric layer.
  • Another embodiment uses an electrostatic pressure for fixing the stiffener to the semiconductor material.
  • a silicon stiffener is chosen having an e.g. 5000 ⁇ thick silicon oxide layer.
  • the planar face of the wafer is brought into contact with the oxide of the stiffener and between the wafer and the stiffener is applied a potential difference of several dozen volts.
  • the pressures obtained are then a few 10 5 to 10 6 Pascal.
  • FIG. 4 shows the film 5 joined to the stiffener 7 separated by the space 8 from the mass of the substrate 6 .

Abstract

Process for the preparation of thin monocrystalline or polycrystalline semiconductor material films, characterized in that it comprises subjecting a semiconductor material wafer having a planar face to the three following stages: a first stage of implantation by bombardment (2) of the face (4) of the said wafer (1) by means of ions creating in the volume of said wafer a layer (3) of gaseous microbubbles defining in the volume of said wafer a lower region (6) constituting the mass of the substrate and an upper region (5) constituting the thin film, a second stage of intimately contacting the planar face (4) of said wafer with a stiffener (7) constituted by at least one rigid material layer, a third stage of heat treating the assembly of said wafer (1) and said stiffener (7) at a temperature above that at which the ion bombardment (2) was carried out and sufficient to create by a crystalline rearrangement effect in said wafer (1) and a pressure effect in the said microbubbles, a separation between the thin film (5) and the mass of the substrate (6).

Description

BACKGROUND OF THE INVENTION
The present invention relates to a process for the production of thin semiconductor material films, preferably applicable to the production of monocrystalline films.
It is known that for producing monocrystalline semiconductor films there are various methods and processes, which are often complex and expensive to carry out, because although it is relatively easy to produce polycrystalline or amorphous material films, it is much more difficult to produce monocrystalline films.
Among the methods used for producing monocrystalline films are those used for producing socalled “silicon on insulator” substrates, where the aim is to produce a monocrystalline silicon film resting on a substrate electrically insulated from the film.
By crystal growth heteroepitaxy methods make it possible to grow an e.g. thin film silicon crystal on a monocrystalline substrate of another type, whose lattice parameter is close to that of silicon, e.g. a sapphire substrate (Al2O3) or calcium fluoride substrate (CaF2). (cf. ref. 5) (identified below).
The SIMOX process (name used in the literature) makes use of high oxygen dose ion implantation in a silicon substrate for creating in the silicon volume a silicon oxide layer separating a monocrystalline silicon film from the substrate mass (cf. ref. 1).
Other processes make use of the principle of thinning a wafer by chemical or mechanochemical abrasion. The most successful of the processes in this category also use the etch-stop principle, which makes it possible to stop the thinning of the wafer as soon as the requisite thickness is reached and in this way it is possible to ensure a uniformity of thickness. This procedure e.g. consists of p-type doping of the n-type substrate over the thickness of the film which it is wished to obtain and then chemically etching the substrate with a chemical bath active for the n-type silicon and inactive for the p-type silicon (cf. refs. 2 and 3).
The main applications of monocrystalline semiconductor films are silicon on insulator substrates, self-supporting silicon or silicon carbide membranes or diaphragms for producing X-ray lithography masks, sensors, solar cells and the production of integrated circuits with several active layers.
The various methods for producing thin monocrystalline films suffer from the disadvantages associated with the production procedures.
Heteroepitaxy methods are limited by the nature of the substrate, because the lattice parameter of the substrate is not precisely the same as that of the semiconductor, the film having numerous crystal defects. In addition, these substrates are expensive and fragile and only exist with limited dimensions.
The SIMOX method requires a very high dose ion implantation requiring a very heavy and complex implantation machine. The output of such machines is limited and it would be difficult to significantly increase it.
Thinning methods are not competitive from the uniformity and quality standpoints except when using the etch-stop principle. Unfortunately, the creation of said etch-stop makes the process complex and in certain cases can limit the use of the film. Thus, if the etch-stop is produced by p-type doping in a n-type substrate, any electronic devices produced in the film would have to adapt to the p-type nature of the films.
SUMMARY OF THE INVENTION
The present invention relates to a process for producing thin semiconductor material films making it possible to overcome the aforementioned disadvantages without requiring an initial substrate of a different nature from that of the chosen semiconductor, without requiring very high implantation doses, or an etch-stop, but which still makes it possible to obtain a film having a uniform, controlled thickness.
This process for the preparation of thin films is characterized in that it comprises subjecting a semiconductor material wafer having a planar face and whose plane is either substantially parallel to a principle crystallographic plane in the case where the semiconductor material is perfectly monocrystalline, or slightly inclined with respect to the principle crystallographic plane of the same indices for all the grains, in the case wherein the material is polycrystalline, to the three following stages:
a first stage of implantation by bombardment (2) of the face (4) of said wafer (1) by means of ions creating in the volume of said wafer at a depth close to the average penetration depth of the said ions, a layer (3) of gaseous microbubbles defining in the volume of said wafer a lower region (6) constituting the mass of the substrate and an upper region (5) constituting the thin film, the ions being chosen from among hydrogen gas or rare gas ions and the temperature of the wafer during implantation being kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion,
a second stage of intimately contacting the planar face (4) of said wafer with a stiffener (7) constituted by at least one rigid material layer,
a third stage of thermally treating the assembly of said wafer (1) and said stiffener (7) at a temperature above that at which ion bombardment (2) takes place and adequate to create by a crystalline rearrangement effect in the wafer (1) and a pressure effect in the microbubbles, a separation between the thin film (5) and the mass of the substrate (6), the stiffener and the planar face of the wafer being kept in intimate contact during said stage.
Thus, the invention also applies to a polycrystalline semiconductor material, provided that the grains constituting the latter all have a principle crystallographic plane (said plane having the same indices, e.g. 1,0,0 for all the semiconductor grains) substantially parallel to the semiconductor surface. With respect to the semiconductor materials reference can be made to ZMRSOI (ZMR=Zone−Melting−Recrystallization) (cf. ref. 4). The term implantation stage is understood to mean both a single implantation stage and a succession of implantations at different does and/or different energies and/or with different ions.
According to a variant of the process according to the invention, it can be advantageous to carry out ion implantation in a semiconductor material through one or more layers of materials, said “encapsulating” layers being chosen in such a way that the ions traverse the same and penetrate the semiconductor. For example, the encapsulating layers can be used as means for reducing the penetration of ions in the semiconductor for producing finer membranes or as a means for protecting the semiconductor from possible contamination, or as a means for controlling the physiochemical state of the semiconductor surface. When the substrate constituting the wafer is made from silicon, it can be advantageous to choose an encapsulating layer constituted by thermal silicon oxide with a thickness e.g. between 25 and 500 nm. These encapsulating layers can be retained or removed following the implantation state.
According to the invention, the temperature of the wafer on which ion implantation takes place is controlled throughout the operation, so that it remains below the critical temperature at which the gas produced by the implanted ion diffuses rapidly and escapes from the semiconductor. For example, said critical temperature is approximately 500° C. for hydrogen implantation in silicon. Above said temperature, the process becomes ineffective due to the absence of microbubble formation. In the case of silicon, preference is given to an implantation temperature between 20° and 450° C.
During the third stage of the heat treatment of the wafer-stiffener assembly, there is a crystalline rearrangement following the disorder created by the ion implantation. The separation between the film and the substrate is due both to the crystalline rearrangement and to the coalescence of the bubbles, which produce microbubbles, both resulting from the third stage heat treatment. Under the effect of the pressure of the gas within these bubbles, the semiconductor surface is subject to high stresses. If it is wished to avoid a surface deformation and the formation of blisters corresponding to the macrobubbles formed, it is vital to compensate these stresses. Thus, the blisters can shatter before the macrobubbles have reached their final growth stage and have coalesced with one another. Therefore if it is wished to obtain a continuous semiconductor film, it is necessary to compensate the stresses appearing during the heat treatment phase. According to the invention, this compensation is brought about by the intimate contacting of the semiconductor wafer surface and a stiffener. The function of the stiffener is that is contact with the surface and its mechanical properties will lead to a compensation of the stresses produced by the macrobubbles. Therefore the semiconductor film can remain flat and intact throughout the heat treatment phase and up to the final cleaving.
According to the invention, the choice of the production method for said stiffener and its nature are a function of each envisaged application for the said film. For example, if the intended application is the production of a silicon on insulator substrate, the stiffener can advantageously be constituted by a silicon wafer covered by at least one dielectric layer, such as an oxide or a nitride layer, the dielectric of the stiffener being intimately contacted with the wafer from which the film is to be produced, the wafer optionally having or not having an e.g. silicon oxide encapsulating layer.
The stiffener can either be joined to the wafer, or can be produced thereon with the aid of methods such as evaporation, atomization, chemical vapor deposition, which may or may not be plasma or photon-assisted, if the thickness chosen for the stiffener is of a moderate nature, i.e. a few micrometers to a few dozen micrometers.
The term intimate contact is understood to mean a contact obtained by pressing the stiffener onto the wafer, e.g. by electrostatic pressure and/or by an adherent contact.
Thus, according to the invention, said same stiffener can also be bonded to the semiconductor wafer either by an adhesive substance both to the stiffener and to the wafer, or, if it is not desired to use an adhesive substance, by the effect of a prior preparation of at least one of the surfaces to be bonded and a thermal and/or electrostatic treatment, optionally with a choice of pressures in order to assist the interatomic bonds between the stiffener and the semiconductor wafer. The stiffener can also be applied to the wafer by an electrostatic pressure.
For applications concerning the production of self-supporting diaphragms and membranes, it is appropriate to choose the nature of the stiffener such that it is easily and selectively possible to separate the stiffener from the film. For information purposes, in order to produce a monocrystalline silicon diaphragm, it is e.g. possible to choose a silicon oxide stiffener, which is then eliminated in a hydrofluoric acid bath following the third thermal stage of the process.
According to a feature of the process according to the invention, the choice of the performance temperatures for the second and third stages must comply with the following requirements. The installation of the stiffener on the wafer must not lead to the application thereto of a temperature, which might trigger the third stage procedures. For this reason, it is necessary according to the invention to carry out the second stage of the process at a temperature below that of the heat treatment of the third stage. This heat treatment must, according to the invention, be carried out at a temperature at which the crystalline rearrangement and coalescence of the bubbles can effectively take place. For example, in the case of silicon, a temperature above approximately 500° C. is necessary to enable the crystalline rearrangement and coalescence of the bubbles to take place with adequate kinetics.
In the performance of the process according to the invention, the ions used for implantation by bombardment are usually H+ ions, but this choice must not be looked upon as limitative. Thus, the principle of the method is applicable with molecules hydrogen ions or with ions of rare gases such as helium, neon, krypton and xenon, used either singly or in combination. For industrial applications of the process according to the invention, preference is given to group IV semiconductors and it is e.g. possible to use silicon, germanium, silicon carbide and silicon-germanium alloys.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention is described in greater detail hereinafter relative to non-limitative embodiments and with reference to the attached drawings, wherein show:
FIG. 1 The concentration profile of the hydrogen ions as a function of the penetration depth.
FIG. 2 The monocrystalline semiconductor wafer used in the invention as the origin of the monocrystalline film, in section, exposed to a bombardment of H+ ions and within which has appeared a gas microbubble layer produced by the implanted particles.
FIG. 3 The semiconductor wafer shown in FIG. 2 and covered with a stiffener.
FIG. 4 The assembly of the semiconductor wafer and the stiffener shown in FIG. 3 at the end of the heat treatment phase, when cleaving has take place between the film and the substrate mass.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The embodiment which will now be described in conjunction with the above drawings relates to the production of a thin film in a monocrystalline silicon wafer with the aid of H+ ion implantations.
The implantation of H+ ions (protons) at 150 keV in a monocrystalline silicon wafer, whose surface corresponds to a principle crystallographic plane, e.g. a 1,0,0 plane lead, in the case of weak implantation doses (<1016 cm−2) to a hydrogen concentration profile C as a function of the depth P having a concentration maximum for a depth Rp, as shown in FIG. 1. In the case of a proton implantation in silicon, Rp is approximately 1.25 micrometers.
For doses of approximately 1016 cm−2, the implanted hydrogen atoms start to form bubbles, which are distributed in the vicinity of a plane parallel to the surface. The plane of the surface corresponds to a principal crystallographic plane and the same applies with respect to the plane of the microbubbles, which is consequently a cleaving plane.
For an implanted dose of >1016 cm−2 (e.g. 5·1016 cm2), it is possible to thermally trigger the coalescence between the bubbles inducing a cleaving into two parts of the silicon, an upper 1.2 micrometer thick film (the thin film) and the mass of the substrate.
Hydrogen implantation is an advantageous example, because the braking process of said ion in silicon is essentially ionization (electronic braking), the braking of the nuclear type with atomic displacements only occurring at the end of the range. This is why very few defects are created in the surface layer of the silicon and the bubbles are concentrated in the vicinity of the depth Rp (depth of the concentration maximum) over a limited thickness. This makes it possible to obtain the necessary efficiency of the method for moderate implanted doses (5·106 cm−2) and, following the separation of the surface layer, a surface having a limited roughness.
The use of the process according to the invention makes it possible to choose the thickness of the thin film within a wide thickness range by choosing the implantation energy. This property is all the more important as the implanted ion has a low atomic number z. For example, the following table gives the thickness of the film which can be obtained for different implantation energies of H+ ions (z=1).
Energy of H + 10 50 100 150 200 500 1000
ions in keV
Thickness of the 0.1 0.5 0.9 1.2 1.6 4.7 13.5
film in μm
FIG. 2 shows the semiconductor wafer I optionally covered with an encapsulating layer 10 subject to an ion bombardment 2 of H+ ions through the planar face 4, which is parallel to a principal crystallographic plane. It is possible to see the microbubble layer 3 parallel to the face 4. The layer 3 and the face 4 define the thin film 5. The remainder of the semiconductor substrate 6 constitutes the mass of the substrate.
FIG. 3 shows the stiffener 7 which is brought into intimate contact with the face 4 of the semiconductor wafer 1. In an interesting embodiment of the invention, ion implantation in the material takes place through a thermal silicon oxide encapsulating layer 10 and the stiffener 7 is constituted by a silicon wafer covered by at least one dielectric layer.
Another embodiment uses an electrostatic pressure for fixing the stiffener to the semiconductor material. In this case, a silicon stiffener is chosen having an e.g. 5000 Å thick silicon oxide layer. The planar face of the wafer is brought into contact with the oxide of the stiffener and between the wafer and the stiffener is applied a potential difference of several dozen volts. The pressures obtained are then a few 105 to 106 Pascal.
FIG. 4 shows the film 5 joined to the stiffener 7 separated by the space 8 from the mass of the substrate 6.
The present text refers to the following documents:
(1) SIMOX OI for Integrated Circuit Fabrication by Hon Wai Lam, IEEE Circuits and Devices Magazine, July 1987.
(2) Silicon on Insulator Wafer Bonding, Wafer Thinning, Technological Evaluations by Haisma, Spierings, Bierman et Pals, Japanese Journal of Applied Physics, vol. 28, no. 8, August 1989.
(3) Bonding of silicon wafers for silicon on insulator by Maszara, Goetz, Caviglia and McKitterick, Journal of Applied Physics 64 (10) 15 November 1988.
(4) Zone melting recrystallization silicon on insulator technology by Bor Yeu Tsaur, IEEE Circuits and Devices Magazine, July 1987.
(5) 1986 IEEE SOS/SOI Technology Workshop, Sep. 30-Oct. 2, 1986, South Seas plantation resort and yacht Harbour, Captiva Island, Fla.

Claims (70)

1. Process for the preparation of thin semiconductor material films, wherein the process comprises subjecting a semiconductor material wafer having a planar face and whose plane, is substantially parallel to a principal crystallographic plane, to the three following stages:
a first stage of implantation by ion bombardment of the face of said wafer by means of ions creating in the volume of said wafer at a depth close to the average penetration depth of said ions, a layer of gaseous microbubbles defining in the volume of said wafer a lower region constituting a majority of the substrate and an upper region constituting the thin semiconductor material film, the ions being chosen from among hydrogen gas ions or rare gas ions and, wherein the temperature of the wafer during implantation being is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion,
a second stage of intimately contacting the planar face of said wafer with a stiffener constituted by at least one rigid material layer,
a third stage of thermally treating the assembly of said wafer and said stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer, a coalescence of hydrogen microbubbles and a pressure effect in the hydrogen microbubbles, a separation between the thin semiconductor material film and the majority of the substrate, the stiffener and the planar face of the wafer being kept in intimate contact during said stage.
2. Process for the preparation of thin semiconductor material films according to claim 1, wherein the stage of implanting ions in the semiconductor material takes place through one or more layers of materials having a nature and thickness such that they can be traversed by the ions.
3. Process for the production preparation of thin semiconductor material films according to claim 1, wherein the semiconductor comprises a group IV material.
4. Process for the production preparation of thin semiconductor material films according to claim 1 , wherein the process comprises subjecting a semiconductor is material wafer of silicon, having a planar face and whose plane is substantially parallel to a principal crystallographic plane, to the three following stages:
a first stage of implantation by ion bombardment of the face of said wafer by means of ions creating in the volume of said wafer at a depth close to the average penetration depth of said ions, a layer of gaseous microbubbles defining in the volume of said wafer a lower region constituting a majority of the substrate and an upper region constituting the thin semiconductor material film, wherein the implanted ion is aions are hydrogen gas ion,ions and the wafer temperature during implantation is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion and between 20° and 450° C., and
a second stage of intimately contacting the planar face of said wafer with a stiffener constituted by at least one rigid material layer, and
a third stage of thermally treating the assembly of said wafer and said stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer and a pressure effect in the microbubbles, a separation between the thin semiconductor material film and the majority of the substrate, the stiffener and the planar face of the wafer being kept in intimate contact during said stage,
wherein the temperature of the third heat treatment stage exceeds 500° C.
5. Process for the production preparation of thin semiconductor material films according to claim 2, wherein implantation takes place through an encapsulating thermal silicon oxide layer and the stiffener is a silicon wafer covered by at least one silicon oxide layer.
6. Process for the production preparation of thin semiconductor material films according to claim 1, wherein the second stage of intimately contacting the planar face of said wafer with a stiffener takes place by applying an electrostatic pressure.
7. Process for the production preparation of thin semiconductor material films according to claim 1, wherein the stiffener is deposited by one or more methods from within the group consisting of evaporation, sputtering, and chemical vapor deposition with or without plasma assistance or photon assistance.
8. Process for the production preparation of thin semiconductor material films according to claim 1, wherein the stiffener is bonded to said wafer by means of an adhesive substrate.
9. Process for the production preparation of thin semiconductor material films according to claim 1, wherein the stiffener is made to adhere to the wafer by a treatment favoring interatomic bonds.
10. Process for the preparation of thin semiconductor material films according to claim 1 further comprising cleaving the thin semiconductor material film from the substrate.
11. Process for the preparation of thin semiconductor material films according to claim 1, wherein the thin semiconductor material films are formed as a continuous film of semiconductor material.
12. Process for the preparation of thin semiconductor material films according to claim 1, wherein the semiconductor material wafer comprises silicon.
13. Process for the preparation of thin semiconductor material films according to claim 1, wherein the semiconductor material wafer comprises germanium.
14. Process for the preparation of thin semiconductor material films according to claim 1, wherein the semiconductor material wafer comprises a silicon-germanium alloy.
15. Process for the preparation of thin semiconductor material films according to claim 1, wherein the semiconductor material wafer comprises silicon carbide.
16. Process for the preparation of thin semiconductor material films according to claim 1, wherein the stiffener comprises a silicon wafer covered by at least one silicon oxide layer.
17. Process for the preparation of thin semiconductor material films, wherein the process comprises subjecting a semiconductor material wafer having a planar face and whose plane is substantially parallel to a principal crystallographic plane, to the three following stages:
a first stage of implantation by hydrogen ion bombardment of the face of said wafer by means of hydrogen ions creating in the volume of said wafer at a depth close to the average penetration depth of said ions, a layer of gaseous microbubbles defining in the volume of said wafer a lower region constituting a majority of the substrate and an upper region constituting the thin semiconductor material film, wherein the temperature of the wafer during implantation is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion,
a second stage of intimately contacting the planar face of said wafer with a stiffener constituted by at least one rigid material layer,
a third stage of thermally treating the assembly of said wafer and said stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer, a coalescence of hydrogen microbubbles and a pressure effect in the hydrogen microbubbles, a separation between the thin semiconductor material film and the majority of the substrate, the stiffener and the planar face of the wafer being kept in intimate contact during said stage.
18. Process for the preparation of thin semiconductor material films according to claim 17, wherein the stage of implanting ions in the semiconductor material takes place through one or more layers of materials having a nature and thickness such that they can be traversed by the ions.
19. Process for the preparation of thin semiconductor material films according to claim 17, wherein the semiconductor material comprises a group IV semiconductor.
20. Process for the preparation of thin semiconductor material films according to claim 17, wherein the semiconductor material wafer comprises silicon.
21. Process for the preparation of thin semiconductor material films according to claim 17, wherein the semiconductor material wafer comprises germanium.
22. Process for the preparation of thin semiconductor material films according to claim 17, wherein the semiconductor material wafer comprises a silicon-germanium alloy.
23. Process for the preparation of thin semiconductor material films according to claim 17, wherein the semiconductor material wafer comprises silicon carbide.
24. Process for the preparation of thin semiconductor material films according to claim 17, wherein implantation takes place through an encapsulating thermal silicon oxide layer.
25. Process for the preparation of thin semiconductor material films according to claim 17, wherein the stiffener comprises a silicon wafer covered by at least one silicon oxide layer.
26. Process for the preparation of thin semiconductor material films according to claim 17, wherein the second stage of intimately contacting the planar face of said wafer with a stiffener takes place by applying an electrostatic pressure.
27. Process for the preparation of thin semiconductor material films according to claim 17, wherein the stiffener is deposited by one or more methods from within the group consisting of evaporation, sputtering, and chemical vapor deposition with or without plasma assistance or photon assistance.
28. Process for the preparation of thin semiconductor material films according to claim 17, wherein the stiffener is bonded to said wafer by means of an adhesive substance.
29. Process for the preparation of thin semiconductor material films according to claim 17, wherein the stiffener is made to adhere to the wafer by a treatment favoring interatomic bonds.
30. Process for the preparation of thin semiconductor material films according to claim 17, which further comprises cleaving the thin semiconductor material film from the substrate.
31. Process for the preparation of thin films according to claim 17, wherein the thin semiconductor material films are formed as a continuous film of semiconductor material.
32. Process for the preparation of thin semiconductor material films, wherein the process comprises subjecting a semiconductor material wafer having a planar face and whose plane is substantially parallel to a principal crystallographic plane, to the three following stages:
a first stage of implantation by ion bombardment of the face of said wafer by means of ions creating in the volume of said wafer at a depth close to the average penetration depth of said ions, a layer of gaseous microbubbles defining in the volume of said wafer a lower region constituting a majority of the substrate and an upper region constituting the thin semiconductor material film, the ions consisting of hydrogen gas ions and, wherein the temperature of the wafer during implantation is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion,
a second stage of intimately contacting the planar face of said wafer with a stiffener constituted by at least one rigid material layer,
a third stage of thermally treating the assembly of said wafer and said stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer, a coalescence of hydrogen microbubbles and a pressure effect in the hydrogen microbubbles, a separation between the thin semiconductor material film and the majority of the substrate, the stiffener and the planar face of the wafer being kept in intimate contact during said stage.
33. Process for the preparation of thin semiconductor material films according to claim 32, wherein the stage of implanting ions in the semiconductor material takes place through one or more layers of materials having a nature and thickness such that they can be traversed by the ions.
34. Process for the preparation of thin semiconductor material films according to claim 32, wherein the semiconductor material comprises a group IV semiconductor.
35. Process for the preparation of thin semiconductor material films according to claim 32, wherein the semiconductor material wafer comrises silicon.
36. Process for the preparation of thin semiconductor material films according to claim 32, wherein the semiconductor material wafer comrises germanium.
37. Process for the preparation of thin semiconductor material films according to claim 32, wherein the semiconductor material wafer comrises a silicon-germanium alloy.
38. Process for the preparation of thin semiconductor material films according to claim 32, wherein the semiconductor material wafer comrises silicon carbide.
39. Process for the preparation of thin semiconductor material films according to claim 32, wherein implantation takes place through an encapsulating thermal silicon oxide layer.
40. Process for the preparation of thin semiconductor material films according to claim 32, wherein the stiffener comprises a silicon wafer covered by at least one silicon oxide layer.
41. Process for the preparation of thin semiconductor material films according to claim 32, wherein the second stage of intimately contacting the planar face of said wafer with a stiffener takes place by applying an electrostatic pressure.
42. Process for the preparation of thin semiconductor material films according to claim 32, wherein the stiffener is deposited by one or more methods from within the group consisting of evaporation, sputtering, and chemical vapor deposition with or without plasma assistance or photon assistance.
43. Process for the preparation of thin semiconductor material films according to claim 32, wherein the stiffener is bonded to said wafer by means of an adhesive substance.
44. Process for the preparation of thin semiconductor material films according to claim 32, wherein the stiffener is made to adhere to the wafer by a treatment favoring interatomic bonds.
45. Process for the preparation of thin semiconductor material films according to claim 32, which further comprises cleaving the thin semiconductor material film from the substrate.
46. Process for the preparation of thin films according to claim 32, wherein the thin semiconductor material film is formed as a continuous film of semiconductor material.
47. Process for the preparation of thin semiconductor material films, wherein the process comprises subjecting a semiconductor material wafer having a planar face and whose plane is substantially parallel to a principal crystallographic plane, to the three following stages:
a first stage of implantation by hydrogen ion bombardment of the face of said wafer so as to create in the volume of said wafer at a depth close to the average penetration depth of said ions, a layer of gaseous hydrogen microbubbles defining in the volume of said wafer a lower region constituting a majority of the substrate and an upper region constituting the thin semiconductor material film, wherein the temperature of the wafer during implantation is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion;
a second stage of intimately contacting the planar face of said wafer with a stiffener constituted by at least one rigid material layer, and
a third stage of thermally treating the assembly of said wafer and said stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer and a pressure effect in the microbubbles, a separation between the thin semiconductor material film and the majority of the substrate, the stiffener and the planar face of the wafer being kept in intimate contact during said stage.
48. Process for the preparation of thin semiconductor material films according to claim 47, wherein the stage of implanting ions in the semiconductor material takes place through one or more layers of materials having a nature and thickness such that they can be traversed by the ions.
49. Process for the preparation of thin semiconductor material films according to claim 47, wherein the semiconductor material comprises a group IV semiconductor.
50. Process for the preparation of thin semiconductor material films according to claim 47, wherein the semiconductor material wafer comprises silicon.
51. Process for the preparation of thin semiconductor material films according to claim 47, wherein the semiconductor material wafer comprises germanium.
52. Process for the preparation of thin semiconductor material films according to claim 47, wherein the semiconductor material wafer comprises a silicon-germanium alloy.
53. Process for the preparation of thin semiconductor material films according to claim 47, wherein the semiconductor material wafer comprises silicon carbide.
54. Process for the preparation of thin semiconductor material films according to claim 47, wherein implantation takes place through an encapsulating thermal silicon oxide layer.
55. Process for the preparation of thin semiconductor material films according to claim 47, wherein the stiffener comprises a silicon wafer covered by at least one silicon oxide layer.
56. Process for the preparation of thin semiconductor material films according to claim 47, wherein the second stage of intimately contacting the planar face of said wafer with a stiffener takes place by applying an electrostatic pressure.
57. Process for the preparation of thin semiconductor material films according to claim 47, wherein the stiffener is deposited by one or more methods from within the group consisting of evaporation, sputtering, and chemical vapor deposition with or without plasma assistance or photon assistance.
58. Process for the preparation of thin semiconductor material films according to claim 47, wherein the stiffener is bonded to said wafer by means of an adhesive substance.
59. Process for the preparation of thin semiconductor material films according to claim 47, wherein the stiffener is made to adhere to the wafer by a treatment favoring interatomic bonds.
60. Process for the preparation of thin semiconductor material films according to claim 47, which further comprises cleaving the thin semiconductor material film from the substrate.
61. Process for the preparation of thin films according to claim 47, wherein the thin semiconductor material film is formed as a continuous film of semiconductor material.
62. Process for the preparation of thin semiconductor material films, wherein the process comprises subjecting a semiconductor material wafer having a planar face and whose plane is substantially parallel to a principal crystallographic plane, to the three following stages:
a first stage of implantation by ion bombardment of the face of said wafer by means of hydrogen ions creating, by electronic braking in the wafer, in the volume of said wafer at a depth close to the average penetration depth of said ions, a layer of gaseous hydrogen microbubbles defining in the volume of said wafer a lower region constituting a majority of the substrate and an upper region constituting the thin semiconductor material film, wherein the temperature of the wafer during implantation is kept below the temperature at which the gas produced by the implanted ions can escape from the semiconductor by diffusion;
a second stage of intimately contacting the planar face of said wafer with a stiffener constituted by at least one rigid material layer,
a third stage of thermally treating the assembly of said wafer and said stiffener at a temperature above that at which the ion bombardment takes place and adequate to create by a crystalline rearrangement effect in the wafer and a coalescence of hydrogen microbubbles and a pressure effect in the hydrogen microbubbles, a separation between the thin semiconductor material film and the majority of the substrate, the stiffener and the planar face of the wafer being kept in intimate contact during said stage.
63. Process for the preparation of thin semiconductor material films according to claim 62, which further comprises cleaving the thin semiconductor material film from the substrate.
64. Process for the preparation of thin semiconductor material films according to claim 62, wherein the semiconductor material comprises silicon.
65. Process for the preparation of thin semiconductor material films according to claim 64, wherein the thickness of the thin semiconductor material film increases with increasing hydrogen implantation energy.
66. Process for the preparation of thin semiconductor material films according to claim 65, wherein the implantation takes place through a layer of thermal silicon oxide layer.
67. Process for the preparation of thin semiconductor material films according to claim 62, wherein the semiconductor material wafer comprises a monocrystalline silicon wafer.
68. Process for the preparation of thin semiconductor material films according to claim 62, wherein the planar face of the monocrystalline silicon wafer is substantially parallel to a 1,0,0 crystallographic plane of the monocrystalline silicon wafer.
69. Process for the preparation of thin semiconductor material films according to claim 68, wherein the hydrogen microbubbles are distributed in vicinity of the 1,0,0 crystallographic plane.
70. Process for the preparation of thin semiconductor material films according to claim 69, which further comprises cleaving the thin semiconductor material film from the substrate along the 1,0,0 crystallographic plane.
US10/449,786 1991-09-18 2003-05-30 Process for the production of thin semiconductor material films Expired - Lifetime USRE39484E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/449,786 USRE39484E1 (en) 1991-09-18 2003-05-30 Process for the production of thin semiconductor material films

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR9111491A FR2681472B1 (en) 1991-09-18 1991-09-18 PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US07/945,001 US5374564A (en) 1991-09-18 1992-09-15 Process for the production of thin semiconductor material films
US10/449,786 USRE39484E1 (en) 1991-09-18 2003-05-30 Process for the production of thin semiconductor material films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/945,001 Reissue US5374564A (en) 1991-09-18 1992-09-15 Process for the production of thin semiconductor material films

Publications (1)

Publication Number Publication Date
USRE39484E1 true USRE39484E1 (en) 2007-02-06

Family

ID=9417059

Family Applications (2)

Application Number Title Priority Date Filing Date
US07/945,001 Ceased US5374564A (en) 1991-09-18 1992-09-15 Process for the production of thin semiconductor material films
US10/449,786 Expired - Lifetime USRE39484E1 (en) 1991-09-18 2003-05-30 Process for the production of thin semiconductor material films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US07/945,001 Ceased US5374564A (en) 1991-09-18 1992-09-15 Process for the production of thin semiconductor material films

Country Status (5)

Country Link
US (2) US5374564A (en)
EP (1) EP0533551B1 (en)
JP (1) JP3048201B2 (en)
DE (1) DE69231328T2 (en)
FR (1) FR2681472B1 (en)

Cited By (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040061176A1 (en) * 2002-09-25 2004-04-01 Yutaka Takafuji Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US20050280154A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor memory device
US20060252229A1 (en) * 2003-06-24 2006-11-09 Jean-Pierre Joly Integrated circuit on high performance chip
US20070173000A1 (en) * 1998-06-22 2007-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20070249140A1 (en) * 2006-04-19 2007-10-25 Interuniversitair Microelecktronica Centrum (Imec) Method for the production of thin substrates
US20070281445A1 (en) * 2003-10-28 2007-12-06 Nguyet-Phuong Nguyen Method for Self-Supported Transfer of a Fine Layer by Pulsation after Implantation or Co-Implantation
US20080054269A1 (en) * 1998-09-04 2008-03-06 Semiconductor Energy Laboratory Co., Ltd Method of Fabricating A Semiconductor Device
US20080237779A1 (en) * 2007-03-26 2008-10-02 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US20080237780A1 (en) * 2007-03-26 2008-10-02 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US20080246109A1 (en) * 2007-04-03 2008-10-09 Semiconductor Energy Laboratory Co., Ltd. SOI substrate, method for manufacturing the same, and semiconductor device
US20080254560A1 (en) * 2007-04-13 2008-10-16 Semiconductor Energy Laboratory Co., Ltd. Display device, method for manufacturing display device, and SOI substrate
US20080254591A1 (en) * 2005-09-28 2008-10-16 Chrystel Deguet Method for Making a Thin-Film Element
US20080286940A1 (en) * 1998-07-29 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Process for production of soi substrate and process for production of semiconductor device
US20090017581A1 (en) * 2007-07-13 2009-01-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US20090029525A1 (en) * 2007-07-23 2009-01-29 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate
US20090079024A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20090079025A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Substrate provided with semiconductor films and manufacturing method thereof
US20090081844A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and semiconductor device
US20090081845A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of substrate provided with semiconductor films
US20090098710A1 (en) * 2007-10-10 2009-04-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US20090096054A1 (en) * 2007-10-10 2009-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20090098739A1 (en) * 2007-10-10 2009-04-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US20090104752A1 (en) * 2006-04-27 2009-04-23 Kazuhiko Yoshida Method for Producing Soi Wafer
US20090104750A1 (en) * 2007-10-23 2009-04-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate, display panel, and display device
US20090111244A1 (en) * 2007-10-10 2009-04-30 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090117704A1 (en) * 2007-11-05 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090115028A1 (en) * 2007-11-01 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate, semiconductor device and electronic device
US20090117703A1 (en) * 2007-11-01 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
US20090117680A1 (en) * 2007-11-01 2009-05-07 Shunpei Yamazaki Method for manufacturing photoelectric conversion device
US20090139558A1 (en) * 2007-11-29 2009-06-04 Shunpei Yamazaki Photoelectric conversion device and manufacturing method thereof
US20090170286A1 (en) * 2007-12-27 2009-07-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US20090179160A1 (en) * 2008-01-16 2009-07-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate manufacturing apparatus
US20090197391A1 (en) * 2008-02-04 2009-08-06 Hideto Ohnuma Method for manufacturing soi substrate
US20090200611A1 (en) * 1993-10-01 2009-08-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for manufacturing the same
US20090232981A1 (en) * 2008-03-11 2009-09-17 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
US20090246936A1 (en) * 2008-03-26 2009-10-01 Semiconductor Energy Laboratory Co., Ltd Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20090246934A1 (en) * 2008-03-26 2009-10-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20090246937A1 (en) * 2008-03-26 2009-10-01 Shunpei Yamazaki Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20090261449A1 (en) * 2008-03-26 2009-10-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate and semiconductor device
US20090267233A1 (en) * 1996-11-04 2009-10-29 Sang-Yun Lee Bonded semiconductor structure and method of making the same
US20090309183A1 (en) * 2007-05-11 2009-12-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090315111A1 (en) * 1997-11-18 2009-12-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having buried oxide film
US20100025228A1 (en) * 2006-12-19 2010-02-04 Tauzin Aurelie Method for Preparing Thin GaN Layers by Implantation and Recycling of a Starting Substrate
US20100029058A1 (en) * 2008-08-01 2010-02-04 Akihisa Shimomura Method for manufacturing soi substrate
US20100072576A1 (en) * 2008-09-22 2010-03-25 Chantal Arena Methods and structures for altering strain in iii-nitride materials
US20100081251A1 (en) * 2008-09-29 2010-04-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US20100087045A1 (en) * 2008-10-02 2010-04-08 Akihisa Shimomura Method for manufacturing soi substrate
US20100093153A1 (en) * 2008-10-10 2010-04-15 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate
US20100096720A1 (en) * 2008-10-22 2010-04-22 Semiconductor Energy Laboratory Co., Ltd. Soi substrate and method for manufacturing the same
US20100109126A1 (en) * 2008-10-30 2010-05-06 S.O.I.Tec Silicon On Insulator Technologies, S.A. Methods of forming layers of semiconductor material having reduced lattice strain, semiconductor structures, devices and engineered substrates including same
US20100151663A1 (en) * 2008-12-15 2010-06-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate and manufacturing method of semiconductor device
US20100155803A1 (en) * 2008-12-18 2010-06-24 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20100167499A1 (en) * 2002-12-09 2010-07-01 Commissariat A L'energie Atomique Method for making a stressed structure designed to be dissociated
US20100173472A1 (en) * 2009-01-05 2010-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20100176490A1 (en) * 2008-09-24 2010-07-15 Fabrice Letertre Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US20100187568A1 (en) * 2009-01-28 2010-07-29 S.O.I.Tec Silicon On Insulator Technologies, S.A. Epitaxial methods and structures for forming semiconductor materials
US20100216294A1 (en) * 2007-10-12 2010-08-26 Marc Rabarot Method of fabricating a microelectronic structure involving molecular bonding
US20100213578A1 (en) * 2009-02-25 2010-08-26 Micron Technology, Inc. Methods of forming integrated circuits and resulting structures
US20100230724A1 (en) * 2009-03-11 2010-09-16 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
US20100304550A1 (en) * 2009-05-26 2010-12-02 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate
US20100323497A1 (en) * 2009-06-18 2010-12-23 Franck Fournel Method of transferring a thin layer onto a target substrate having a coefficient of thermal expansion different from that of the thin layer
US20100330776A1 (en) * 2009-06-30 2010-12-30 Zuniga Steven M Bonding apparatus and method
WO2011011111A1 (en) 2009-07-20 2011-01-27 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using quantum dot structures and related structures
US20110024876A1 (en) * 2009-07-31 2011-02-03 Epir Technologies, Inc. Creation of thin group ii-vi monocrystalline layers by ion cutting techniques
US20110092051A1 (en) * 1997-12-30 2011-04-21 Commissariat A L'energie Atomique Process for the transfer of a thin film comprising an inclusion creation step
US20110101298A1 (en) * 2009-11-02 2011-05-05 Micron Technology, Inc. Methods, structures and devices for increasing memory density
US20110114965A1 (en) * 2009-11-18 2011-05-19 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using glass bonding layers, and semiconductor structures and devices formed by such methods
US20110151593A1 (en) * 2008-01-24 2011-06-23 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate
US20110156212A1 (en) * 2008-08-27 2011-06-30 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
US20110183494A1 (en) * 2010-01-26 2011-07-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US8008693B2 (en) 1996-02-23 2011-08-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and method of manufacturing the same and semiconductor device and method of manufacturing the same
US20110215371A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US20110215396A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US20110215408A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
WO2011123199A1 (en) 2010-03-31 2011-10-06 S.O.I.Tec Silicon On Insulator Technologies Bonded semiconductor structures and method of forming same
US8043935B2 (en) 2008-11-27 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US8048754B2 (en) 2008-09-29 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing single crystal semiconductor layer
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US8101503B2 (en) 1996-05-15 2012-01-24 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
WO2012048973A1 (en) 2010-09-10 2012-04-19 Soitec Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods
WO2012085219A1 (en) 2010-12-23 2012-06-28 Soitec Strain relaxation using metal materials and related structures
US8324086B2 (en) 2008-01-16 2012-12-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor substrate by laser irradiation
US8338294B2 (en) 2011-03-31 2012-12-25 Soitec Methods of forming bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate, and semiconductor structures formed by such methods
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
WO2013021251A1 (en) 2011-08-09 2013-02-14 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
US8389099B1 (en) 2007-06-01 2013-03-05 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
WO2013045985A1 (en) 2011-09-27 2013-04-04 Soitec Methods of transferring layers of material in 3d integration processes and related structures and devices
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
WO2013093590A1 (en) 2011-12-23 2013-06-27 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US8480456B1 (en) 2007-06-19 2013-07-09 Rubicon Technology, Inc. Ultra-flat, high throughput wafer lapping process
WO2013124719A1 (en) 2012-02-22 2013-08-29 Soitec Methods of providing thin layers of crystalline semiconductor material, and related structures and devices
WO2013132332A1 (en) 2012-03-09 2013-09-12 Soitec Methods for forming semiconductor structures including iii-v semiconductor material using substrates comprising molybdenum, and structures formed by such methods
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8637383B2 (en) 2010-12-23 2014-01-28 Soitec Strain relaxation using metal materials and related structures
WO2014020387A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including mems devices and integrated circuits on opposing sides of substrates, and related structures and devices
WO2014020388A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including mems devices and integrated circuits on common sides of substrates, and related structures and devices
WO2014020390A1 (en) 2012-07-31 2014-02-06 Soitec Methods for fabrication of semiconductor structures using laser lift-off process, and related semiconductor structures
WO2014020389A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including a conductive interconnection, and related structures
WO2014030040A1 (en) 2012-08-24 2014-02-27 Soitec Methods of forming semiconductor structures and devices including graphene, and related structures and devices
US8673733B2 (en) 2011-09-27 2014-03-18 Soitec Methods of transferring layers of material in 3D integration processes and related structures and devices
US8679942B2 (en) 2008-11-26 2014-03-25 Soitec Strain engineered composite semiconductor substrates and methods of forming same
WO2014045090A1 (en) 2012-09-24 2014-03-27 Soitec Methods of forming iii-v semiconductor structures using multiple substrates, and semiconductor devices fabricated using such methods
US8728863B2 (en) 2011-08-09 2014-05-20 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
US8802462B2 (en) 2007-12-03 2014-08-12 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US8802534B2 (en) 2011-06-14 2014-08-12 Semiconductor Energy Laboratory Co., Ltd. Method for forming SOI substrate and apparatus for forming the same
WO2014135944A1 (en) 2013-03-08 2014-09-12 Soitec Photoactive devices having low bandgap active layers configured for improved efficiency and related methods
US8842945B2 (en) 2011-08-09 2014-09-23 Soitec Methods of forming three dimensionally integrated semiconductor systems including photoactive devices and semiconductor-on-insulator substrates
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
US8846496B2 (en) 2010-04-28 2014-09-30 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of single crystal semiconductor film and manufacturing method of electrode
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
WO2014206737A1 (en) 2013-06-27 2014-12-31 Soitec Methods of fabricating semiconductor structures including cavities filled with a sacrifical material
US8936999B2 (en) 2011-01-07 2015-01-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate
US8970045B2 (en) 2011-03-31 2015-03-03 Soitec Methods for fabrication of semiconductor structures including interposers with conductive vias, and related structures and devices
US8975159B2 (en) 2009-05-07 2015-03-10 Shin-Etsu Chemical Co., Ltd. Method for manufacturing bonded wafer
US8980688B2 (en) 2012-06-28 2015-03-17 Soitec Semiconductor structures including fluidic microchannels for cooling and related methods
US9012292B2 (en) 2010-07-02 2015-04-21 Sang-Yun Lee Semiconductor memory device and method of fabricating the same
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9117955B2 (en) 2013-03-15 2015-08-25 Soitec Semiconductor structures having active regions comprising ingan, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9136134B2 (en) 2012-02-22 2015-09-15 Soitec Methods of providing thin layers of crystalline semiconductor material, and related structures and devices
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
US9165945B1 (en) 2014-09-18 2015-10-20 Soitec Method for fabricating semiconductor structures including transistor channels having different strain states, and related semiconductor structures
US9209301B1 (en) 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9246057B2 (en) 2013-03-15 2016-01-26 Soitec Semiconductor structures having active regions comprising InGaN, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9269795B2 (en) 2011-07-26 2016-02-23 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US9343626B2 (en) 2013-03-15 2016-05-17 Soitec Semiconductor structures having active regions comprising InGaN, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9361966B2 (en) 2011-03-08 2016-06-07 Micron Technology, Inc. Thyristors
US9528196B2 (en) 2011-07-25 2016-12-27 Soitec Method and device for fabricating a layer in semiconductor material
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US20180012869A1 (en) * 2011-03-31 2018-01-11 Sony Semiconductor Solutions Corporation Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US10373956B2 (en) 2011-03-01 2019-08-06 Micron Technology, Inc. Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors
US10629440B2 (en) 2016-04-05 2020-04-21 Shin-Etsu Chemical Co., Ltd. Method for manufacturing composite wafer provided with oxide single crystal thin film
US11791307B2 (en) 2018-04-20 2023-10-17 Adeia Semiconductor Bonding Technologies Inc. DBI to SI bonding for simplified handle wafer

Families Citing this family (1034)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2681472B1 (en) * 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
FR2714524B1 (en) * 1993-12-23 1996-01-26 Commissariat Energie Atomique PROCESS FOR MAKING A RELIEF STRUCTURE ON A SUPPORT IN SEMICONDUCTOR MATERIAL
FR2715503B1 (en) * 1994-01-26 1996-04-05 Commissariat Energie Atomique Substrate for integrated components comprising a thin layer and its production method.
FR2715502B1 (en) * 1994-01-26 1996-04-05 Commissariat Energie Atomique Structure having cavities and method for producing such a structure.
FR2715501B1 (en) * 1994-01-26 1996-04-05 Commissariat Energie Atomique Method for depositing semiconductor wafers on a support.
JP3293736B2 (en) 1996-02-28 2002-06-17 キヤノン株式会社 Semiconductor substrate manufacturing method and bonded substrate
US20030087503A1 (en) * 1994-03-10 2003-05-08 Canon Kabushiki Kaisha Process for production of semiconductor substrate
US7148119B1 (en) 1994-03-10 2006-12-12 Canon Kabushiki Kaisha Process for production of semiconductor substrate
FR2725074B1 (en) * 1994-09-22 1996-12-20 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STRUCTURE COMPRISING A THIN SEMI-CONDUCTIVE LAYER ON A SUBSTRATE
DE69408671T2 (en) * 1994-09-23 1998-06-18 Cons Ric Microelettronica Process for the production of buried oxide layers in a silicon wafer
FR2726935B1 (en) * 1994-11-10 1996-12-13 Commissariat Energie Atomique ELECTRICALLY ERASABLE NON-VOLATILE MEMORY DEVICE AND METHOD FOR PRODUCING SUCH A DEVICE
KR970013008A (en) * 1995-08-30 1997-03-29 윤덕용 SOI device manufacturing apparatus and SOI device manufacturing method using the same
FR2738671B1 (en) * 1995-09-13 1997-10-10 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS WITH SEMICONDUCTOR MATERIAL
CN1132223C (en) * 1995-10-06 2003-12-24 佳能株式会社 Semiconductor substrate and producing method thereof
FR2744285B1 (en) * 1996-01-25 1998-03-06 Commissariat Energie Atomique METHOD FOR TRANSFERRING A THIN FILM FROM AN INITIAL SUBSTRATE TO A FINAL SUBSTRATE
FR2746919B1 (en) * 1996-03-28 1998-04-24 Commissariat Energie Atomique CONSTRAINED GAUGE SENSOR USING THE PIEZORESISTIVE EFFECT AND ITS MANUFACTURING METHOD
EP0801427A3 (en) * 1996-04-11 1999-05-06 Matsushita Electric Industrial Co., Ltd. Field effect transistor, semiconductor storage device, method of manufacturing the same and method of driving semiconductor storage device
FR2747506B1 (en) * 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
FR2748850B1 (en) * 1996-05-15 1998-07-24 Commissariat Energie Atomique PROCESS FOR MAKING A THIN FILM OF SOLID MATERIAL AND APPLICATIONS OF THIS PROCESS
US5972780A (en) * 1996-08-22 1999-10-26 Nippon Telegraph Telephone Corporation Thin film forming apparatus and method
JP4619645B2 (en) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 Thin film element transfer method
JP4619462B2 (en) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 Thin film element transfer method
JP4619461B2 (en) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 Thin film device transfer method and device manufacturing method
FR2752768B1 (en) * 1996-08-27 2003-04-11 Commissariat Energie Atomique PROCESS FOR OBTAINING A WAFER OF LARGE-SIZE SEMICONDUCTOR MATERIAL AND USE OF THE WAFER OBTAINED FOR MAKING SEMICONDUCTOR-TYPE SUBSTRATES ON INSULATION
JP4619644B2 (en) * 1996-08-27 2011-01-26 セイコーエプソン株式会社 Thin film element transfer method
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
FR2755537B1 (en) * 1996-11-05 1999-03-05 Commissariat Energie Atomique METHOD FOR MANUFACTURING A THIN FILM ON A SUPPORT AND STRUCTURE THUS OBTAINED
SG65697A1 (en) * 1996-11-15 1999-06-22 Canon Kk Process for producing semiconductor article
US6054363A (en) * 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
CA2220600C (en) * 1996-11-15 2002-02-12 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
KR100232886B1 (en) * 1996-11-23 1999-12-01 김영환 Soi wafer fabricating method
FR2756847B1 (en) * 1996-12-09 1999-01-08 Commissariat Energie Atomique METHOD FOR SEPARATING AT LEAST TWO ELEMENTS OF A STRUCTURE IN CONTACT WITH THEM BY ION IMPLANTATION
FR2756973B1 (en) * 1996-12-09 1999-01-08 Commissariat Energie Atomique METHOD FOR INTRODUCING A GASEOUS PHASE IN A CLOSED CAVITY
US5789310A (en) * 1996-12-10 1998-08-04 Advanced Micro Devices, Inc. Method of forming shallow junctions by entrapment of interstitial atoms
EP0849788B1 (en) * 1996-12-18 2004-03-10 Canon Kabushiki Kaisha Process for producing semiconductor article by making use of a substrate having a porous semiconductor layer
US6756289B1 (en) 1996-12-27 2004-06-29 Canon Kabushiki Kaisha Method of producing semiconductor member and method of producing solar cell
EP0851513B1 (en) 1996-12-27 2007-11-21 Canon Kabushiki Kaisha Method of producing semiconductor member and method of producing solar cell
FR2758907B1 (en) * 1997-01-27 1999-05-07 Commissariat Energie Atomique METHOD FOR OBTAINING A THIN FILM, PARTICULARLY A SEMICONDUCTOR, WITH A PROTECTED AREA OF IONS, AND INVOLVING AN ION IMPLANTATION STEP
CA2232796C (en) * 1997-03-26 2002-01-22 Canon Kabushiki Kaisha Thin film forming process
CA2233096C (en) * 1997-03-26 2003-01-07 Canon Kabushiki Kaisha Substrate and production method thereof
SG71094A1 (en) * 1997-03-26 2000-03-21 Canon Kk Thin film formation using laser beam heating to separate layers
CA2233115C (en) * 1997-03-27 2002-03-12 Canon Kabushiki Kaisha Semiconductor substrate and method of manufacturing the same
CA2233127C (en) * 1997-03-27 2004-07-06 Canon Kabushiki Kaisha Method and apparatus for separating composite member using fluid
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6251754B1 (en) * 1997-05-09 2001-06-26 Denso Corporation Semiconductor substrate manufacturing method
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6146979A (en) 1997-05-12 2000-11-14 Silicon Genesis Corporation Pressurized microbubble thin film separation process using a reusable substrate
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
EP0995227A4 (en) * 1997-05-12 2000-07-05 Silicon Genesis Corp A controlled cleavage process
US8835282B2 (en) * 1997-05-12 2014-09-16 Silicon Genesis Corporation Controlled process and resulting device
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6150239A (en) * 1997-05-31 2000-11-21 Max Planck Society Method for the transfer of thin layers monocrystalline material onto a desirable substrate
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
KR100400808B1 (en) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US6054369A (en) * 1997-06-30 2000-04-25 Intersil Corporation Lifetime control for semiconductor devices
US5949108A (en) * 1997-06-30 1999-09-07 Intel Corporation Semiconductor device with reduced capacitance
EP0889505B1 (en) * 1997-07-03 2005-06-08 STMicroelectronics S.r.l. Process for cutting trenches in a single crystal substrate
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
FR2766620B1 (en) * 1997-07-22 2000-12-01 Commissariat Energie Atomique PRODUCTION OF MICROSTRUCTURES OR NANOSTRUCTURES ON A SUPPORT
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
FR2767416B1 (en) 1997-08-12 1999-10-01 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SOLID MATERIAL
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
JP3327180B2 (en) 1997-08-29 2002-09-24 信越半導体株式会社 Method of forming oxide film on SOI layer, method of manufacturing bonded wafer, and bonded wafer manufactured by this method
JP3324469B2 (en) * 1997-09-26 2002-09-17 信越半導体株式会社 Method for producing SOI wafer and SOI wafer produced by this method
US5920764A (en) * 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
JP2998724B2 (en) * 1997-11-10 2000-01-11 日本電気株式会社 Manufacturing method of bonded SOI substrate
DE19750167B4 (en) * 1997-11-12 2006-08-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for producing integrated circuits
JP4553991B2 (en) 1997-12-09 2010-09-29 セイコーエプソン株式会社 Manufacturing method of electro-optical device
US6171982B1 (en) 1997-12-26 2001-01-09 Canon Kabushiki Kaisha Method and apparatus for heat-treating an SOI substrate and method of preparing an SOI substrate by using the same
JP4075021B2 (en) * 1997-12-26 2008-04-16 ソニー株式会社 Semiconductor substrate manufacturing method and thin film semiconductor member manufacturing method
US6418999B1 (en) 1997-12-26 2002-07-16 Cannon Kabushiki Kaisha Sample separating apparatus and method, and substrate manufacturing method
JP4323577B2 (en) 1997-12-26 2009-09-02 キヤノン株式会社 Separation method and semiconductor substrate manufacturing method
US6413874B1 (en) 1997-12-26 2002-07-02 Canon Kabushiki Kaisha Method and apparatus for etching a semiconductor article and method of preparing a semiconductor article by using the same
US6071795A (en) * 1998-01-23 2000-06-06 The Regents Of The University Of California Separation of thin films from transparent substrates by selective optical processing
FR2774214B1 (en) 1998-01-28 2002-02-08 Commissariat Energie Atomique PROCESS FOR PRODUCING A SEMICONDUCTOR TYPE STRUCTURE ON INSULATOR AND IN PARTICULAR SiCOI
FR2774511B1 (en) * 1998-01-30 2002-10-11 Commissariat Energie Atomique SUBSTRATE COMPLIANT IN PARTICULAR FOR A DEPOSIT BY HETERO-EPITAXY
SG71903A1 (en) 1998-01-30 2000-04-18 Canon Kk Process of reclamation of soi substrate and reproduced substrate
FR2774510B1 (en) 1998-02-02 2001-10-26 Soitec Silicon On Insulator PROCESS FOR TREATING SUBSTRATES, ESPECIALLY SEMICONDUCTORS
ATE268943T1 (en) * 1998-02-04 2004-06-15 Canon Kk SOI SUBSTRATE
FR2775121B1 (en) * 1998-02-13 2000-05-05 Picogiga Sa METHOD FOR MANUFACTURING THIN FILM SUBSTRATES OF SEMICONDUCTOR MATERIAL, EPITAXIAL STRUCTURES OF SEMICONDUCTOR MATERIAL FORMED ON SUCH SUBSTRATES, AND COMPONENTS OBTAINED FROM SUCH STRUCTURES
US6540827B1 (en) 1998-02-17 2003-04-01 Trustees Of Columbia University In The City Of New York Slicing of single-crystal films using ion implantation
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6120597A (en) * 1998-02-17 2000-09-19 The Trustees Of Columbia University In The City Of New York Crystal ion-slicing of single-crystal films
US6083324A (en) * 1998-02-19 2000-07-04 Silicon Genesis Corporation Gettering technique for silicon-on-insulator wafers
US6365488B1 (en) * 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
US6540861B2 (en) * 1998-04-01 2003-04-01 Canon Kabushiki Kaisha Member separating apparatus and processing apparatus
US5933750A (en) * 1998-04-03 1999-08-03 Motorola, Inc. Method of fabricating a semiconductor device with a thinned substrate
US6180495B1 (en) 1998-04-03 2001-01-30 Motorola, Inc. Silicon carbide transistor and method therefor
FR2777116A1 (en) * 1998-04-03 1999-10-01 Picogiga Sa SEMICONDUCTOR STRUCTURE OF PHOTOVOLTAIC COMPONENT
US6221774B1 (en) * 1998-04-10 2001-04-24 Silicon Genesis Corporation Method for surface treatment of substrates
WO1999053528A2 (en) * 1998-04-10 1999-10-21 Silicon Genesis Corporation Surface treatment process and system
US7227176B2 (en) 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
JPH11307472A (en) 1998-04-23 1999-11-05 Shin Etsu Handotai Co Ltd Soi wafer and manufacture soi by hydrogen ion releasing method
JP3500063B2 (en) 1998-04-23 2004-02-23 信越半導体株式会社 Method for recycling peeled wafer and silicon wafer for reuse
JP3456143B2 (en) 1998-05-01 2003-10-14 信越半導体株式会社 Laminated materials and optical functional devices
JP3697106B2 (en) 1998-05-15 2005-09-21 キヤノン株式会社 Method for manufacturing semiconductor substrate and method for manufacturing semiconductor thin film
US5909627A (en) * 1998-05-18 1999-06-01 Philips Electronics North America Corporation Process for production of thin layers of semiconductor material
US6224668B1 (en) 1998-06-02 2001-05-01 Shin-Etsu Handotai Co., Ltd. Method for producing SOI substrate and SOI substrate
DE19840421C2 (en) * 1998-06-22 2000-05-31 Fraunhofer Ges Forschung Process for the production of thin substrate layers and a suitable substrate arrangement
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6054370A (en) * 1998-06-30 2000-04-25 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
JP3395661B2 (en) 1998-07-07 2003-04-14 信越半導体株式会社 Method for manufacturing SOI wafer
JP3358550B2 (en) 1998-07-07 2002-12-24 信越半導体株式会社 Method for producing SOI wafer and SOI wafer produced by this method
JP3385972B2 (en) * 1998-07-10 2003-03-10 信越半導体株式会社 Manufacturing method of bonded wafer and bonded wafer
US20020089016A1 (en) 1998-07-10 2002-07-11 Jean-Pierre Joly Thin layer semi-conductor structure comprising a heat distribution layer
TW444266B (en) * 1998-07-23 2001-07-01 Canon Kk Semiconductor substrate and method of producing same
FR2781925B1 (en) * 1998-07-30 2001-11-23 Commissariat Energie Atomique SELECTIVE TRANSFER OF ELEMENTS FROM ONE MEDIUM TO ANOTHER MEDIUM
EP0989593A3 (en) * 1998-09-25 2002-01-02 Canon Kabushiki Kaisha Substrate separating apparatus and method, and substrate manufacturing method
FR2784796B1 (en) 1998-10-15 2001-11-23 Commissariat Energie Atomique PROCESS FOR PRODUCING A LAYER OF MATERIAL BURIED IN ANOTHER MATERIAL
FR2784795B1 (en) * 1998-10-16 2000-12-01 Commissariat Energie Atomique STRUCTURE COMPRISING A THIN LAYER OF MATERIAL COMPOSED OF CONDUCTIVE ZONES AND INSULATING ZONES AND METHOD FOR MANUFACTURING SUCH A STRUCTURE
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
US6672358B2 (en) * 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP2000150836A (en) 1998-11-06 2000-05-30 Canon Inc Processing system for sample
DE19936941B4 (en) * 1998-11-11 2008-11-06 Robert Bosch Gmbh Method for producing thin layers, in particular thin-film solar cells, on a carrier substrate
FR2786564B1 (en) 1998-11-27 2001-04-13 Commissariat Energie Atomique MEMBRANE PRESSURE SENSOR COMPRISING SILICON CARBIDE AND MANUFACTURING METHOD
FR2786565B1 (en) * 1998-11-27 2000-12-22 Commissariat Energie Atomique MICRO-MACHINED STRUCTURE WITH DEFORMABLE MEMBRANE AND ITS MANUFACTURING METHOD
JP2000349264A (en) 1998-12-04 2000-12-15 Canon Inc Method for manufacturing, use and utilizing method of semiconductor wafer
US6331473B1 (en) 1998-12-29 2001-12-18 Seiko Epson Corporation SOI substrate, method for making the same, semiconductive device and liquid crystal panel using the same
US6346458B1 (en) 1998-12-31 2002-02-12 Robert W. Bower Transposed split of ion cut materials
US20040229443A1 (en) * 1998-12-31 2004-11-18 Bower Robert W. Structures, materials and methods for fabrication of nanostructures by transposed split of ion cut materials
US20050124142A1 (en) * 1998-12-31 2005-06-09 Bower Robert W. Transposed split of ion cut materials
US6346459B1 (en) 1999-02-05 2002-02-12 Silicon Wafer Technologies, Inc. Process for lift off and transfer of semiconductor devices onto an alien substrate
FR2789517B1 (en) * 1999-02-10 2001-03-09 Commissariat Energie Atomique METHOD OF FORMING ON A SUPPORT OF A SILICON LAYER FOR OPTICAL USE AND IMPLEMENTATION OF THE METHOD FOR THE PRODUCTION OF OPTICAL COMPONENTS
US20040175901A1 (en) * 1999-02-10 2004-09-09 Commissariat A L'energie Atomique Method for forming an optical silicon layer on a support and use of said method in the production of optical components
FR2789496B1 (en) * 1999-02-10 2002-06-07 Commissariat Energie Atomique LIGHT EMITTING DEVICE AND GUIDE, WITH AN ACTIVE SILICON REGION CONTAINING RADIATION CENTERS, AND METHOD FOR MANUFACTURING SUCH A DEVICE
US6255195B1 (en) 1999-02-22 2001-07-03 Intersil Corporation Method for forming a bonded substrate containing a planar intrinsic gettering zone and substrate formed by said method
EP1039513A3 (en) 1999-03-26 2008-11-26 Canon Kabushiki Kaisha Method of producing a SOI wafer
JP3911901B2 (en) 1999-04-09 2007-05-09 信越半導体株式会社 SOI wafer and method for manufacturing SOI wafer
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6287941B1 (en) * 1999-04-21 2001-09-11 Silicon Genesis Corporation Surface finishing of SOI substrates using an EPI process
US6881644B2 (en) * 1999-04-21 2005-04-19 Silicon Genesis Corporation Smoothing method for cleaved films made using a release layer
US6355541B1 (en) 1999-04-21 2002-03-12 Lockheed Martin Energy Research Corporation Method for transfer of thin-film of silicon carbide via implantation and wafer bonding
AU4481100A (en) * 1999-04-21 2000-11-02 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US6204151B1 (en) * 1999-04-21 2001-03-20 Silicon Genesis Corporation Smoothing method for cleaved films made using thermal treatment
EP1226612A4 (en) * 1999-05-06 2007-01-24 Univ Boston Reflective layer buried in silicon and method of fabrication
US6387829B1 (en) 1999-06-18 2002-05-14 Silicon Wafer Technologies, Inc. Separation process for silicon-on-insulator wafer fabrication
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
FR2795866B1 (en) 1999-06-30 2001-08-17 Commissariat Energie Atomique METHOD FOR PRODUCING A THIN MEMBRANE AND MEMBRANE STRUCTURE THUS OBTAINED
FR2795865B1 (en) * 1999-06-30 2001-08-17 Commissariat Energie Atomique METHOD FOR MAKING A THIN FILM USING PRESSURIZATION
TW478169B (en) 1999-07-16 2002-03-01 Seiko Epson Corp Electro optical device and the projection display device using the same
US6323108B1 (en) 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
WO2001011930A2 (en) * 1999-08-10 2001-02-15 Silicon Genesis Corporation A cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
FR2797714B1 (en) * 1999-08-20 2001-10-26 Soitec Silicon On Insulator PROCESS FOR PROCESSING SUBSTRATES FOR MICROELECTRONICS AND SUBSTRATES OBTAINED BY THIS PROCESS
FR2797713B1 (en) 1999-08-20 2002-08-02 Soitec Silicon On Insulator PROCESS FOR PROCESSING SUBSTRATES FOR MICROELECTRONICS AND SUBSTRATES OBTAINED BY THIS PROCESS
FR2798224B1 (en) * 1999-09-08 2003-08-29 Commissariat Energie Atomique IMPLEMENTING ELECTRICALLY CONDUCTIVE BONDING BETWEEN TWO SEMICONDUCTOR ELEMENTS
DE19943101C2 (en) * 1999-09-09 2002-06-20 Wacker Siltronic Halbleitermat Method of manufacturing a bonded semiconductor wafer
US6653209B1 (en) 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US6368938B1 (en) 1999-10-05 2002-04-09 Silicon Wafer Technologies, Inc. Process for manufacturing a silicon-on-insulator substrate and semiconductor devices on said substrate
US6846718B1 (en) 1999-10-14 2005-01-25 Shin-Etsu Handotai Co., Ltd. Method for producing SOI wafer and SOI wafer
EP1170801B1 (en) 1999-10-14 2006-07-26 Shin-Etsu Handotai Company Limited Bonded wafer producing method
JP3943782B2 (en) 1999-11-29 2007-07-11 信越半導体株式会社 Reclaimed wafer reclaim processing method and reclaimed peeled wafer
TW508690B (en) 1999-12-08 2002-11-01 Canon Kk Composite member separating method, thin film manufacturing method, and composite member separating apparatus
FR2802340B1 (en) * 1999-12-13 2003-09-05 Commissariat Energie Atomique STRUCTURE COMPRISING PHOTOVOLTAIC CELLS AND METHOD FOR PRODUCING THE SAME
JP2001189288A (en) * 1999-12-20 2001-07-10 Ind Technol Res Inst Board-dicing method using ion implantation
WO2001048825A1 (en) * 1999-12-24 2001-07-05 Shin-Etsu Handotai Co., Ltd. Method for manufacturing bonded wafer
US6291858B1 (en) 2000-01-03 2001-09-18 International Business Machines Corporation Multistack 3-dimensional high density semiconductor device and method for fabrication
US6352909B1 (en) 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6633066B1 (en) 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US20010038153A1 (en) 2000-01-07 2001-11-08 Kiyofumi Sakaguchi Semiconductor substrate and process for its production
US6544862B1 (en) * 2000-01-14 2003-04-08 Silicon Genesis Corporation Particle distribution method and resulting structure for a layer transfer process
US6750130B1 (en) 2000-01-20 2004-06-15 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6503773B2 (en) 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6344417B1 (en) 2000-02-18 2002-02-05 Silicon Wafer Technologies Method for micro-mechanical structures
US6326285B1 (en) 2000-02-24 2001-12-04 International Business Machines Corporation Simultaneous multiple silicon on insulator (SOI) wafer production
TW452866B (en) * 2000-02-25 2001-09-01 Lee Tien Hsi Manufacturing method of thin film on a substrate
US7229891B2 (en) * 2000-03-06 2007-06-12 John Howard Coleman Fabrication method for silicon-on defect layer in field-effect and bipolar transistor devices
JP2001274368A (en) * 2000-03-27 2001-10-05 Shin Etsu Handotai Co Ltd Producing method for semiconductor bonding wafer and semiconductor bonding wafer produced by the same
JP4846915B2 (en) * 2000-03-29 2011-12-28 信越半導体株式会社 Manufacturing method of bonded wafer
KR100789205B1 (en) * 2000-03-29 2007-12-31 신에쯔 한도타이 가부시키가이샤 Production method for silicon wafer and soi wafer, and soi wafer
CN100337319C (en) * 2000-04-14 2007-09-12 S.O.I.Tec绝缘体上硅技术公司 Method for cutting out at least a thin layer in substrate or ingot, in particular made of semiconductor materials
EP1277241B1 (en) * 2000-04-26 2017-12-13 OSRAM Opto Semiconductors GmbH Gan-based light-emitting-diode chip
DE10051465A1 (en) * 2000-10-17 2002-05-02 Osram Opto Semiconductors Gmbh Method for producing a GaN-based semiconductor component
WO2001082384A1 (en) * 2000-04-26 2001-11-01 Osram Opto Semiconductors Gmbh Radiation-emitting semiconductor element and method for producing the same
TWI292227B (en) 2000-05-26 2008-01-01 Osram Opto Semiconductors Gmbh Light-emitting-dioed-chip with a light-emitting-epitaxy-layer-series based on gan
FR2809534B1 (en) * 2000-05-26 2005-01-14 Commissariat Energie Atomique SEMICONDUCTOR DEVICE WITH VERTICAL ELECTRONIC INJECTION AND MANUFACTURING METHOD THEREOF
US6573160B2 (en) * 2000-05-26 2003-06-03 Motorola, Inc. Method of recrystallizing an amorphous region of a semiconductor
FR2809867B1 (en) * 2000-05-30 2003-10-24 Commissariat Energie Atomique FRAGILE SUBSTRATE AND METHOD FOR MANUFACTURING SUCH SUBSTRATE
US6900113B2 (en) 2000-05-30 2005-05-31 Shin-Etsu Handotai Co., Ltd. Method for producing bonded wafer and bonded wafer
US6303469B1 (en) * 2000-06-07 2001-10-16 Micron Technology, Inc. Thin microelectronic substrates and methods of manufacture
US6635552B1 (en) 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
FR2810448B1 (en) 2000-06-16 2003-09-19 Soitec Silicon On Insulator PROCESS FOR PRODUCING SUBSTRATES AND SUBSTRATES OBTAINED BY THIS PROCESS
JP3580227B2 (en) * 2000-06-21 2004-10-20 三菱住友シリコン株式会社 Composite substrate separation method and separation device
US20040014240A1 (en) * 2000-07-06 2004-01-22 Keigo Takeguchi Molecule detecting sensor
FR2811807B1 (en) * 2000-07-12 2003-07-04 Commissariat Energie Atomique METHOD OF CUTTING A BLOCK OF MATERIAL AND FORMING A THIN FILM
WO2002015244A2 (en) 2000-08-16 2002-02-21 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6429070B1 (en) 2000-08-30 2002-08-06 Micron Technology, Inc. DRAM cell constructions, and methods of forming DRAM cells
US6534819B2 (en) 2000-08-30 2003-03-18 Cornell Research Foundation, Inc. Dense backplane cell for configurable logic
JP2002110949A (en) 2000-09-28 2002-04-12 Canon Inc Heat treatment method of soi and its manufacturing method
JP2002110688A (en) 2000-09-29 2002-04-12 Canon Inc Thermal processing method of soi, and its manufacturing method
US6475072B1 (en) * 2000-09-29 2002-11-05 International Business Machines Corporation Method of wafer smoothing for bonding using chemo-mechanical polishing (CMP)
US6660606B2 (en) 2000-09-29 2003-12-09 Canon Kabushiki Kaisha Semiconductor-on-insulator annealing method
JP2002124652A (en) * 2000-10-16 2002-04-26 Seiko Epson Corp Manufacturing method of semiconductor substrate, the semiconductor substrate, electro-optical device, and electronic appliance
US8507361B2 (en) 2000-11-27 2013-08-13 Soitec Fabrication of substrates with a useful layer of monocrystalline semiconductor material
FR2894990B1 (en) * 2005-12-21 2008-02-22 Soitec Silicon On Insulator PROCESS FOR PRODUCING SUBSTRATES, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED BY SAID PROCESS
US7407869B2 (en) * 2000-11-27 2008-08-05 S.O.I.Tec Silicon On Insulator Technologies Method for manufacturing a free-standing substrate made of monocrystalline semiconductor material
FR2817395B1 (en) * 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
FR2817394B1 (en) 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
FR2840731B3 (en) 2002-06-11 2004-07-30 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE HAVING A USEFUL LAYER OF SINGLE-CRYSTAL SEMICONDUCTOR MATERIAL OF IMPROVED PROPERTIES
EP1482549B1 (en) * 2003-05-27 2011-03-30 S.O.I. Tec Silicon on Insulator Technologies S.A. Method of fabrication of a heteroepitaxial microstructure
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
FR2818010B1 (en) * 2000-12-08 2003-09-05 Commissariat Energie Atomique METHOD OF MAKING A THIN LAYER INVOLVING THE INTRODUCTION OF GAS SPECIES
US7094667B1 (en) 2000-12-28 2006-08-22 Bower Robert W Smooth thin film layers produced by low temperature hydrogen ion cut
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
DE10107405A1 (en) * 2001-02-14 2002-09-12 Rainer Schork Semiconductor film which can be directly processed on conveyor belt production line comprises semiconductor tape formed by ion implantation
US6448152B1 (en) * 2001-02-20 2002-09-10 Silicon Genesis Corporation Method and system for generating a plurality of donor wafers and handle wafers prior to an order being placed by a customer
US6706608B2 (en) 2001-02-28 2004-03-16 Micron Technology, Inc. Memory cell capacitors having an over/under configuration
US6699770B2 (en) * 2001-03-01 2004-03-02 John Tarje Torvik Method of making a hybride substrate having a thin silicon carbide membrane layer
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6613652B2 (en) * 2001-03-14 2003-09-02 Chartered Semiconductor Manufacturing Ltd. Method for fabricating SOI devices with option of incorporating air-gap feature for better insulation and performance
JP4749584B2 (en) * 2001-03-30 2011-08-17 株式会社豊田中央研究所 Manufacturing method of semiconductor substrate
WO2002082514A1 (en) 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
FR2823373B1 (en) * 2001-04-10 2005-02-04 Soitec Silicon On Insulator DEVICE FOR CUTTING LAYER OF SUBSTRATE, AND ASSOCIATED METHOD
TWI256076B (en) * 2001-04-11 2006-06-01 Memc Electronic Materials Control of thermal donor formation in high resistivity CZ silicon
FR2823599B1 (en) 2001-04-13 2004-12-17 Commissariat Energie Atomique DEMOMTABLE SUBSTRATE WITH CONTROLLED MECHANICAL HOLDING AND METHOD OF MAKING
US20050026432A1 (en) * 2001-04-17 2005-02-03 Atwater Harry A. Wafer bonded epitaxial templates for silicon heterostructures
US7238622B2 (en) * 2001-04-17 2007-07-03 California Institute Of Technology Wafer bonded virtual substrate and method for forming the same
US7019339B2 (en) * 2001-04-17 2006-03-28 California Institute Of Technology Method of using a germanium layer transfer to Si for photovoltaic applications and heterostructure made thereby
JP4775680B2 (en) * 2001-05-16 2011-09-21 信越半導体株式会社 Sample preparation method and slice sample for observing crystal defects in silicon crystal
US6956268B2 (en) * 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) * 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
KR100456526B1 (en) * 2001-05-22 2004-11-09 삼성전자주식회사 Silicon-on-insulator substrate having an etch stop layer, fabrication method thereof, silicon-on-insulator integrated circuit fabricated thereon, and method of fabricating silicon-on-insulator integrated circuit using the same
JP2002353423A (en) * 2001-05-25 2002-12-06 Canon Inc Separation device and processing method of plate member
JP2002353081A (en) 2001-05-25 2002-12-06 Canon Inc Device and method for separating plate member
JP2002353082A (en) 2001-05-28 2002-12-06 Shin Etsu Handotai Co Ltd Method of manufacturing laminated wafer
US6759282B2 (en) * 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
DE10131249A1 (en) * 2001-06-28 2002-05-23 Wacker Siltronic Halbleitermat Production of a film or a layer of semiconductor material comprises producing structures of repeating recesses on the surface of a semiconductor material
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
US7883628B2 (en) * 2001-07-04 2011-02-08 S.O.I.Tec Silicon On Insulator Technologies Method of reducing the surface roughness of a semiconductor wafer
FR2827078B1 (en) * 2001-07-04 2005-02-04 Soitec Silicon On Insulator METHOD FOR REDUCING SURFACE ROUGHNESS
US7749910B2 (en) * 2001-07-04 2010-07-06 S.O.I.Tec Silicon On Insulator Technologies Method of reducing the surface roughness of a semiconductor wafer
GB2377409A (en) * 2001-07-13 2003-01-15 Dek Int Gmbh Screen printing alignment and inspection apparatus having at least two workpiece imaging units
FR2827423B1 (en) * 2001-07-16 2005-05-20 Soitec Silicon On Insulator METHOD OF IMPROVING SURFACE CONDITION
WO2003009386A1 (en) 2001-07-17 2003-01-30 Shin-Etsu Handotai Co.,Ltd. Method for producing bonding wafer
US6844236B2 (en) * 2001-07-23 2005-01-18 Agere Systems Inc. Method and structure for DC and RF shielding of integrated circuits
FR2828428B1 (en) * 2001-08-07 2003-10-17 Soitec Silicon On Insulator DEVICE FOR PICKING UP SUBSTRATES AND ASSOCIATED METHOD
FR2828762B1 (en) * 2001-08-14 2003-12-05 Soitec Silicon On Insulator METHOD FOR OBTAINING A THIN FILM OF A SEMICONDUCTOR MATERIAL SUPPORTING AT LEAST ONE ELECTRONIC COMPONENT AND / OR CIRCUIT
US6566158B2 (en) * 2001-08-17 2003-05-20 Rosemount Aerospace Inc. Method of preparing a semiconductor using ion implantation in a SiC layer
US6806171B1 (en) 2001-08-24 2004-10-19 Silicon Wafer Technologies, Inc. Method of producing a thin layer of crystalline material
US6696352B1 (en) 2001-09-11 2004-02-24 Silicon Wafer Technologies, Inc. Method of manufacture of a multi-layered substrate with a thin single crystalline layer and a versatile sacrificial layer
US6875671B2 (en) * 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US7163826B2 (en) * 2001-09-12 2007-01-16 Reveo, Inc Method of fabricating multi layer devices on buried oxide layer substrates
US7033910B2 (en) * 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6933518B2 (en) 2001-09-24 2005-08-23 Amberwave Systems Corporation RF circuits including transistors having strained material layers
JP2003095798A (en) * 2001-09-27 2003-04-03 Hoya Corp Method of producing single crystal substrate
US6555451B1 (en) 2001-09-28 2003-04-29 The United States Of America As Represented By The Secretary Of The Navy Method for making shallow diffusion junctions in semiconductors using elemental doping
FR2830372B1 (en) * 2001-09-28 2008-08-22 METHOD OF CHARACTERIZING AN IMPLEMENTATION STEP IN A SUBSTRATE OF MATERIAL
FR2830983B1 (en) 2001-10-11 2004-05-14 Commissariat Energie Atomique METHOD FOR MANUFACTURING THIN FILMS CONTAINING MICROCOMPONENTS
US6632694B2 (en) 2001-10-17 2003-10-14 Astralux, Inc. Double heterojunction light emitting diodes and laser diodes having quantum dot silicon light emitters
US6593212B1 (en) 2001-10-29 2003-07-15 The United States Of America As Represented By The Secretary Of The Navy Method for making electro-optical devices using a hydrogenion splitting technique
US7501303B2 (en) * 2001-11-05 2009-03-10 The Trustees Of Boston University Reflective layer buried in silicon and method of fabrication
GB0127263D0 (en) * 2001-11-13 2002-01-02 Diamanx Products Ltd Layered structures
FR2834381B1 (en) * 2002-01-03 2004-02-27 Soitec Silicon On Insulator DEVICE FOR CUTTING A LAYER OF A SUBSTRATE, AND ASSOCIATED METHOD
JP2003204048A (en) 2002-01-09 2003-07-18 Shin Etsu Handotai Co Ltd Method for manufacturing soi wafer and soi wafer
US6562127B1 (en) 2002-01-16 2003-05-13 The United States Of America As Represented By The Secretary Of The Navy Method of making mosaic array of thin semiconductor material of large substrates
FR2834820B1 (en) 2002-01-16 2005-03-18 METHOD FOR CLEAVING LAYERS OF A MATERIAL WAFER
FR2835095B1 (en) * 2002-01-22 2005-03-18 PROCESS FOR PREPARING SEPARABLE SEMICONDUCTOR ASSEMBLIES, IN PARTICULAR FOR FORMING SUBSTRATES FOR ELECTRONICS, OPTOELECTRIC, AND OPTICS
JP2003249641A (en) * 2002-02-22 2003-09-05 Sharp Corp Semiconductor substrate, manufacturing method therefor and semiconductor device
US20050013996A1 (en) * 2002-03-08 2005-01-20 Hatfield Stephen F. Hot melt pressure sensitive adhesives for disposable articles
AU2003222003A1 (en) 2002-03-14 2003-09-29 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6607969B1 (en) 2002-03-18 2003-08-19 The United States Of America As Represented By The Secretary Of The Navy Method for making pyroelectric, electro-optical and decoupling capacitors using thin film transfer and hydrogen ion splitting techniques
US7119365B2 (en) 2002-03-26 2006-10-10 Sharp Kabushiki Kaisha Semiconductor device and manufacturing method thereof, SOI substrate and display device using the same, and manufacturing method of the SOI substrate
US6767749B2 (en) 2002-04-22 2004-07-27 The United States Of America As Represented By The Secretary Of The Navy Method for making piezoelectric resonator and surface acoustic wave device using hydrogen implant layer splitting
FR2839199B1 (en) 2002-04-30 2005-06-24 Soitec Silicon On Insulator METHOD FOR MANUFACTURING SUBSTRATES WITH DETACHMENT OF A TEMPORARY SUPPORT, AND ASSOCIATED SUBSTRATE
FR2874455B1 (en) * 2004-08-19 2008-02-08 Soitec Silicon On Insulator HEAT TREATMENT BEFORE BONDING TWO PLATES
FR2839385B1 (en) * 2002-05-02 2004-07-23 Soitec Silicon On Insulator PROCESS FOR TAKING OFF MATERIAL LAYERS
JP2003345267A (en) * 2002-05-30 2003-12-03 Canon Inc Display device and its manufacturing method
AU2003237399A1 (en) * 2002-06-03 2003-12-19 Tien-Hsi Lee Methods for transferring a layer onto a substrate
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7615829B2 (en) 2002-06-07 2009-11-10 Amberwave Systems Corporation Elevated source and drain elements for strained-channel heterojuntion field-effect transistors
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6862795B2 (en) * 2002-06-17 2005-03-08 Vty Holding Oy Method of manufacturing of a monolithic silicon acceleration sensor
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7157119B2 (en) * 2002-06-25 2007-01-02 Ppg Industries Ohio, Inc. Method and compositions for applying multiple overlying organic pigmented decorations on ceramic substrates
US6953736B2 (en) * 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
US6995075B1 (en) * 2002-07-12 2006-02-07 Silicon Wafer Technologies Process for forming a fragile layer inside of a single crystalline substrate
DE60315670T2 (en) * 2002-07-17 2008-06-05 S.O.I.Tec Silicon On Insulator Technologies METHOD FOR THE PRODUCTION OF SUBSTRATES, ESPECIALLY FOR OPTICS, ELECTRONICS AND OPTOELECTRONICS
FR2842650B1 (en) * 2002-07-17 2005-09-02 Soitec Silicon On Insulator PROCESS FOR PRODUCING SUBSTRATES, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTO-ELECTRONICS
FR2842647B1 (en) * 2002-07-17 2004-09-17 Soitec Silicon On Insulator LAYER TRANSFER METHOD
FR2842646B1 (en) * 2002-07-17 2005-06-24 Soitec Silicon On Insulator METHOD OF INCREASING THE AREA OF A USEFUL LAYER OF MATERIAL REFLECTED ON A SUPPORT
FR2842649B1 (en) * 2002-07-17 2005-06-24 Soitec Silicon On Insulator METHOD OF INCREASING THE AREA OF A USEFUL LAYER OF MATERIAL REFLECTED ON A SUPPORT
US6979630B2 (en) * 2002-08-08 2005-12-27 Isonics Corporation Method and apparatus for transferring a thin layer of semiconductor material
WO2004015764A2 (en) * 2002-08-08 2004-02-19 Leedy Glenn J Vertical system integration
US7375385B2 (en) 2002-08-23 2008-05-20 Amberwave Systems Corporation Semiconductor heterostructures having reduced dislocation pile-ups
US7608927B2 (en) * 2002-08-29 2009-10-27 Micron Technology, Inc. Localized biasing for silicon on insulator structures
US20040043193A1 (en) * 2002-08-30 2004-03-04 Yih-Fang Chen Friction material with friction modifying layer
US7594967B2 (en) 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
FR2844099B1 (en) * 2002-09-03 2005-09-02 Commissariat Energie Atomique SEMICONDUCTOR DEVICE OF QUASI-VERTICAL POWER ON COMPOSITE SUBSTRATE
TWI242796B (en) * 2002-09-04 2005-11-01 Canon Kk Substrate and manufacturing method therefor
JP2004103600A (en) * 2002-09-04 2004-04-02 Canon Inc Substrate and its manufacturing method
JP2004103855A (en) * 2002-09-10 2004-04-02 Canon Inc Substrate and its manufacturing method
JP2004103946A (en) * 2002-09-11 2004-04-02 Canon Inc Substrate and its manufacturing method
KR100473855B1 (en) * 2002-09-12 2005-03-10 주식회사 실트론 A Manufacturing method for SOI wafer
US6793830B2 (en) * 2002-09-27 2004-09-21 Medtronic, Inc. Method for forming a microstructure from a monocrystalline substrate
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
GB2409340B (en) 2002-10-04 2006-05-10 Silicon Genesis Corp Method for treating semiconductor material
US8187377B2 (en) * 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
JP2004134672A (en) 2002-10-11 2004-04-30 Sony Corp Method and apparatus for manufacturing super-thin semiconductor device and super-thin backlighting type solid-state imaging device
US6927422B2 (en) * 2002-10-17 2005-08-09 Astralux, Inc. Double heterojunction light emitting diodes and laser diodes having quantum dot silicon light emitters
US6821342B2 (en) * 2002-10-23 2004-11-23 Medtronic, Inc. Method for forming suspended microstructures
US7176108B2 (en) 2002-11-07 2007-02-13 Soitec Silicon On Insulator Method of detaching a thin film at moderate temperature after co-implantation
FR2847075B1 (en) * 2002-11-07 2005-02-18 Commissariat Energie Atomique PROCESS FOR FORMING A FRAGILE ZONE IN A SUBSTRATE BY CO-IMPLANTATION
JP2004193515A (en) 2002-12-13 2004-07-08 Shin Etsu Handotai Co Ltd Soi-wafer manufacturing method
US7187162B2 (en) * 2002-12-16 2007-03-06 S.O.I.Tec Silicon On Insulator Technologies S.A. Tools and methods for disuniting semiconductor wafers
US20100133695A1 (en) * 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US7799675B2 (en) * 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
RU2217842C1 (en) * 2003-01-14 2003-11-27 Институт физики полупроводников - Объединенного института физики полупроводников СО РАН Method for producing silicon-on-insulator structure
FR2850390B1 (en) * 2003-01-24 2006-07-14 Soitec Silicon On Insulator METHOD FOR REMOVING A PERIPHERAL GLUE ZONE WHEN MANUFACTURING A COMPOSITE SUBSTRATE
US7332417B2 (en) 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
JP2004247610A (en) * 2003-02-14 2004-09-02 Canon Inc Manufacturing method of substrate
US7176528B2 (en) 2003-02-18 2007-02-13 Corning Incorporated Glass-based SOI structures
US7399681B2 (en) 2003-02-18 2008-07-15 Corning Incorporated Glass-based SOI structures
US7235920B2 (en) 2003-02-24 2007-06-26 Osram Opto Semiconductors Gmbh Display device and method of its manufacture
JP2004259970A (en) 2003-02-26 2004-09-16 Shin Etsu Handotai Co Ltd Soi wafer and method for manufacturing it
FR2851846A1 (en) * 2003-02-28 2004-09-03 Canon Kk CONNECTION SYSTEM AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
EP1602125B1 (en) 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
US6949451B2 (en) * 2003-03-10 2005-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. SOI chip with recess-resistant buried insulator and method of manufacturing the same
JP4794810B2 (en) * 2003-03-20 2011-10-19 シャープ株式会社 Manufacturing method of semiconductor device
JP4509488B2 (en) 2003-04-02 2010-07-21 株式会社Sumco Manufacturing method of bonded substrate
US6902962B2 (en) * 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
WO2004090958A1 (en) * 2003-04-10 2004-10-21 S.O.I.Tec Silicon On Insulator Technologies Method of producing a hybrid device and hybrid device
US6831302B2 (en) 2003-04-15 2004-12-14 Luminus Devices, Inc. Light emitting devices with improved extraction efficiency
FR2854493B1 (en) * 2003-04-29 2005-08-19 Soitec Silicon On Insulator SCRUBBING TREATMENT OF SEMICONDUCTOR PLATE BEFORE COLLAGE
US7235461B2 (en) * 2003-04-29 2007-06-26 S.O.I.Tec Silicon On Insulator Technologies Method for bonding semiconductor structures together
JP2004335642A (en) 2003-05-06 2004-11-25 Canon Inc Substrate and its producing process
US6864149B2 (en) * 2003-05-09 2005-03-08 Taiwan Semiconductor Manufacturing Company SOI chip with mesa isolation and recess resistant regions
JP4239676B2 (en) 2003-05-15 2009-03-18 信越半導体株式会社 SOI wafer and manufacturing method thereof
EP1482548B1 (en) 2003-05-26 2016-04-13 Soitec A method of manufacturing a wafer
US7261777B2 (en) 2003-06-06 2007-08-28 S.O.I.Tec Silicon On Insulator Technologies Method for fabricating an epitaxial substrate
EP1484794A1 (en) * 2003-06-06 2004-12-08 S.O.I. Tec Silicon on Insulator Technologies S.A. A method for fabricating a carrier substrate
DE10326578B4 (en) * 2003-06-12 2006-01-19 Siltronic Ag Process for producing an SOI disk
TWI240434B (en) * 2003-06-24 2005-09-21 Osram Opto Semiconductors Gmbh Method to produce semiconductor-chips
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
JP2005026472A (en) * 2003-07-02 2005-01-27 Sharp Corp Manufacturing method of semiconductor device
FR2857953B1 (en) 2003-07-21 2006-01-13 Commissariat Energie Atomique STACKED STRUCTURE, AND METHOD FOR MANUFACTURING THE SAME
FR2857983B1 (en) * 2003-07-24 2005-09-02 Soitec Silicon On Insulator PROCESS FOR PRODUCING AN EPITAXIC LAYER
US7538010B2 (en) * 2003-07-24 2009-05-26 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
FR2858715B1 (en) * 2003-08-04 2005-12-30 Soitec Silicon On Insulator METHOD FOR DETACHING SEMICONDUCTOR LAYER
JP2005064188A (en) * 2003-08-11 2005-03-10 Sumitomo Electric Ind Ltd Method for collecting and reproducing substrate and manufacture of semiconductor wafer
FR2858875B1 (en) * 2003-08-12 2006-02-10 Soitec Silicon On Insulator METHOD FOR MAKING THIN LAYERS OF SEMICONDUCTOR MATERIAL FROM A DONOR WAFER
CN100345247C (en) * 2003-08-28 2007-10-24 中国科学院半导体研究所 Hydrogen induced uncoupled heteroepitaxial flexible substrate
WO2005022610A1 (en) * 2003-09-01 2005-03-10 Sumco Corporation Method for manufacturing bonded wafer
FR2859312B1 (en) * 2003-09-02 2006-02-17 Soitec Silicon On Insulator MULTIFUNCTIONAL METAL SEAL
US7510948B2 (en) * 2003-09-05 2009-03-31 Sumco Corporation Method for producing SOI wafer
WO2005027204A1 (en) 2003-09-08 2005-03-24 Sumco Corporation Bonded wafer and its manufacturing method
US7446016B2 (en) * 2003-09-08 2008-11-04 Sumco Corporation Method for producing bonded wafer
FR2861853B1 (en) * 2003-10-30 2006-02-24 Soitec Silicon On Insulator SUBSTRATE WITH INDEX ADAPTATION
US6902965B2 (en) * 2003-10-31 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Strained silicon structure
JP4610982B2 (en) * 2003-11-11 2011-01-12 シャープ株式会社 Manufacturing method of semiconductor device
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
JP4694372B2 (en) 2003-12-03 2011-06-08 エス.オー.アイ.テック、シリコン、オン、インシュレター、テクノロジーズ Method for improving the surface roughness of a wafer
US7772087B2 (en) 2003-12-19 2010-08-10 Commissariat A L'energie Atomique Method of catastrophic transfer of a thin film after co-implantation
FR2864336B1 (en) * 2003-12-23 2006-04-28 Commissariat Energie Atomique METHOD FOR SEALING TWO PLATES WITH FORMATION OF AN OHMIC CONTACT BETWEEN THEM
CN100342486C (en) * 2003-12-24 2007-10-10 联合晶圆公司 Method for making thin film by transferring on base plate
US6992025B2 (en) * 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation
JP4539098B2 (en) * 2004-01-29 2010-09-08 株式会社Sumco Manufacturing method of bonded substrate
WO2005093807A1 (en) * 2004-03-01 2005-10-06 S.O.I.Tec Silicon On Insulator Technologies Oxidation process of a sige layer and applications thereof
FR2867307B1 (en) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator HEAT TREATMENT AFTER SMART-CUT DETACHMENT
US7282449B2 (en) * 2004-03-05 2007-10-16 S.O.I.Tec Silicon On Insulator Technologies Thermal treatment of a semiconductor layer
FR2867310B1 (en) * 2004-03-05 2006-05-26 Soitec Silicon On Insulator TECHNIQUE FOR IMPROVING THE QUALITY OF A THIN LAYER TAKEN
JP4219838B2 (en) * 2004-03-24 2009-02-04 シャープ株式会社 Semiconductor substrate manufacturing method and semiconductor device manufacturing method
US7202141B2 (en) * 2004-03-29 2007-04-10 J.P. Sercel Associates, Inc. Method of separating layers of material
US7390724B2 (en) * 2004-04-12 2008-06-24 Silicon Genesis Corporation Method and system for lattice space engineering
WO2005104192A2 (en) * 2004-04-21 2005-11-03 California Institute Of Technology A METHOD FOR THE FABRICATION OF GaAs/Si AND RELATED WAFER BONDED VIRTUAL SUBSTRATES
DE102004021113B4 (en) 2004-04-29 2006-04-20 Siltronic Ag SOI disk and process for its production
FR2870043B1 (en) * 2004-05-07 2006-11-24 Commissariat Energie Atomique MANUFACTURING OF ACTIVE ZONES OF DIFFERENT NATURE DIRECTLY ON INSULATION AND APPLICATION TO MOS TRANSISTOR WITH SINGLE OR DOUBLE GRID
JP2005347301A (en) * 2004-05-31 2005-12-15 Canon Inc Forming method of substrate
JP2005347302A (en) * 2004-05-31 2005-12-15 Canon Inc Manufacturing method of substrate
JP4730581B2 (en) 2004-06-17 2011-07-20 信越半導体株式会社 Manufacturing method of bonded wafer
US6893936B1 (en) * 2004-06-29 2005-05-17 International Business Machines Corporation Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
FR2872627B1 (en) * 2004-06-30 2006-08-18 Commissariat Energie Atomique MOLECULAR ADHESION ASSEMBLY OF TWO SUBSTRATES
US7094666B2 (en) * 2004-07-29 2006-08-22 Silicon Genesis Corporation Method and system for fabricating strained layers for the manufacture of integrated circuits
US20060021565A1 (en) * 2004-07-30 2006-02-02 Aonex Technologies, Inc. GaInP / GaAs / Si triple junction solar cell enabled by wafer bonding and layer transfer
KR101140450B1 (en) * 2004-08-18 2012-04-30 코닝 인코포레이티드 Strained semiconductor-on-insulator structure and methods for making strained semiconductor-on-insulator structures
FR2874454B1 (en) * 2004-08-19 2006-11-24 Commissariat Energie Atomique THIN-FILM ELEMENT AND METHOD OF MANUFACTURING THE SAME
US7238567B2 (en) * 2004-08-23 2007-07-03 Texas Instruments Incorporated System and method for integrating low schottky barrier metal source/drain
DE102004041378B4 (en) * 2004-08-26 2010-07-08 Siltronic Ag Semiconductor wafer with a layered structure with low warp and bow and process for its production
JP2006080314A (en) * 2004-09-09 2006-03-23 Canon Inc Manufacturing method of coupled substrate
WO2006029651A1 (en) * 2004-09-16 2006-03-23 S.O.I.Tec Silicon On Insulator Technologies Method of manufacturing a silicon dioxide layer
KR101134485B1 (en) * 2004-09-21 2012-04-24 소이텍 Method for obtaining a thin layer by implementing co-implantation and subsequent implantation
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7846759B2 (en) * 2004-10-21 2010-12-07 Aonex Technologies, Inc. Multi-junction solar cells and methods of making same using layer transfer and bonding techniques
GB0423599D0 (en) * 2004-10-23 2004-11-24 Univ Belfast Electro-optical device
US7402465B2 (en) * 2004-11-11 2008-07-22 Samsung Electronics Co., Ltd. Method of fabricating single-crystal silicon film and method of fabricating TFT adopting the same
DE102004054564B4 (en) * 2004-11-11 2008-11-27 Siltronic Ag Semiconductor substrate and method for its production
US7148124B1 (en) 2004-11-18 2006-12-12 Alexander Yuri Usenko Method for forming a fragile layer inside of a single crystalline substrate preferably for making silicon-on-insulator wafers
EP1973155B1 (en) * 2004-11-19 2011-07-06 S.O.I. TEC Silicon Method for fabricating a germanium on insulator (GeOI) type wafer
US7402520B2 (en) * 2004-11-26 2008-07-22 Applied Materials, Inc. Edge removal of silicon-on-insulator transfer wafer
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
KR100634528B1 (en) * 2004-12-03 2006-10-16 삼성전자주식회사 Fabrication method of single crystal Si film
JP2006173354A (en) 2004-12-15 2006-06-29 Canon Inc Manufacturing method of soi substrate
DE102004062290A1 (en) * 2004-12-23 2006-07-06 Osram Opto Semiconductors Gmbh Method for producing a semiconductor chip
DE102004062356A1 (en) * 2004-12-23 2006-07-13 Siltronic Ag Semiconductor wafer with a semiconductor layer and an underlying electrically insulating layer and method for their preparation
DE602004022882D1 (en) * 2004-12-28 2009-10-08 Soitec Silicon On Insulator NER LITTLE DENSITY OF HOLES
DE102005000826A1 (en) 2005-01-05 2006-07-20 Siltronic Ag Semiconductor wafer with silicon-germanium layer and method for its production
US7344957B2 (en) * 2005-01-19 2008-03-18 Texas Instruments Incorporated SOI wafer with cooling channels and a method of manufacture thereof
US7282425B2 (en) * 2005-01-31 2007-10-16 International Business Machines Corporation Structure and method of integrating compound and elemental semiconductors for high-performance CMOS
JP4934966B2 (en) 2005-02-04 2012-05-23 株式会社Sumco Manufacturing method of SOI substrate
US10374120B2 (en) * 2005-02-18 2019-08-06 Koninklijke Philips N.V. High efficiency solar cells utilizing wafer bonding and layer transfer to integrate non-lattice matched materials
CN101124657B (en) * 2005-02-28 2010-04-14 信越半导体股份有限公司 Laminated wafer and manufacturing method thereof
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
JP2006294737A (en) 2005-04-07 2006-10-26 Sumco Corp Method of manufacturing soi substrate and method of reproducing peeled wafer during manufacture thereof
WO2006113442A2 (en) * 2005-04-13 2006-10-26 The Regents Of The University Of California Wafer separation technique for the fabrication of free-standing (al, in, ga)n wafers
US7494899B2 (en) 2005-04-14 2009-02-24 Sumco Corporation Method for manufacturing semiconductor substrate
US20060234474A1 (en) * 2005-04-15 2006-10-19 The Regents Of The University Of California Method of transferring a thin crystalline semiconductor layer
FR2884647B1 (en) * 2005-04-15 2008-02-22 Soitec Silicon On Insulator TREATMENT OF SEMICONDUCTOR PLATES
TW200707799A (en) * 2005-04-21 2007-02-16 Aonex Technologies Inc Bonded intermediate substrate and method of making same
US7271069B2 (en) * 2005-04-21 2007-09-18 Freescale Semiconductor, Inc. Semiconductor device having a plurality of different layers and method therefor
US7205202B2 (en) * 2005-04-21 2007-04-17 Freescale Semiconductor, Inc. Semiconductor device and method for regional stress control
US20060240275A1 (en) * 2005-04-25 2006-10-26 Gadkaree Kishor P Flexible display substrates
US20070013014A1 (en) * 2005-05-03 2007-01-18 Shuwen Guo High temperature resistant solid state pressure sensor
US7400042B2 (en) * 2005-05-03 2008-07-15 Rosemount Aerospace Inc. Substrate with adhesive bonding metallization with diffusion barrier
US7538401B2 (en) 2005-05-03 2009-05-26 Rosemount Aerospace Inc. Transducer for use in harsh environments
US7628309B1 (en) * 2005-05-03 2009-12-08 Rosemount Aerospace Inc. Transient liquid phase eutectic bonding
FR2886051B1 (en) * 2005-05-20 2007-08-10 Commissariat Energie Atomique METHOD FOR DETACHING THIN FILM
US20060270190A1 (en) * 2005-05-25 2006-11-30 The Regents Of The University Of California Method of transferring a thin crystalline semiconductor layer
US7560789B2 (en) * 2005-05-27 2009-07-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7605055B2 (en) * 2005-06-02 2009-10-20 S.O.I.Tec Silicon On Insulator Technologies Wafer with diamond layer
US7358164B2 (en) * 2005-06-16 2008-04-15 International Business Machines Corporation Crystal imprinting methods for fabricating substrates with thin active silicon layers
US7473985B2 (en) * 2005-06-16 2009-01-06 International Business Machines Corporation Hybrid oriented substrates and crystal imprinting methods for forming such hybrid oriented substrates
US7262112B2 (en) * 2005-06-27 2007-08-28 The Regents Of The University Of California Method for producing dislocation-free strained crystalline films
US7754008B2 (en) * 2005-07-19 2010-07-13 The Regents Of The University Of California Method of forming dislocation-free strained thin films
WO2007010619A1 (en) * 2005-07-22 2007-01-25 Sumco Corporation Method for manufacturing simox wafer and simox wafer manufactured by such method
US7635637B2 (en) * 2005-07-25 2009-12-22 Fairchild Semiconductor Corporation Semiconductor structures formed on substrates and methods of manufacturing the same
US20080311686A1 (en) * 2005-08-03 2008-12-18 California Institute Of Technology Method of Forming Semiconductor Layers on Handle Substrates
FR2889887B1 (en) * 2005-08-16 2007-11-09 Commissariat Energie Atomique METHOD FOR DEFERING A THIN LAYER ON A SUPPORT
US7268051B2 (en) * 2005-08-26 2007-09-11 Corning Incorporated Semiconductor on glass insulator with deposited barrier layer
DE102005052357A1 (en) * 2005-09-01 2007-03-15 Osram Opto Semiconductors Gmbh Method for the lateral dicing of a semiconductor wafer and optoelectronic component
DE102005052358A1 (en) * 2005-09-01 2007-03-15 Osram Opto Semiconductors Gmbh Method for the lateral dicing of a semiconductor wafer and optoelectronic component
US7153761B1 (en) 2005-10-03 2006-12-26 Los Alamos National Security, Llc Method of transferring a thin crystalline semiconductor layer
US7638410B2 (en) * 2005-10-03 2009-12-29 Los Alamos National Security, Llc Method of transferring strained semiconductor structure
DE102006025673B9 (en) 2005-10-28 2010-12-16 Infineon Technologies Ag Calculator for reducing an input number with respect to a module
KR101316947B1 (en) * 2005-11-01 2013-10-15 메사추세츠 인스티튜트 오브 테크놀로지 Monolithically integrated semiconductor materials and devices
DE102005054219B4 (en) 2005-11-14 2011-06-22 Infineon Technologies AG, 81669 Method for producing a field effect transistor and field effect transistor
DE102005054218B4 (en) * 2005-11-14 2011-06-09 Infineon Technologies Ag Method for producing a semiconductor element and semiconductor element
FR2893446B1 (en) * 2005-11-16 2008-02-15 Soitec Silicon Insulator Techn SEGMENT SEGMENT SEGMENT LAYER TREATMENT
US7691730B2 (en) * 2005-11-22 2010-04-06 Corning Incorporated Large area semiconductor on glass insulator
JP2007149723A (en) * 2005-11-24 2007-06-14 Sumco Corp Process for manufacturing laminated wafer
US20070252223A1 (en) * 2005-12-05 2007-11-01 Massachusetts Institute Of Technology Insulated gate devices and method of making same
EP1798764A1 (en) * 2005-12-14 2007-06-20 STMicroelectronics S.r.l. Process for manufacturing wafers usable in the semiconductor industry
US7456080B2 (en) * 2005-12-19 2008-11-25 Corning Incorporated Semiconductor on glass insulator made using improved ion implantation process
JP2007173354A (en) 2005-12-20 2007-07-05 Shin Etsu Chem Co Ltd Soi substrate and its manufacturing method
FR2895563B1 (en) * 2005-12-22 2008-04-04 Soitec Silicon On Insulator METHOD FOR SIMPLIFYING A FINISHING SEQUENCE AND STRUCTURE OBTAINED BY THE METHOD
JP5168788B2 (en) 2006-01-23 2013-03-27 信越半導体株式会社 Manufacturing method of SOI wafer
DE102006007293B4 (en) * 2006-01-31 2023-04-06 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Method for producing a quasi-substrate wafer and a semiconductor body produced using such a quasi-substrate wafer
JP5064692B2 (en) 2006-02-09 2012-10-31 信越化学工業株式会社 Manufacturing method of SOI substrate
JP5064695B2 (en) 2006-02-16 2012-10-31 信越化学工業株式会社 Manufacturing method of SOI substrate
JP4625775B2 (en) * 2006-02-17 2011-02-02 株式会社アルバック Ion implanter
SI1989740T2 (en) * 2006-02-28 2019-10-30 Q Cells Se Solar cell marking method, and solar cell
JP5041714B2 (en) * 2006-03-13 2012-10-03 信越化学工業株式会社 Microchip and SOI substrate for microchip manufacturing
JP5128781B2 (en) * 2006-03-13 2013-01-23 信越化学工業株式会社 Manufacturing method of substrate for photoelectric conversion element
FR2898431B1 (en) * 2006-03-13 2008-07-25 Soitec Silicon On Insulator METHOD FOR MANUFACTURING THIN FILM
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
FR2899378B1 (en) 2006-03-29 2008-06-27 Commissariat Energie Atomique METHOD FOR DETACHING A THIN FILM BY FUSION OF PRECIPITS
CN101512721A (en) * 2006-04-05 2009-08-19 硅源公司 Method and structure for fabricating solar cells using a layer transfer process
US7635635B2 (en) * 2006-04-06 2009-12-22 Fairchild Semiconductor Corporation Method for bonding a semiconductor substrate to a metal substrate
US20070243703A1 (en) * 2006-04-14 2007-10-18 Aonex Technololgies, Inc. Processes and structures for epitaxial growth on laminate substrates
US20080012087A1 (en) * 2006-04-19 2008-01-17 Henri Dautet Bonded wafer avalanche photodiode and method for manufacturing same
US7984408B2 (en) * 2006-04-21 2011-07-19 International Business Machines Corporation Structures incorporating semiconductor device structures with reduced junction capacitance and drain induced barrier lowering
US20070249098A1 (en) * 2006-04-21 2007-10-25 Raymond Charles Cady Bonding plate mechanism for use in anodic bonding
US7659178B2 (en) * 2006-04-21 2010-02-09 International Business Machines Corporation Semiconductor device structures with reduced junction capacitance and drain induced barrier lowering and methods for fabricating such device structures and for fabricating a semiconductor-on-insulator substrate
DE102006061167A1 (en) * 2006-04-25 2007-12-20 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor component
TW200802544A (en) * 2006-04-25 2008-01-01 Osram Opto Semiconductors Gmbh Composite substrate and method for making the same
FR2900400B1 (en) 2006-04-28 2008-11-07 Tronic S Microsystems Sa COLLECTIVE PROCESS FOR MANUFACTURING MEMBRANES AND CAVITIES OF LOW VOLUME AND HIGH PRECISION
US20070264796A1 (en) * 2006-05-12 2007-11-15 Stocker Mark A Method for forming a semiconductor on insulator structure
US20070277875A1 (en) * 2006-05-31 2007-12-06 Kishor Purushottam Gadkaree Thin film photovoltaic structure
US20070277874A1 (en) * 2006-05-31 2007-12-06 David Francis Dawson-Elli Thin film photovoltaic structure
FR2902233B1 (en) * 2006-06-09 2008-10-17 Soitec Silicon On Insulator METHOD FOR LIMITING LACUNAR MODE BROADCAST DISTRIBUTION IN A HETEROSTRUCTURE
DE602007000665D1 (en) * 2006-06-12 2009-04-23 St Microelectronics Sa Process for the preparation of Si1-yGey based zones with different Ge contents on one and the same substrate by means of condensation of germanium
FR2902234B1 (en) * 2006-06-12 2008-10-10 Commissariat Energie Atomique METHOD FOR MAKING Si1-yGey ZONES OF DIFFERENT Ge CONTENTS ON THE SAME SUBSTRATE BY GERMANIUM CONDENSATION
FR2902926B1 (en) * 2006-06-22 2008-10-24 Commissariat Energie Atomique METHOD AND DEVICE FOR MONITORING THERMAL PROCESSING OF A MICROTECHNOLOGICAL SUBSTRATE
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
JP5314838B2 (en) 2006-07-14 2013-10-16 信越半導体株式会社 Method for reusing peeled wafers
EP1901345A1 (en) 2006-08-30 2008-03-19 Siltronic AG Multilayered semiconductor wafer and process for manufacturing the same
JP2008060355A (en) 2006-08-31 2008-03-13 Sumco Corp Laminated wafer, and manufacturing method therefor
US20080057678A1 (en) * 2006-08-31 2008-03-06 Kishor Purushottam Gadkaree Semiconductor on glass insulator made using improved hydrogen reduction process
JP2008066500A (en) * 2006-09-07 2008-03-21 Sumco Corp Laminated wafer and its manufacturing method
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
FR2905801B1 (en) * 2006-09-12 2008-12-05 Soitec Silicon On Insulator METHOD FOR TRANSFERRING A HIGH TEMPERATURE LAYER
WO2008121159A2 (en) * 2006-10-19 2008-10-09 Los Alamos National Security Llc Active terahertz metamaterial devices
JP2008112847A (en) * 2006-10-30 2008-05-15 Shin Etsu Chem Co Ltd Process for manufacturing single crystal silicon solar cell and single crystal silicon solar cell
JP2008112843A (en) * 2006-10-30 2008-05-15 Shin Etsu Chem Co Ltd Process for manufacturing singly crystal silicon solar cell and single crystal silicon solar cell
JP2008112840A (en) * 2006-10-30 2008-05-15 Shin Etsu Chem Co Ltd Single crystal silicon solar cell and process for manufacturing same
JP2008112848A (en) * 2006-10-30 2008-05-15 Shin Etsu Chem Co Ltd Process for manufacturing single crystal silicon solar cell and single crystal silicon solar cell
JP5284576B2 (en) 2006-11-10 2013-09-11 信越化学工業株式会社 Manufacturing method of semiconductor substrate
JP5044195B2 (en) 2006-11-10 2012-10-10 信越化学工業株式会社 Manufacturing method of SOQ substrate
JP5249511B2 (en) 2006-11-22 2013-07-31 信越化学工業株式会社 SOQ substrate and method for manufacturing SOQ substrate
JP5090716B2 (en) * 2006-11-24 2012-12-05 信越化学工業株式会社 Method for producing single crystal silicon solar cell
JP4820801B2 (en) * 2006-12-26 2011-11-24 株式会社Sumco Manufacturing method of bonded wafer
FR2911431B1 (en) * 2007-01-16 2009-05-15 Soitec Silicon On Insulator METHOD OF MANUFACTURING STRUCTURES WITH INSULATING LAYER OF CONTROLLED THICKNESS
US7838174B2 (en) * 2007-01-24 2010-11-23 Sharp Laboratories Of America, Inc. Method of fabricating grayscale mask using smart cut® wafer bonding process
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US7682761B2 (en) * 2007-02-20 2010-03-23 Sharp Laboratories Of America, Inc. Method of fabricating a grayscale mask using a wafer bonding process
TW200837965A (en) * 2007-03-05 2008-09-16 Univ Nat Taiwan Photodetector
JP5256625B2 (en) 2007-03-05 2013-08-07 株式会社Sumco Evaluation method for bonded wafers
JP5166745B2 (en) * 2007-03-07 2013-03-21 信越化学工業株式会社 Method for producing single crystal silicon solar cell
US7755113B2 (en) * 2007-03-16 2010-07-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, semiconductor display device, and manufacturing method of semiconductor device
US7875881B2 (en) * 2007-04-03 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Memory device and semiconductor device
US20080248629A1 (en) * 2007-04-06 2008-10-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
JP5048380B2 (en) * 2007-04-09 2012-10-17 信越化学工業株式会社 Method for producing single crystal silicon solar cell
JP5220335B2 (en) 2007-04-11 2013-06-26 信越化学工業株式会社 Manufacturing method of SOI substrate
JP2008263087A (en) 2007-04-12 2008-10-30 Shin Etsu Chem Co Ltd Method of manufacturing soi substrate
JP2007201502A (en) * 2007-04-20 2007-08-09 Semiconductor Energy Lab Co Ltd Semiconductor device and fabricating method thereof
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7619283B2 (en) * 2007-04-20 2009-11-17 Corning Incorporated Methods of fabricating glass-based substrates and apparatus employing same
KR101440930B1 (en) * 2007-04-20 2014-09-15 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method of manufacturing soi substrate
EP1986230A2 (en) * 2007-04-25 2008-10-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing SOI substrate and method of manufacturing semiconductor device
JP5348916B2 (en) * 2007-04-25 2013-11-20 株式会社半導体エネルギー研究所 Semiconductor device
JP5350655B2 (en) * 2007-04-27 2013-11-27 株式会社半導体エネルギー研究所 Semiconductor device
FR2915625B1 (en) 2007-04-27 2009-10-02 Soitec Silicon On Insulator METHOD OF TRANSFERRING AN EPITAXIAL LAYER
US7635617B2 (en) * 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
JP5289805B2 (en) * 2007-05-10 2013-09-11 株式会社半導体エネルギー研究所 Method for manufacturing substrate for manufacturing semiconductor device
US7867805B2 (en) * 2007-05-13 2011-01-11 International Business Machines Corporation Structure replication through ultra thin layer transfer
US7833886B2 (en) * 2007-05-14 2010-11-16 Infineon Technologies Ag Method of producing a semiconductor element in a substrate
KR101400699B1 (en) * 2007-05-18 2014-05-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor substrate, semiconductor device and manufacturing method thereof
EP1993126B1 (en) * 2007-05-18 2011-09-21 Semiconductor Energy Laboratory Co., Ltd. Manufacturing methods of semiconductor substrate
US8803781B2 (en) * 2007-05-18 2014-08-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and display device
US9059247B2 (en) * 2007-05-18 2015-06-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
EP1993127B1 (en) * 2007-05-18 2013-04-24 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate
US8513678B2 (en) * 2007-05-18 2013-08-20 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device
TWI335046B (en) * 2007-05-25 2010-12-21 Univ Nat Taiwan Flexible electronic device and process for the same
TWI360232B (en) * 2007-06-12 2012-03-11 Univ Nat Taiwan Method for manufacturing photodetector
US7875532B2 (en) * 2007-06-15 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Substrate for manufacturing semiconductor device and manufacturing method thereof
US7781306B2 (en) * 2007-06-20 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate and method for manufacturing the same
US7763502B2 (en) * 2007-06-22 2010-07-27 Semiconductor Energy Laboratory Co., Ltd Semiconductor substrate, method for manufacturing semiconductor substrate, semiconductor device, and electronic device
KR101484296B1 (en) 2007-06-26 2015-01-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor substrate, manufacturing method of the semiconductor substrate, and semiconductor device and electronic device using the same
US20090004764A1 (en) * 2007-06-29 2009-01-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US20090004458A1 (en) * 2007-06-29 2009-01-01 Memc Electronic Materials, Inc. Diffusion Control in Heavily Doped Substrates
US20090004426A1 (en) * 2007-06-29 2009-01-01 Memc Electronic Materials, Inc. Suppression of Oxygen Precipitation in Heavily Doped Single Crystal Silicon Substrates
EP2009687B1 (en) * 2007-06-29 2016-08-17 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing an SOI substrate and method of manufacturing a semiconductor device
US8354674B2 (en) 2007-06-29 2013-01-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device wherein a property of a first semiconductor layer is different from a property of a second semiconductor layer
US8049253B2 (en) 2007-07-11 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
FR2918793B1 (en) 2007-07-11 2009-10-09 Commissariat Energie Atomique PROCESS FOR PRODUCING A SEMICONDUCTOR-SUR-INSULATING SUBSTRATE FOR MICROELECTRONICS AND OPTOELECTRONICS
US7790563B2 (en) * 2007-07-13 2010-09-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, electronic device and method for manufacturing semiconductor device
US20100193900A1 (en) * 2007-07-13 2010-08-05 National University Corporation Tohoku University Soi substrate and semiconductor device using an soi substrate
JP5486781B2 (en) * 2007-07-19 2014-05-07 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
JP5135935B2 (en) 2007-07-27 2013-02-06 信越半導体株式会社 Manufacturing method of bonded wafer
US20090032873A1 (en) * 2007-07-30 2009-02-05 Jeffrey Scott Cites Ultra thin single crystalline semiconductor TFT and process for making same
FR2919960B1 (en) 2007-08-08 2010-05-21 Soitec Silicon On Insulator METHOD AND INSTALLATION FOR FRACTURE OF A COMPOSITE SUBSTRATE ACCORDING TO A FRAGILIZATION PLAN
US20090061593A1 (en) * 2007-08-28 2009-03-05 Kishor Purushottam Gadkaree Semiconductor Wafer Re-Use in an Exfoliation Process Using Heat Treatment
JP2009076890A (en) * 2007-08-31 2009-04-09 Semiconductor Energy Lab Co Ltd Manufacturing method of semiconductor device, semiconductor device, and electronic device
CN101388361A (en) * 2007-09-10 2009-03-18 东部高科股份有限公司 Method for manufacturing image sensor
JP2009088500A (en) * 2007-09-14 2009-04-23 Semiconductor Energy Lab Co Ltd Production process of soi substrate
US8101500B2 (en) * 2007-09-27 2012-01-24 Fairchild Semiconductor Corporation Semiconductor device with (110)-oriented silicon
US8128749B2 (en) * 2007-10-04 2012-03-06 International Business Machines Corporation Fabrication of SOI with gettering layer
KR101499175B1 (en) * 2007-10-04 2015-03-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing semiconductor substrate
JP5527956B2 (en) * 2007-10-10 2014-06-25 株式会社半導体エネルギー研究所 Manufacturing method of semiconductor substrate
JP5522917B2 (en) * 2007-10-10 2014-06-18 株式会社半導体エネルギー研究所 Manufacturing method of SOI substrate
JP5490393B2 (en) * 2007-10-10 2014-05-14 株式会社半導体エネルギー研究所 Manufacturing method of semiconductor substrate
US8455331B2 (en) * 2007-10-10 2013-06-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US8501585B2 (en) * 2007-10-10 2013-08-06 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US8101501B2 (en) * 2007-10-10 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7955950B2 (en) * 2007-10-18 2011-06-07 International Business Machines Corporation Semiconductor-on-insulator substrate with a diffusion barrier
FR2922681A1 (en) 2007-10-23 2009-04-24 Soitec Silicon On Insulator METHOD FOR DETACHING A SUBSTRATE
JP2009105315A (en) 2007-10-25 2009-05-14 Shin Etsu Chem Co Ltd Method of manufacturing semiconductor substrate
JP5548351B2 (en) * 2007-11-01 2014-07-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US7851318B2 (en) * 2007-11-01 2010-12-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate and method for manufacturing the same, and method for manufacturing semiconductor device
US20090124038A1 (en) * 2007-11-14 2009-05-14 Mark Ewing Tuttle Imager device, camera, and method of manufacturing a back side illuminated imager
TWI482204B (en) * 2007-11-27 2015-04-21 Sophia School Corp Group-iii nitride structure, method for manufacturing same
US7863169B2 (en) * 2007-11-30 2011-01-04 International Business Machines Corporation Lithography for printing constant line width features
JP5464843B2 (en) * 2007-12-03 2014-04-09 株式会社半導体エネルギー研究所 Method for manufacturing SOI substrate
US7781308B2 (en) * 2007-12-03 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
EP2232528A4 (en) * 2007-12-14 2015-06-17 Oned Material Llc Methods for formation of substrate elements
FR2925221B1 (en) 2007-12-17 2010-02-19 Commissariat Energie Atomique METHOD FOR TRANSFERRING A THIN LAYER
FR2925748B1 (en) * 2007-12-21 2010-01-29 Commissariat Energie Atomique DATA STORAGE MEDIUM AND ASSOCIATED METHOD
JP5459900B2 (en) * 2007-12-25 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
CN101884096B (en) * 2007-12-28 2012-06-20 夏普株式会社 Semiconductor device and method for manufacturing the same
US7927092B2 (en) * 2007-12-31 2011-04-19 Corning Incorporated Apparatus for forming a slurry polishing pad
EP2077576A1 (en) 2008-01-04 2009-07-08 S.O.I.Tec Silicon on Insulator Technologies Process for preparing cleaned substrates suitable for epitaxial growth
US20090181492A1 (en) * 2008-01-11 2009-07-16 Peter Nunan Nano-cleave a thin-film of silicon for solar cell fabrication
WO2009092926A1 (en) * 2008-01-21 2009-07-30 Michel Roche Method and related equipment for making thin poly- or mono-crystalline semiconductor substrates
US20090194152A1 (en) * 2008-02-04 2009-08-06 National Taiwan University Thin-film solar cell having hetero-junction of semiconductor and method for fabricating the same
EP2088633A3 (en) * 2008-02-05 2011-03-23 Twin Creeks Technologies, Inc. Method to form a photovoltaic cell comprising a thin lamina
US8481845B2 (en) * 2008-02-05 2013-07-09 Gtat Corporation Method to form a photovoltaic cell comprising a thin lamina
US8129613B2 (en) * 2008-02-05 2012-03-06 Twin Creeks Technologies, Inc. Photovoltaic cell comprising a thin lamina having low base resistivity and method of making
US8563352B2 (en) * 2008-02-05 2013-10-22 Gtat Corporation Creation and translation of low-relief texture for a photovoltaic cell
CN101504930B (en) * 2008-02-06 2013-10-16 株式会社半导体能源研究所 Manufacturing method of SOI substrate
US7820527B2 (en) * 2008-02-20 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Cleave initiation using varying ion implant dose
US20090212397A1 (en) * 2008-02-22 2009-08-27 Mark Ewing Tuttle Ultrathin integrated circuit and method of manufacturing an ultrathin integrated circuit
DE102008019268A1 (en) * 2008-02-29 2009-09-03 Osram Opto Semiconductors Gmbh Optoelectronic component and method for producing an optoelectronic component
JP2011517061A (en) * 2008-03-13 2011-05-26 エス.オー.アイ.テック シリコン オン インシュレータ テクノロジーズ Substrate having a charged region in an insulating buried layer
US8003483B2 (en) * 2008-03-18 2011-08-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
FR2929446B1 (en) * 2008-03-28 2011-08-05 Soitec Silicon On Insulator IMPLANTATION AT CONTROLLED TEMPERATURE
TWI492275B (en) 2008-04-10 2015-07-11 Shinetsu Chemical Co The method of manufacturing the bonded substrate
FR2930072B1 (en) * 2008-04-15 2010-08-20 Commissariat Energie Atomique METHOD OF TRANSFERRING A THIN LAYER BY PROTONIC EXCHANGE
US7939389B2 (en) * 2008-04-18 2011-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP5496540B2 (en) * 2008-04-24 2014-05-21 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor substrate
US8278802B1 (en) 2008-04-24 2012-10-02 Rf Micro Devices, Inc. Planarized sacrificial layer for MEMS fabrication
US7947523B2 (en) * 2008-04-25 2011-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing photoelectric conversion device
US7687786B2 (en) * 2008-05-16 2010-03-30 Twin Creeks Technologies, Inc. Ion implanter for noncircular wafers
FR2931585B1 (en) 2008-05-26 2010-09-03 Commissariat Energie Atomique NITROGEN PLASMA SURFACE TREATMENT IN A DIRECT COLLECTION PROCESS
US8049104B2 (en) * 2009-09-30 2011-11-01 Twin Creek Technologies, Inc. Intermetal stack for use in a photovoltaic cell
US8501522B2 (en) 2008-05-30 2013-08-06 Gtat Corporation Intermetal stack for use in a photovoltaic cell
JP2009295695A (en) * 2008-06-03 2009-12-17 Sumco Corp Semiconductor thin film-attached substrate, and method for manufacturing thereof
US7956415B2 (en) 2008-06-05 2011-06-07 International Business Machines Corporation SOI transistor having a carrier recombination structure in a body
US7951656B2 (en) * 2008-06-06 2011-05-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
WO2009152648A1 (en) * 2008-06-20 2009-12-23 Lee Tienhsi Method for the production of thin film
US8207590B2 (en) * 2008-07-03 2012-06-26 Samsung Electronics Co., Ltd. Image sensor, substrate for the same, image sensing device including the image sensor, and associated methods
JP5700617B2 (en) * 2008-07-08 2015-04-15 株式会社半導体エネルギー研究所 Method for manufacturing SOI substrate
KR100882991B1 (en) * 2008-08-06 2009-02-12 주식회사 동부하이텍 Method for manufacturing back side illumination image sensor
US20100031995A1 (en) * 2008-08-10 2010-02-11 Twin Creeks Technologies, Inc. Photovoltaic module comprising thin laminae configured to mitigate efficiency loss due to shunt formation
US20100032010A1 (en) * 2008-08-10 2010-02-11 Twin Creeks Technologies, Inc. Method to mitigate shunt formation in a photovoltaic cell comprising a thin lamina
US8338209B2 (en) * 2008-08-10 2012-12-25 Twin Creeks Technologies, Inc. Photovoltaic cell comprising a thin lamina having a rear junction and method of making
US7902091B2 (en) * 2008-08-13 2011-03-08 Varian Semiconductor Equipment Associates, Inc. Cleaving of substrates
US20100044670A1 (en) * 2008-08-19 2010-02-25 Peiching Ling Semiconductor device structures having single-crystalline switching device on conducting lines and methods thereof
EP2157602A1 (en) 2008-08-20 2010-02-24 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. A method of manufacturing a plurality of fabrication wafers
US20100044827A1 (en) * 2008-08-22 2010-02-25 Kinik Company Method for making a substrate structure comprising a film and substrate structure made by same method
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
JP4666189B2 (en) 2008-08-28 2011-04-06 信越半導体株式会社 Manufacturing method of SOI wafer
WO2010025218A2 (en) * 2008-08-28 2010-03-04 The Regents Of The University Of California Composite semiconductor substrates for thin-film device layer transfer
JP5580010B2 (en) * 2008-09-05 2014-08-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US8039877B2 (en) * 2008-09-09 2011-10-18 Fairchild Semiconductor Corporation (110)-oriented p-channel trench MOSFET having high-K gate dielectric
SG160295A1 (en) * 2008-09-29 2010-04-29 Semiconductor Energy Lab Method for manufacturing semiconductor device
US8871610B2 (en) * 2008-10-02 2014-10-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
SG160310A1 (en) * 2008-10-02 2010-04-29 Semiconductor Energy Lab Manufacturing method of semiconductor substrate and semiconductor device
SG160300A1 (en) * 2008-10-03 2010-04-29 Semiconductor Energy Lab Method for manufacturing soi substrate
JP5618521B2 (en) * 2008-11-28 2014-11-05 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP5493343B2 (en) 2008-12-04 2014-05-14 信越半導体株式会社 Manufacturing method of bonded wafer
US20100176495A1 (en) 2009-01-12 2010-07-15 International Business Machines Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers
US20100176482A1 (en) 2009-01-12 2010-07-15 International Business Machine Corporation Low cost fabrication of double box back gate silicon-on-insulator wafers with subsequent self aligned shallow trench isolation
US7927975B2 (en) 2009-02-04 2011-04-19 Micron Technology, Inc. Semiconductor material manufacture
FR2942073B1 (en) * 2009-02-10 2011-04-29 Soitec Silicon On Insulator METHOD FOR MAKING A LAYER OF CAVITIES
JP5617835B2 (en) 2009-02-24 2014-11-05 日本電気株式会社 Semiconductor device and manufacturing method thereof
US20100216295A1 (en) * 2009-02-24 2010-08-26 Alex Usenko Semiconductor on insulator made using improved defect healing process
US20100224238A1 (en) * 2009-03-06 2010-09-09 Twin Creeks Technologies, Inc. Photovoltaic cell comprising an mis-type tunnel diode
US20100229928A1 (en) * 2009-03-12 2010-09-16 Twin Creeks Technologies, Inc. Back-contact photovoltaic cell comprising a thin lamina having a superstrate receiver element
US8921686B2 (en) 2009-03-12 2014-12-30 Gtat Corporation Back-contact photovoltaic cell comprising a thin lamina having a superstrate receiver element
JP5356872B2 (en) 2009-03-18 2013-12-04 パナソニック株式会社 Manufacturing method of individual imaging device
US8048773B2 (en) * 2009-03-24 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US8227763B2 (en) * 2009-03-25 2012-07-24 Twin Creeks Technologies, Inc. Isolation circuit for transmitting AC power to a high-voltage region
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
SG183670A1 (en) * 2009-04-22 2012-09-27 Semiconductor Energy Lab Method of manufacturing soi substrate
JP5030992B2 (en) 2009-04-30 2012-09-19 信越化学工業株式会社 Method for manufacturing SOI substrate having back surface treated by sandblasting
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US8043938B2 (en) 2009-05-14 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and SOI substrate
DE102009030298B4 (en) * 2009-06-24 2012-07-12 Siltronic Ag Process for local polishing of a semiconductor wafer
JP2011029609A (en) * 2009-06-26 2011-02-10 Semiconductor Energy Lab Co Ltd Method for manufacturing soi substrate, and soi substrate
US7989784B2 (en) * 2009-06-30 2011-08-02 Twin Creeks Technologies, Inc. Ion implantation apparatus and a method
US7939812B2 (en) * 2009-06-30 2011-05-10 Twin Creeks Technologies, Inc. Ion source assembly for ion implantation apparatus and a method of generating ions therein
JP4481354B2 (en) * 2009-07-23 2010-06-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
WO2011011764A2 (en) * 2009-07-23 2011-01-27 Gigasi Solar, Inc. Systems, methods and materials involving crystallization of substrates using a seed layer, as well as products produced by such processes
EP2599110A4 (en) 2009-07-28 2014-04-23 Gigasi Solar Inc Systems, methods and materials including crystallization of substrates via sub-melt laser anneal, as well as products produced by such processes
US8148237B2 (en) 2009-08-07 2012-04-03 Varian Semiconductor Equipment Associates, Inc. Pressurized treatment of substrates to enhance cleaving process
US8629436B2 (en) * 2009-08-14 2014-01-14 Gigasi Solar, Inc. Backside only contact thin-film solar cells and devices, systems and methods of fabricating same, and products produced by processes thereof
GB0914251D0 (en) 2009-08-14 2009-09-30 Nat Univ Ireland Cork A hybrid substrate
JP4481358B2 (en) * 2009-08-20 2010-06-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US8318588B2 (en) 2009-08-25 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate
FR2949606B1 (en) * 2009-08-26 2011-10-28 Commissariat Energie Atomique METHOD FOR FRACTURE DETACHMENT OF A THIN SILICON FILM USING A TRIPLE IMPLANTATION
WO2011025939A1 (en) * 2009-08-28 2011-03-03 Analog Devices, Inc. Dual single-crystal backplate microphone system and method of fabricating same
US20110073967A1 (en) * 2009-08-28 2011-03-31 Analog Devices, Inc. Apparatus and method of forming a mems acoustic transducer with layer transfer processes
JP5846727B2 (en) * 2009-09-04 2016-01-20 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor substrate
US8021960B2 (en) * 2009-10-06 2011-09-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
SG178179A1 (en) 2009-10-09 2012-03-29 Semiconductor Energy Lab Reprocessing method of semiconductor substrate, manufacturing method of reprocessed semiconductor substrate, and manufacturing method of soi substrate
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8241931B1 (en) 2009-10-19 2012-08-14 Analog Devices, Inc. Method of forming MEMS device with weakened substrate
JP5565768B2 (en) 2009-10-23 2014-08-06 独立行政法人日本原子力研究開発機構 Substrate processing method and semiconductor device manufacturing method
US8587063B2 (en) * 2009-11-06 2013-11-19 International Business Machines Corporation Hybrid double box back gate silicon-on-insulator wafers with enhanced mobility channels
US8089050B2 (en) * 2009-11-19 2012-01-03 Twin Creeks Technologies, Inc. Method and apparatus for modifying a ribbon-shaped ion beam
JP5866088B2 (en) * 2009-11-24 2016-02-17 株式会社半導体エネルギー研究所 Method for manufacturing SOI substrate
US20110165721A1 (en) * 2009-11-25 2011-07-07 Venkatraman Prabhakar Systems, methods and products including features of laser irradiation and/or cleaving of silicon with other substrates or layers
US8148266B2 (en) 2009-11-30 2012-04-03 Corning Incorporated Method and apparatus for conformable polishing
US8524035B2 (en) 2009-11-30 2013-09-03 Corning Incorporated Method and apparatus for conformable polishing
FR2953328B1 (en) 2009-12-01 2012-03-30 S O I Tec Silicon On Insulator Tech HETEROSTRUCTURE FOR ELECTRONIC POWER COMPONENTS, OPTOELECTRONIC OR PHOTOVOLTAIC COMPONENTS
FR2953640B1 (en) 2009-12-04 2012-02-10 S O I Tec Silicon On Insulator Tech METHOD FOR MANUFACTURING A SEMICONDUCTOR TYPE STRUCTURE ON INSULATION, WITH REDUCED ELECTRICAL LOSSES AND CORRESPONDING STRUCTURE
JP5547212B2 (en) 2009-12-11 2014-07-09 シャープ株式会社 Manufacturing method of semiconductor device
FR2954582B1 (en) 2009-12-23 2017-11-03 Commissariat A L'energie Atomique ELECTROMECHANICAL DEVICE BASED ON ELECTRET, AND METHOD FOR MANUFACTURING THE SAME
US8203153B2 (en) 2010-01-15 2012-06-19 Koninklijke Philips Electronics N.V. III-V light emitting device including a light extracting structure
US8105852B2 (en) * 2010-01-15 2012-01-31 Koninklijke Philips Electronics N.V. Method of forming a composite substrate and growing a III-V light emitting device over the composite substrate
US8367517B2 (en) 2010-01-26 2013-02-05 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US8476147B2 (en) * 2010-02-03 2013-07-02 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and manufacturing method thereof
US8748288B2 (en) * 2010-02-05 2014-06-10 International Business Machines Corporation Bonded structure with enhanced adhesion strength
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20110207306A1 (en) * 2010-02-22 2011-08-25 Sarko Cherekdjian Semiconductor structure made using improved ion implantation process
JP5387450B2 (en) 2010-03-04 2014-01-15 信越半導体株式会社 Design method and manufacturing method of SOI wafer
JP5387451B2 (en) 2010-03-04 2014-01-15 信越半導体株式会社 Design method and manufacturing method of SOI wafer
US8349626B2 (en) * 2010-03-23 2013-01-08 Gtat Corporation Creation of low-relief texture for a photovoltaic cell
US8377799B2 (en) 2010-03-31 2013-02-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing SOI substrate
EP2654075B1 (en) * 2010-03-31 2016-09-28 EV Group E. Thallner GmbH Method for permanently connecting two metal surfaces
US8154052B2 (en) 2010-05-06 2012-04-10 Koninklijke Philips Electronics N.V. Light emitting device grown on wavelength converting substrate
US8536022B2 (en) 2010-05-19 2013-09-17 Koninklijke Philips N.V. Method of growing composite substrate using a relaxed strained layer
US8692261B2 (en) 2010-05-19 2014-04-08 Koninklijke Philips N.V. Light emitting device grown on a relaxed layer
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
FR2961515B1 (en) 2010-06-22 2012-08-24 Commissariat Energie Atomique METHOD FOR PRODUCING A MONOCRYSTALLINE SILICON THIN LAYER ON A POLYMER LAYER
FR2961948B1 (en) * 2010-06-23 2012-08-03 Soitec Silicon On Insulator PROCESS FOR TREATING A COMPOUND MATERIAL PART
US8557679B2 (en) 2010-06-30 2013-10-15 Corning Incorporated Oxygen plasma conversion process for preparing a surface for bonding
US8357974B2 (en) 2010-06-30 2013-01-22 Corning Incorporated Semiconductor on glass substrate with stiffening layer and process of making the same
US20130089968A1 (en) 2010-06-30 2013-04-11 Alex Usenko Method for finishing silicon on insulator substrates
FR2962598B1 (en) 2010-07-06 2012-08-17 Commissariat Energie Atomique METHOD FOR IMPLANTING PIEZOELECTRIC MATERIAL
FR2963162B1 (en) * 2010-07-26 2012-11-16 Soitec Silicon On Insulator TEMPORARY SEMICONDUCTOR STRUCTURE BONDING METHODS AND CORRESPONDING BONDED SEMICONDUCTOR STRUCTURES
JP4948629B2 (en) * 2010-07-20 2012-06-06 ウシオ電機株式会社 Laser lift-off method
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
WO2012015550A2 (en) 2010-07-30 2012-02-02 Monolithic 3D, Inc. Semiconductor device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US8487280B2 (en) 2010-10-21 2013-07-16 Varian Semiconductor Equipment Associates, Inc. Modulating implantation for improved workpiece splitting
WO2012058687A2 (en) 2010-10-29 2012-05-03 Ardica Technologies Pump assembly for a fuel cell system
US8981519B2 (en) 2010-11-05 2015-03-17 Sharp Kabushiki Kaisha Semiconductor substrate, method of manufacturing semiconductor substrate, thin film transistor, semiconductor circuit, liquid crystal display apparatus, electroluminescence apparatus, wireless communication apparatus, and light emitting apparatus
TWI500118B (en) 2010-11-12 2015-09-11 Semiconductor Energy Lab Method for manufacturing semiconductor substrate
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
FR2967813B1 (en) 2010-11-18 2013-10-04 Soitec Silicon On Insulator METHOD FOR PRODUCING A BENTALLIC METAL LAYER STRUCTURE
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US8008175B1 (en) 2010-11-19 2011-08-30 Coring Incorporated Semiconductor structure made using improved simultaneous multiple ion implantation process
US8196546B1 (en) 2010-11-19 2012-06-12 Corning Incorporated Semiconductor structure made using improved multiple ion implantation process
US8558195B2 (en) 2010-11-19 2013-10-15 Corning Incorporated Semiconductor structure made using improved pseudo-simultaneous multiple ion implantation process
FR2968121B1 (en) 2010-11-30 2012-12-21 Soitec Silicon On Insulator METHOD FOR TRANSFERRING A HIGH TEMPERATURE LAYER
FR2969664B1 (en) * 2010-12-22 2013-06-14 Soitec Silicon On Insulator METHOD FOR CLEAVING A SUBSTRATE
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
TWI445061B (en) * 2011-01-24 2014-07-11 Hon Hai Prec Ind Co Ltd Method for making gallium nitride substrate
DE102011010751A1 (en) 2011-02-09 2012-08-09 Osram Opto Semiconductors Gmbh Performing epitaxy process, comprises arranging substrate exhibiting semiconductor surfaces on carrier, heating semiconductor surfaces to temperature provided for epitaxy and epitaxially growing semiconductor material
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
JP5802436B2 (en) 2011-05-30 2015-10-28 信越半導体株式会社 Manufacturing method of bonded wafer
US9123529B2 (en) 2011-06-21 2015-09-01 Semiconductor Energy Laboratory Co., Ltd. Method for reprocessing semiconductor substrate, method for manufacturing reprocessed semiconductor substrate, and method for manufacturing SOI substrate
ITVI20110169A1 (en) 2011-06-27 2012-12-28 St Microelectronics Srl FLEXIBLE ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
DE102011113775B9 (en) 2011-09-19 2021-10-21 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Process for the production of an optoelectronic component
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
JP5704039B2 (en) * 2011-10-06 2015-04-22 信越半導体株式会社 Manufacturing method of bonded SOI wafer
JP5799740B2 (en) 2011-10-17 2015-10-28 信越半導体株式会社 Recycled wafer reclaim processing method
JP5926527B2 (en) * 2011-10-17 2016-05-25 信越化学工業株式会社 Manufacturing method of transparent SOI wafer
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP2015502655A (en) 2011-11-04 2015-01-22 ザ シラナ グループ プロプライエタリー リミテッドThe Silanna Group Pty Ltd Silicon-on-insulator material and method of manufacturing the same
US10002968B2 (en) 2011-12-14 2018-06-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and display device including the same
JP5927894B2 (en) 2011-12-15 2016-06-01 信越半導体株式会社 Manufacturing method of SOI wafer
US8822309B2 (en) 2011-12-23 2014-09-02 Athenaeum, Llc Heterogeneous integration process incorporating layer transfer in epitaxy level packaging
JP2013143407A (en) 2012-01-06 2013-07-22 Shin Etsu Handotai Co Ltd Method of manufacturing laminated soi wafer
EP2618385A1 (en) 2012-01-20 2013-07-24 AZUR SPACE Solar Power GmbH Semi-finished product of a multiple solar cell and method for manufacturing a multiple solar cell
JP5673572B2 (en) 2012-01-24 2015-02-18 信越半導体株式会社 Manufacturing method of bonded SOI wafer
US8871608B2 (en) 2012-02-08 2014-10-28 Gtat Corporation Method for fabricating backside-illuminated sensors
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US8933715B2 (en) 2012-04-08 2015-01-13 Elm Technology Corporation Configurable vertical integration
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US9257339B2 (en) 2012-05-04 2016-02-09 Silicon Genesis Corporation Techniques for forming optoelectronic devices
JP2013247362A (en) * 2012-05-29 2013-12-09 Samsung Corning Precision Materials Co Ltd Method for manufacturing thin film bonded substrate for semiconductor element
FR2991499A1 (en) 2012-05-31 2013-12-06 Commissariat Energie Atomique METHOD AND SYSTEM FOR OBTAINING A SEMICONDUCTOR WAFER
FR2991498A1 (en) 2012-05-31 2013-12-06 Commissariat Energie Atomique METHOD AND SYSTEM FOR OBTAINING A SEMICONDUCTOR WAFER
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US9129919B2 (en) 2012-11-19 2015-09-08 Sunedison Semiconductor Limited Production of high precipitate density wafers by activation of inactive oxygen precipitate nuclei
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US9224474B2 (en) 2013-01-09 2015-12-29 Macronix International Co., Ltd. P-channel 3D memory array and methods to program and erase the same at bit level and block level utilizing band-to-band and fowler-nordheim tunneling principals
CN105051919A (en) 2013-01-16 2015-11-11 Qmat股份有限公司 Techniques for forming optoelectronic devices
US9171636B2 (en) 2013-01-29 2015-10-27 Macronix International Co. Ltd. Hot carrier generation and programming in NAND flash
JP6056516B2 (en) 2013-02-01 2017-01-11 信越半導体株式会社 Manufacturing method of SOI wafer and SOI wafer
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
JP6086031B2 (en) 2013-05-29 2017-03-01 信越半導体株式会社 Manufacturing method of bonded wafer
JP5888286B2 (en) 2013-06-26 2016-03-16 信越半導体株式会社 Manufacturing method of bonded wafer
JP6061251B2 (en) * 2013-07-05 2017-01-18 株式会社豊田自動織機 Manufacturing method of semiconductor substrate
US9876081B2 (en) 2013-07-16 2018-01-23 The United States Of America, As Represented By The Secretary Of The Navy Lift-off of epitaxial layers from silicon carbide or compound semiconductor substrates
US9859112B2 (en) 2013-07-18 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd Bonded semiconductor structures
JP2015032690A (en) 2013-08-02 2015-02-16 株式会社ディスコ Processing method of laminated wafer
US9064789B2 (en) * 2013-08-12 2015-06-23 International Business Machines Corporation Bonded epitaxial oxide structures for compound semiconductor on silicon substrates
JP6213046B2 (en) * 2013-08-21 2017-10-18 信越半導体株式会社 Manufacturing method of bonded wafer
JP6136786B2 (en) 2013-09-05 2017-05-31 信越半導体株式会社 Manufacturing method of bonded wafer
DE102013016669A1 (en) * 2013-10-08 2015-04-09 Siltectra Gmbh Combined manufacturing process for separating a plurality of thin solid layers from a thick solid
DE102013016682A1 (en) 2013-10-08 2015-04-09 Siltectra Gmbh Generation of a crack trigger or a crack guide for improved cleavage of a solid layer of a solid
DE102013016665A1 (en) 2013-10-08 2015-04-09 Siltectra Gmbh Combined wafer fabrication process with ion implantation and temperature-induced stresses
US9154138B2 (en) 2013-10-11 2015-10-06 Palo Alto Research Center Incorporated Stressed substrates for transient electronic systems
JP6200273B2 (en) 2013-10-17 2017-09-20 信越半導体株式会社 Manufacturing method of bonded wafer
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
CN104752311B (en) * 2013-12-27 2018-02-06 中芯国际集成电路制造(上海)有限公司 A kind of silicon-on-insulator substrate and its manufacture method
JP6090184B2 (en) 2014-01-27 2017-03-08 信越半導体株式会社 Semiconductor wafer cleaning tank and bonded wafer manufacturing method
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
WO2015119742A1 (en) * 2014-02-07 2015-08-13 Sunedison Semiconductor Limited Methods for preparing layered semiconductor structures
JP6107709B2 (en) 2014-03-10 2017-04-05 信越半導体株式会社 Manufacturing method of bonded SOI wafer
JP6036732B2 (en) 2014-03-18 2016-11-30 信越半導体株式会社 Manufacturing method of bonded wafer
WO2015157507A1 (en) * 2014-04-09 2015-10-15 Tokyo Electron Limited Method for correcting wafer bow from overlay
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
JP6094541B2 (en) 2014-07-28 2017-03-15 信越半導体株式会社 Germanium wafer polishing method
CN106716640B (en) * 2014-09-22 2019-06-11 德尔塔蒂研究财团 The outer heat flux thermoelectric generator of the integrated plane of silicon
JP6210043B2 (en) 2014-09-26 2017-10-11 信越半導体株式会社 Manufacturing method of bonded wafer
CN107078202B (en) 2014-10-09 2019-07-19 德尔塔蒂研究财团 A kind of integrated thermal electric generator
JP6650463B2 (en) 2014-11-18 2020-02-19 グローバルウェーハズ カンパニー リミテッドGlobalWafers Co.,Ltd. Method of manufacturing high resistivity semiconductor-on-insulator wafer with charge trapping layer
KR20230145246A (en) 2014-11-27 2023-10-17 실텍트라 게엠베하 Splitting of a solid using conversion of material
FR3029538B1 (en) 2014-12-04 2019-04-26 Soitec LAYER TRANSFER METHOD
DE102014118017A1 (en) 2014-12-05 2016-06-09 Ev Group E. Thallner Gmbh Substrate stack holder, container and method for separating a substrate stack
US9656859B2 (en) 2015-04-16 2017-05-23 The United States Of America, As Represented By The Secretary Of The Navy Method for fabricating suspended MEMS structures
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US9780044B2 (en) 2015-04-23 2017-10-03 Palo Alto Research Center Incorporated Transient electronic device with ion-exchanged glass treated interposer
FR3036845B1 (en) 2015-05-28 2017-05-26 Soitec Silicon On Insulator METHOD FOR TRANSFERRING A LAYER OF A MONOCRYSTALLINE SUBSTRATE
JP6396854B2 (en) 2015-06-02 2018-09-26 信越化学工業株式会社 Method for manufacturing composite wafer having oxide single crystal thin film
JP6396852B2 (en) 2015-06-02 2018-09-26 信越化学工業株式会社 Method for manufacturing composite wafer having oxide single crystal thin film
JP6454606B2 (en) 2015-06-02 2019-01-16 信越化学工業株式会社 Method for manufacturing composite wafer having oxide single crystal thin film
JP6396853B2 (en) 2015-06-02 2018-09-26 信越化学工業株式会社 Method for manufacturing composite wafer having oxide single crystal thin film
JP6380245B2 (en) 2015-06-15 2018-08-29 信越半導体株式会社 Manufacturing method of SOI wafer
US9577047B2 (en) 2015-07-10 2017-02-21 Palo Alto Research Center Incorporated Integration of semiconductor epilayers on non-native substrates
FR3041364B1 (en) 2015-09-18 2017-10-06 Soitec Silicon On Insulator PROCESS FOR TRANSFERRING SINGLE CRYSTAL PAVES
CN106548972B (en) * 2015-09-18 2019-02-26 胡兵 A method of bulk semiconductor substrate is separated with functional layer thereon
CN108401468A (en) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3D semiconductor devices and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US9666615B2 (en) 2015-10-20 2017-05-30 International Business Machines Corporation Semiconductor on insulator substrate with back bias
DE102015117821B4 (en) 2015-10-20 2021-09-09 Infineon Technologies Ag Method of forming a semiconductor device
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US9795964B2 (en) 2015-11-20 2017-10-24 International Business Machines Corporation Direct bond transfer layers for manufacturable sealing of microfluidic chips
WO2017112663A1 (en) 2015-12-21 2017-06-29 University Of Central Florida Research Foundation, Inc. Optical waveguide, fabrication methods, and applications
DE102016200494A1 (en) 2016-01-15 2017-07-20 Robert Bosch Gmbh Method for producing a multilayer MEMS device and corresponding multilayer MEMS device
JP6513041B2 (en) 2016-02-19 2019-05-15 信越半導体株式会社 Heat treatment method of semiconductor wafer
CN107154378B (en) * 2016-03-03 2020-11-20 上海新昇半导体科技有限公司 Silicon substrate with top layer on insulating layer and manufacturing method thereof
CN107154379B (en) 2016-03-03 2020-01-24 上海新昇半导体科技有限公司 Silicon substrate with top layer on insulating layer and manufacturing method thereof
CN107154347B (en) 2016-03-03 2020-11-20 上海新昇半导体科技有限公司 Silicon substrate with top layer on insulating layer and manufacturing method thereof
US10012250B2 (en) 2016-04-06 2018-07-03 Palo Alto Research Center Incorporated Stress-engineered frangible structures
US10720339B2 (en) 2016-05-10 2020-07-21 Agency For Science, Technology And Research Fan-out wafer-level packaging method and the package produced thereof
JP6500845B2 (en) * 2016-06-14 2019-04-17 信越半導体株式会社 Method of manufacturing bonded wafer
WO2017221546A1 (en) 2016-06-24 2017-12-28 富士電機株式会社 Method for manufacturing semiconductor device, and semiconductor device
US10026579B2 (en) 2016-07-26 2018-07-17 Palo Alto Research Center Incorporated Self-limiting electrical triggering for initiating fracture of frangible glass
US10224297B2 (en) 2016-07-26 2019-03-05 Palo Alto Research Center Incorporated Sensor and heater for stimulus-initiated fracture of a substrate
DE102016117921A1 (en) 2016-09-22 2018-03-22 Infineon Technologies Ag Method for splitting semiconductor devices and semiconductor device
DE102016118268A1 (en) 2016-09-27 2018-03-29 Infineon Technologies Ag Method for processing a monocrystalline substrate and micromechanical structure
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US10903173B2 (en) 2016-10-20 2021-01-26 Palo Alto Research Center Incorporated Pre-conditioned substrate
KR101866348B1 (en) * 2016-12-28 2018-06-12 한국에너지기술연구원 Method for manufacturing thin silicone wafer by hydrongen, hellium co-implantation
CN114093764A (en) 2016-12-28 2022-02-25 太阳能爱迪生半导体有限公司 Single crystal silicon wafer
US10559594B2 (en) 2017-04-11 2020-02-11 Ahmad Tarakji Approach to the manufacturing of monolithic 3-dimensional high-rise integrated-circuits with vertically-stacked double-sided fully-depleted silicon-on-insulator transistors
JP6686962B2 (en) * 2017-04-25 2020-04-22 信越半導体株式会社 Method for manufacturing bonded wafer
US10026651B1 (en) 2017-06-21 2018-07-17 Palo Alto Research Center Incorporated Singulation of ion-exchanged substrates
DE102017009136A1 (en) * 2017-09-28 2019-03-28 Hochschule Mittweida (Fh) Method of separating and detaching slices from a brittle of a brittle-hard material
WO2019087157A1 (en) 2017-11-03 2019-05-09 Ecole Polytechnique Federale De Lausanne (Epfl) Layer transfer of epitaxial layers and thin films obtained by van der waals growth initiation
US10626048B2 (en) 2017-12-18 2020-04-21 Palo Alto Research Center Incorporated Dissolvable sealant for masking glass in high temperature ion exchange baths
DE102018000748A1 (en) 2018-01-31 2019-08-01 Azur Space Solar Power Gmbh Production of a thin substrate layer
EP3759771A1 (en) 2018-03-02 2021-01-06 Cisco Technology, Inc. Quantum dot lasers integrated on silicon submount with mechanical features and through-silicon vias
US10734785B2 (en) 2018-03-02 2020-08-04 Cisco Technology, Inc. Silicon photonics co-integrated with quantum dot lasers on silicon
US10734788B2 (en) 2018-03-02 2020-08-04 Cisco Technology, Inc. Quantum dot lasers integrated on silicon submount with mechanical features and through-silicon vias
US10461495B2 (en) 2018-03-02 2019-10-29 Cisco Technology, Inc. Substrate technology for quantum dot lasers integrated on silicon
FR3079658B1 (en) * 2018-03-28 2021-12-17 Soitec Silicon On Insulator METHOD OF DETECTION OF THE FRACTURE OF A FRAGILIZED SUBSTRATE BY IMPLANTATION OF ATOMIC SPECIES
US10381362B1 (en) 2018-05-15 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device including inverted memory stack structures and methods of making the same
US10717669B2 (en) 2018-05-16 2020-07-21 Palo Alto Research Center Incorporated Apparatus and method for creating crack initiation sites in a self-fracturing frangible member
CN110797297A (en) * 2018-08-03 2020-02-14 沈阳硅基科技有限公司 Method for preparing silicon structure on insulating layer by using self-control layer separation mode
US11355358B2 (en) 2018-09-24 2022-06-07 Applied Materials, Inc. Methods of thinning silicon on epoxy mold compound for radio frequency (RF) applications
US11107645B2 (en) 2018-11-29 2021-08-31 Palo Alto Research Center Incorporated Functionality change based on stress-engineered components
US10947150B2 (en) 2018-12-03 2021-03-16 Palo Alto Research Center Incorporated Decoy security based on stress-engineered substrates
CN109768050B (en) * 2018-12-18 2020-11-17 长江存储科技有限责任公司 Three-dimensional memory and preparation method thereof
US11414782B2 (en) 2019-01-13 2022-08-16 Bing Hu Method of separating a film from a main body of a crystalline object
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10969205B2 (en) 2019-05-03 2021-04-06 Palo Alto Research Center Incorporated Electrically-activated pressure vessels for fracturing frangible structures
US11527376B2 (en) 2019-07-25 2022-12-13 Kionix, Inc. Micro-electromechanical system devices and methods
FR3100081B1 (en) 2019-08-21 2021-09-10 Commissariat Energie Atomique Process for sealing cavities with membranes
DE102019122614A1 (en) * 2019-08-22 2021-02-25 Infineon Technologies Ag STARTING SUBSTRATE, WAFER COMPOSITE AND METHOD FOR MANUFACTURING CRYSTALLINE SUBSTRATES AND SEMICONDUCTOR DEVICES
CN110634861B (en) * 2019-09-11 2021-10-29 西安电子科技大学 Single-chip heterogeneous integrated Cascode gallium nitride high-mobility transistor based on intelligent stripping technology and manufacturing method
US10910272B1 (en) 2019-10-22 2021-02-02 Sandisk Technologies Llc Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same
US11271079B2 (en) 2020-01-15 2022-03-08 Globalfoundries U.S. Inc. Wafer with crystalline silicon and trap rich polysilicon layer
US11296190B2 (en) 2020-01-15 2022-04-05 Globalfoundries U.S. Inc. Field effect transistors with back gate contact and buried high resistivity layer
FR3106932B1 (en) 2020-02-04 2023-10-27 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STRUCTURED SUBSTRATE
JP7262421B2 (en) 2020-05-08 2023-04-21 信越化学工業株式会社 Piezoelectric composite substrate and manufacturing method thereof
CN112582332A (en) * 2020-12-08 2021-03-30 上海新昇半导体科技有限公司 Silicon-on-insulator structure and method thereof
US11904986B2 (en) 2020-12-21 2024-02-20 Xerox Corporation Mechanical triggers and triggering methods for self-destructing frangible structures and sealed vessels
FR3121281B1 (en) * 2021-03-23 2023-11-24 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A COMPOSITE STRUCTURE COMPRISING A THIN LAYER OF MONOCRYSTAL SEMICONDUCTOR ON A SUPPORT SUBSTRATE
CN116387241A (en) * 2023-04-21 2023-07-04 中芯先锋集成电路制造(绍兴)有限公司 Method for manufacturing semiconductor-on-insulator substrate and method for manufacturing semiconductor device

Citations (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US4006340A (en) 1973-09-28 1977-02-01 Compagnie Industrielle Des Telecommunications Cit-Alcatel Device for the rapid depositing of oxides in thin layers which adhere well to plastic supports
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
US4107350A (en) 1972-08-14 1978-08-15 Berg Joseph E Method for depositing film on a substrate
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
JPS53104156A (en) * 1977-02-23 1978-09-11 Hitachi Ltd Manufacture for semiconductor device
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
US4252837A (en) 1976-03-23 1981-02-24 Warner-Lambert Company Blade shields
US4274004A (en) 1979-02-02 1981-06-16 Hitachi, Ltd. Ion implanter
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4346123A (en) 1979-08-02 1982-08-24 Balzers Aktiengesellschaft Method of depositing hard wear-resistant coatings on substrates
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4368083A (en) * 1980-02-01 1983-01-11 Commissariat A L'energie Atomique Process for doping semiconductors
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
JPS5954217A (en) * 1982-09-21 1984-03-29 Nec Corp Manufacture of semiconductor substrate
US4452644A (en) 1980-02-01 1984-06-05 Commissariat A L'energie Atomique Process for doping semiconductors
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
US4490190A (en) 1981-03-13 1984-12-25 Societe Anonyme Dite: Vide Et Traitement Process for thermochemical treatments of metals by ionic bombardment
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4508056A (en) 1982-06-24 1985-04-02 Commissariat A L'energie Atomique Target holder with mechanical scanning
US4536657A (en) 1982-12-08 1985-08-20 Commissariat A L'energie Atomique Process and apparatus for obtaining beams of particles with a spatially modulated density
US4539050A (en) 1982-12-15 1985-09-03 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe M.B.H. Process for the manufacture of semiconductor wafers with a rear side having a gettering action
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
US4568563A (en) 1983-08-02 1986-02-04 Standard Telephones And Cables Optical fibre manufacture
US4585945A (en) 1982-12-10 1986-04-29 Commissariat A L'energie Atomique Process and apparatus for implanting particles in a solid
US4630093A (en) * 1983-11-24 1986-12-16 Sumitomo Electric Industries, Ltd. Wafer of semiconductors
US4684535A (en) 1984-03-03 1987-08-04 Standard Telephones & Cables Surface treatment of plastics material
US4704302A (en) 1984-04-19 1987-11-03 Commissariat A L'energie Atomique Process for producing an insulating layer buried in a semiconductor substrate by ion implantation
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
GB2211991A (en) 1987-10-30 1989-07-12 Atomic Energy Authority Uk Electrical isolation of regions within semiconductor bodies
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4904610A (en) * 1988-01-27 1990-02-27 General Instrument Corporation Wafer level process for fabricating passivated semiconductor devices
EP0355913A1 (en) 1988-08-16 1990-02-28 Koninklijke Philips Electronics N.V. Method of manufacturing a device
US4929566A (en) * 1989-07-06 1990-05-29 Harris Corporation Method of making dielectrically isolated integrated circuits using oxygen implantation and expitaxial growth
US4931405A (en) * 1988-02-08 1990-06-05 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device and suppressing the generation of bulk microdefects near the substrate surface layer
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4960073A (en) 1988-09-19 1990-10-02 Anelva Corporation Microwave plasma treatment apparatus
US4975126A (en) 1987-06-15 1990-12-04 Commissariat A L'energie Atomique Process for the production of an insulating layer embedded in a semiconductor substrate by ionic implantation and semiconductor structure comprising such layer
US4982090A (en) 1988-02-05 1991-01-01 Gesellschaft Fur Strahlen- Und Umweltforschung Mbh (Gsf) Method and apparatus for the quantitative, depth differential analysis of solid samples with the use of two ion beams
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
US5034343A (en) * 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5036023A (en) * 1989-08-16 1991-07-30 At&T Bell Laboratories Rapid thermal processing method of making a semiconductor device
US5120666A (en) * 1989-05-16 1992-06-09 Fujitsu Limited Manufacturing method for semiconductor device
US5198371A (en) * 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5200805A (en) * 1987-12-28 1993-04-06 Hughes Aircraft Company Silicon carbide:metal carbide alloy semiconductor and method of making the same
US5232870A (en) * 1990-09-10 1993-08-03 Shin-Etsu Handotai Co., Ltd. Method for production of bonded wafer
US5256581A (en) * 1991-08-28 1993-10-26 Motorola, Inc. Silicon film with improved thickness control
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films

Patent Citations (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3915757A (en) 1972-08-09 1975-10-28 Niels N Engel Ion plating method and product therefrom
US4107350A (en) 1972-08-14 1978-08-15 Berg Joseph E Method for depositing film on a substrate
US3993909A (en) 1973-03-16 1976-11-23 U.S. Philips Corporation Substrate holder for etching thin films
US4006340A (en) 1973-09-28 1977-02-01 Compagnie Industrielle Des Telecommunications Cit-Alcatel Device for the rapid depositing of oxides in thin layers which adhere well to plastic supports
US3901423A (en) 1973-11-26 1975-08-26 Purdue Research Foundation Method for fracturing crystalline materials
US4170662A (en) 1974-11-05 1979-10-09 Eastman Kodak Company Plasma plating
US4121334A (en) 1974-12-17 1978-10-24 P. R. Mallory & Co. Inc. Application of field-assisted bonding to the mass production of silicon type pressure transducers
US3957107A (en) 1975-02-27 1976-05-18 The United States Of America As Represented By The Secretary Of The Air Force Thermal switch
US4039416A (en) 1975-04-21 1977-08-02 White Gerald W Gasless ion plating
US4252837A (en) 1976-03-23 1981-02-24 Warner-Lambert Company Blade shields
US4074139A (en) 1976-12-27 1978-02-14 Rca Corporation Apparatus and method for maskless ion implantation
JPS53104156A (en) * 1977-02-23 1978-09-11 Hitachi Ltd Manufacture for semiconductor device
US4108751A (en) 1977-06-06 1978-08-22 King William J Ion beam implantation-sputtering
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4274004A (en) 1979-02-02 1981-06-16 Hitachi, Ltd. Ion implanter
US4346123A (en) 1979-08-02 1982-08-24 Balzers Aktiengesellschaft Method of depositing hard wear-resistant coatings on substrates
US4244348A (en) 1979-09-10 1981-01-13 Atlantic Richfield Company Process for cleaving crystalline materials
US4368083A (en) * 1980-02-01 1983-01-11 Commissariat A L'energie Atomique Process for doping semiconductors
US4452644A (en) 1980-02-01 1984-06-05 Commissariat A L'energie Atomique Process for doping semiconductors
US4342631A (en) 1980-06-16 1982-08-03 Illinois Tool Works Inc. Gasless ion plating process and apparatus
US4471003A (en) 1980-11-25 1984-09-11 Cann Gordon L Magnetoplasmadynamic apparatus and process for the separation and deposition of materials
US4490190A (en) 1981-03-13 1984-12-25 Societe Anonyme Dite: Vide Et Traitement Process for thermochemical treatments of metals by ionic bombardment
US4361600A (en) 1981-11-12 1982-11-30 General Electric Company Method of making integrated circuits
US4412868A (en) 1981-12-23 1983-11-01 General Electric Company Method of making integrated circuits utilizing ion implantation and selective epitaxial growth
US4486247A (en) 1982-06-21 1984-12-04 Westinghouse Electric Corp. Wear resistant steel articles with carbon, oxygen and nitrogen implanted in the surface thereof
US4508056A (en) 1982-06-24 1985-04-02 Commissariat A L'energie Atomique Target holder with mechanical scanning
JPS5954217A (en) * 1982-09-21 1984-03-29 Nec Corp Manufacture of semiconductor substrate
US4536657A (en) 1982-12-08 1985-08-20 Commissariat A L'energie Atomique Process and apparatus for obtaining beams of particles with a spatially modulated density
US4585945A (en) 1982-12-10 1986-04-29 Commissariat A L'energie Atomique Process and apparatus for implanting particles in a solid
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4539050A (en) 1982-12-15 1985-09-03 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe M.B.H. Process for the manufacture of semiconductor wafers with a rear side having a gettering action
US4468309A (en) 1983-04-22 1984-08-28 White Engineering Corporation Method for resisting galling
US4568563A (en) 1983-08-02 1986-02-04 Standard Telephones And Cables Optical fibre manufacture
US4567505A (en) 1983-10-27 1986-01-28 The Board Of Trustees Of The Leland Stanford Junior University Heat sink and method of attaching heat sink to a semiconductor integrated circuit and the like
US4630093A (en) * 1983-11-24 1986-12-16 Sumitomo Electric Industries, Ltd. Wafer of semiconductors
US4684535A (en) 1984-03-03 1987-08-04 Standard Telephones & Cables Surface treatment of plastics material
US4704302A (en) 1984-04-19 1987-11-03 Commissariat A L'energie Atomique Process for producing an insulating layer buried in a semiconductor substrate by ion implantation
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
US4837172A (en) 1986-07-18 1989-06-06 Matsushita Electric Industrial Co., Ltd. Method for removing impurities existing in semiconductor substrate
US4717683A (en) 1986-09-23 1988-01-05 Motorola Inc. CMOS process
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4847792A (en) 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4975126A (en) 1987-06-15 1990-12-04 Commissariat A L'energie Atomique Process for the production of an insulating layer embedded in a semiconductor substrate by ionic implantation and semiconductor structure comprising such layer
US4846928A (en) 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US4887005A (en) 1987-09-15 1989-12-12 Rough J Kirkwood H Multiple electrode plasma reactor power distribution system
US5015353A (en) 1987-09-30 1991-05-14 The United States Of America As Represented By The Secretary Of The Navy Method for producing substoichiometric silicon nitride of preselected proportions
GB2211991A (en) 1987-10-30 1989-07-12 Atomic Energy Authority Uk Electrical isolation of regions within semiconductor bodies
US5200805A (en) * 1987-12-28 1993-04-06 Hughes Aircraft Company Silicon carbide:metal carbide alloy semiconductor and method of making the same
US4904610A (en) * 1988-01-27 1990-02-27 General Instrument Corporation Wafer level process for fabricating passivated semiconductor devices
US4982090A (en) 1988-02-05 1991-01-01 Gesellschaft Fur Strahlen- Und Umweltforschung Mbh (Gsf) Method and apparatus for the quantitative, depth differential analysis of solid samples with the use of two ion beams
US4931405A (en) * 1988-02-08 1990-06-05 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device and suppressing the generation of bulk microdefects near the substrate surface layer
US4894709A (en) 1988-03-09 1990-01-16 Massachusetts Institute Of Technology Forced-convection, liquid-cooled, microchannel heat sinks
US4853250A (en) 1988-05-11 1989-08-01 Universite De Sherbrooke Process of depositing particulate material on a substrate
EP0355913A1 (en) 1988-08-16 1990-02-28 Koninklijke Philips Electronics N.V. Method of manufacturing a device
US4960073A (en) 1988-09-19 1990-10-02 Anelva Corporation Microwave plasma treatment apparatus
US4952273A (en) 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US5120666A (en) * 1989-05-16 1992-06-09 Fujitsu Limited Manufacturing method for semiconductor device
US4929566A (en) * 1989-07-06 1990-05-29 Harris Corporation Method of making dielectrically isolated integrated circuits using oxygen implantation and expitaxial growth
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5036023A (en) * 1989-08-16 1991-07-30 At&T Bell Laboratories Rapid thermal processing method of making a semiconductor device
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5034343A (en) * 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
US5232870A (en) * 1990-09-10 1993-08-03 Shin-Etsu Handotai Co., Ltd. Method for production of bonded wafer
US5198371A (en) * 1990-09-24 1993-03-30 Biota Corp. Method of making silicon material with enhanced surface mobility by hydrogen ion implantation
US5256581A (en) * 1991-08-28 1993-10-26 Motorola, Inc. Silicon film with improved thickness control
US5374564A (en) * 1991-09-18 1994-12-20 Commissariat A L'energie Atomique Process for the production of thin semiconductor material films

Non-Patent Citations (101)

* Cited by examiner, † Cited by third party
Title
"Applied Physics Letter," vol. 55. No. 21, Nov. 20, 1989, pp. 2223-2224. *
"IBM Technical Disclosure Bulletin, " vol. 29, No. 3, Aug., 1986, p. 1416. *
"Isolation by Inert Ion Implantation" IBM Technical Disclosure Bulletin vol. 29, No. 3, Aug. 1986, p. 1416.
Ascheron, C., "A Comparative Study of Swelling, Radiation, Strain and Radiation Damage of High-Energy Proton-bombarded GaAs, GaP, InP, Si and Ge Single Crystals, Nuclear Instruments and Methods in Physics Research" Nuclear Instruments and Methods in Physics Research B36(1989) 163-172.
Ascheron, C., "A Study of Proton Bombardment Induced Swelling of GaP Single Crystals" phys. stat. sol. (a) 92, 169 (1985).
Ascheron, C., "Gettering of Copper in Proton-and Helium-Bombarded Buried Regions of Gallium Phosphide" phys. stat. sol. (a) 106, 73 (1988).
Ascheron, C., "Investigations of Hydrogen Implanted GaP Single Crystals by Means of Particle Induced gamma-Spectroscopy, Infrared Spectroscopy, and Turyherford Backscattering Channeling Technique" phys. stat. sol. (a) 89, 549 (1985).
Ascheron, C., "Proton Beam Modification of Selected A<SUP>III</SUP>B<SUP>V </SUP>Compounds" phys. stat. sol. (a) 124, 11 (1991).
Ascheron, C., "Swelling, Strain, and Radiation Damage of He+ Implanted GaP" phys. stat. sol. (a) 96, 555 (1986).
Ascheron, C., "The Effect of Hydrogen Implantation Induced Stress on GaP Single Crystals" Nuclear Instruments and Methods in Physics Research B28 (1987) 350-359.
Blöchl, P.E. et al., "First-Principles Calculations of Diffusion Coefficients: Hydrogen in Silicon," Physical Review Letters, vol. 64, No. 12, Mar. 19, 1990, pp. 1401-1404.
Brief for Defendant-Cross Appellant Silicon Genesis Corporation dated Mar. 22, 2003.
Brief of Plaintiffs-Appellants Soitec, S.A. and Commissariat a L'Energie Atomique dated Jan. 28, 2003.
Bruel, M., "Silicon-On-Insulator" European Semiconductor, Mar. 1997.
Canham et al., "Radiative Recombination Channels due to Hydrogren in Crystalline Silicon," Materials Science and Engineering, B4 (1989), pp. 41-45.
Carter, G. et al., The Collection of Ions Implanted in Semiconductors; II. Range Distributions Derived from Collection and Sputter-Etch Curves, Radiation Effects, 1972, vol. 16, pp. 107-114.
Cassidy, Victor M., "Ion Implantation Process Toughens Metalworking Tools," Modern Metals, pp. 65-67, 1984.
Cerofolini et al., "Hydrogen-Related Complexes as the Stressing Species in High-Fluence, Hydrogen-Implanted, Single-Crystal Silicon," Physical Review, vol. 46, No. 4, Jul. 15, 1992-II, 1992 The American Physical Society.
Chu et al, "Radiation Damage of 50-250 keV Hydrogen Ions in Silicon", Ion Implantation in Semiconductors, eds. F. Chernob et al., Plenum New York 1976, pp. 483-492.
Chu et al., Radiation Damage of 50-250 keV Hydrogen Ions in Silicon, IBM Systems Products Division, East Fishkill, Hopewell Junction, New York 12533, (undated), pp. 483-492.
Chu, P.K. et al., Plasma Immersion Ion Implantation-A Fledgling Technique for Semiconductor Processing, Materials Science and Engineering Reports: A Review Journal, pp. 207-280, vol. R17, Nos. 6-7, Nov. 30, 1996.
Combined Petition For Panel Rehearing And For Rehearing En Banc dated Dec. 10, 2003.
Cullis, A.G. , T.E. Seidel and R.L. Meek, "Comparative study of annealed neon-, argon-, and krypton-ion implantation damage in silicon," J. Appl. Phys., 49(10), pp. 5188-5198, Oct. 1978.
CV and Publication of Michael P. Marder faxed Sep. 21, 2000.
Decision from the United States Court of Appeals for the Federal Circuit dated Nov. 26, 2003.
Defendant Silicon Genesis Corporation's Opposition to Soitec's Motion for Judgment Re Indefiniteness and Cross-Motion for Judgment of Indefiniteness dated Jul. 19, 2002.
Defendant-Cross Appellant's Response To Appellants' Submission Of CFMT, Inc. v. Yieldup Int'l, [dated Nov. 24, 2003].
Denteneer, P.J.H. et al., "Structure and Properties of Hydrogen-Impurity Pairs in Elemental Semiconductors," Physical Review Letters, vol. 62, No. 16, Apr. 17, 1989, pp. 1884-1888.
Denteneer, P.J.H. et al., Hydrogen Diffusion and Passivation of Shallow Impurities in Crystalline Silicon, Materials Science Forum vols. 38-41 (1989), pp. 979-984, Trans Tech Publications, Switzerland.
EerNisse, E., "Compaction of ion-implanted fused silica" Journal of Applied Physics, vol. 45, No. 1, Jan. 1974.
EerNisse, E.P., "Role of Integrated Lateral Stress in Surface Deformation of He-implanted Surfaces" Journal of Applied Physics, vol. 48, No. 1, Jan. 1977.
Evans, J.H., "An Interbubble Fracture Mechanism Of Blister Formation On Helium-Irradiated Metals" Journal of Nuclear Materials 68(1977) 129-140.
Expert Report of Chris Van de Walle, Ph.D. dated Aug. 24, 2001.
Expert Report of Chris Van de Walle, Ph.D. dated Nov. 1, 2000.
Expert Report of Marcus Weldon, Ph.D. dated Aug. 24, 2001.
Expert Report of Marcus Weldon, Ph.D. dated Nov. 1, 2000.
Gerasimenko, N., "Infrared Absorption of Silicon Irradiated by Protons" phys. stat.sol. (b) 90, 689 (1978).
Greenwald, A.C., "Pulsed-electron-beam annealing of ion-implantation damage" J. Appl. Phys. 50(2), Feb. 1978.
Grovenor, C.R.M., Microelectronic Materials, pp. 73-75 (1989).
Haisma et al., Silicon-on-Insulator Wafer Bonding-Wafer Thinning Technological Evaluations, Japanese Journal of Applied Physics, 28(1989), Aug., No. 8, Part 1, Tokyo, Japan, pp. 1426-1443.
Hamaguchi et al., Device Layer Transfer Technique using Chemi-Mechanical Polishing, Japanese Journal of Applied Physics, 23(1984), Oct., No. 10, Part 2, Tokyo, Japan, pp. L815-L817.
Hulett, D.M. et al., "Ion Nitriding and Ion Implantation: A Comparison," Metal Progress, pp. 18-21, Aug. 1985.
Johnson, P.B., "High Fluence Deuteron Bombardment of Silicon" Radiation Effect 1977, vol. 32 pp. 159-167.
Judgment dated Oct. 21, 2002.
Judgment Mandate [dated Jan. 14, 2004].
Kamada et al., "Observation of Blistering and Amorphization on Germanium Surface After 450 keV Ar+ Ion Bombardment," Radiation Effects, 1976, vol. 28, pp. 43-48, Japan Atomic Energy Research Institute, in final form Aug. 18, 1975, Gordon and Breach Science Publishers Ltd. 1976 (printed in Great Britain).
Klem, J.F., Characteristics of Lift-Off Fabricated AlGaAs/InGaAs Single-Strained-Quantum Well Structures On Glass and Silicon Substrates, Inst. Phys. Conf. Ser. No. 96: Chapter 6, pp. 387-392 (1989).
Komarov et al. "Crystallographic Nature and Formation Mechanisms of Highly Irregulated Structure in Implanted and Annealed Si Layers," Radiation Effects, 1979, vol. 42, pp. 169-177, 1979 Gordon and Breach Science Publishers Inc. 1976 (printed in Holland).
Li, J., "Novel Semiconductor Substrate Formed by Hydrogen Ion Implantation into Silicon," Appl. Phys. Lett., vol. 55, No. 21, pp. 2223-2224, Nov. 20, 1989.
Ligeon, E., "Hydrogen Implantation in Silicon Between 1.5 and 60 KeV" Radiation Effects 1976, vol. 27, pp. 129-137.
Manuaba, A., "Comparative Study on Fe<SUB>32</SUB>Nl<SUB>36</SUB>Cr<SUB>14</SUB>P<SUB>12</SUB>B<SUB>6 </SUB>Metallic Glass and its Polycrystalline Modification bombarded by 2000 keV Helium Ions with High Fluence" Nuclear Instruments and Methods 199 (1982) 409-419.
Matsuda et al., "Large Diameter Ion Beam Implantation System," Nuclear Instruments and Methods, vol. B21, pp. 314-316, 1987.
Memorandum and Order re: Post-Trial Motions dated Aug. 23, 2002.
Memorandum and Order re: Summary Judgment [denied] dated Feb. 5, 2002.
Memorandum in Support of Defendant Silicon Genesis Corporation's Motion for Summary Judgment of Invalidity for Lack of Enablement dated Mar. 19, 2001.
Memorandum in Support of Defendant Silicon Genesis Corporation's Opposition to Soitec's Motion for Summary Judgment on SiGen's Defense of Enablement dated Apr. 20, 2001.
Memorandum of Law in Support of Plaintiff's Motion for Judgment on SiGen's Indefiniteness Defense dated Jun. 20, 2002.
Memorandum of Points and Authorities in Support of Soitec's Motion for Summary Judgment on Sigen's Enablement Invalidity Claim (Redacted) dated Mar. 27, 2001.
Mishima, Y. and T. Yagishita, T. "Investigation of the bubble formation mechanism in a-Si:H films by Fourier-transform infrared mirospectroscopy" J. Appl. Phys., vol. 64, No. 8, Oct. 15, 1988.
Miyagawa, S., "Helium remission during implantation of silicon carbide" J. Appl. Phys. 54 (5), May 1983.
Miyagawa, S., "Surface structure of silicon carbide irradiated with helium ions with monoenergy and continuous energy distributions" J. Appl. Phys. 53(12), Dec. 1982, pp. 8697-8705.
Monemar, B. (editor), "Shallow Impurities in Semiconductors 1988," Proceedings of the Third International Conference in Linköping, Sweden, Aug. 10-12, 1988, Institute of Physics Conference Series No. 95, Institute of Physics, Bristol and Philadelphia, pp. 493-499.
Moreau, Wayne M., "Semiconductor Lithography, Principles, Practices, and Materials," Plenum Press, 1988. Table of Contents only.
Moriceau, H. et al, A New Characterization Process Used to Qualify SOI Films 1991 pp. 173-178.
Myers, D. R., "The effects of ion-implantation damage on the first-order Raman spectra of GaP" J. Appl. Phys. 54(9), Sep. 19??.
Neethling, J.H. et al., Identification of Hydrogen Platelets in Proton-Bombarded GaAs, 1985, pp. 941-945.
Nichols, C.S. et al., "Properties of Hydrogen in Crystalline Silicon Under Compression and Tension," Physical Review Letters, vol. 63, No. 10, Sep. 4, 1989, pp. 1090-1093.
Ono et al., "Orientation Dependence of Flaking of Ion Irradiated Aluminum Single Crystals," Japanese Journal of Applied Physics, vol. 25, No. 10, Oct. 1986, pp. 1475-1480.
Order [dated Jan. 7, 2004 denying Appellants' petition for panel rehearing and Appellant's petition for rehearing en banc].
Paszli, F., "Flaking and Wave-Like Structure on Metallic Glasses Induced by MeV-Energy Helium Ions" Nuclear Instruments and Methods 209/210(1983) 273-280.
Picraux, S. Thomas et al., "Ion Implantation of Surfaces," Scientific American, vol. 252, No. 3, pp. 102-113 1985.
Plaintiff/Appellants Supplemental Authority Letter [dated Nov. 17, 2003].
Plaintiff's Memorandum in Support of Their Motion for Judgment As a Matter of Law and a New Trial dated May 10, 2002.
Plaintiff's Reply in Support of their Motion for Judgment on SiGen's Indefiniteness Defense dated Jul. 25, 2002.
Plaintiff's Reply Memorandum in Support of Their Motion for Judgment as a Matter of Law and a New Trial dated Jul. 18, 2002.
Primak, W., "Impurity Effect in the Ionization Dilation of Vitreous Silica" J. Appl. Phys. 39(13) 1968.
Renier, M. et al., "A New Low-Engergy Ion Implanter for Bombardment of Cylindrical Surfaces," Vacuum, vol. 35, No. 12, pp. 577-578, 1985.
Reply Brief for Defendant-Cross Appellant Silicon Genesis Corporation dated May 19, 2003.
Reply Brief of Plaintiffs-Appellants Soitec, S.A. and Commissariat a L'Energie Atomique dated May 5, 2003.
Reply Memorandum in Support of Defendant Silicon Genesis Corporation's Motion for Summary Judgment of Invalidity for Lack of Enablement dated Apr. 30, 2001.
Reports of Jean-Pierre Colinge in Response to SiGen Enablement and Indefiniteness Expert Reports of Aug. 24, 2001.
Roth, J., "Blistering and Bubble Formation" Inst. Phys. Conf. Ser. No. 28 (C) 1976: Chapter 7.
Sah, Chih-Tang et al., "Deactivation of the Boron Acceptor in Silicon by Hydrogen," Appl. Phys. Lett. 43(2), Jul. 1983, pp. 204-206.
Saint-Jacques, R.G., "La Formation des Cloques," Nuclear Instruments and Methods 209/210 (1983), pp. 333-343, North-Holland Publishing Company.
Silicon Genesis Corporation's Opposition to Plaintiff's Motion for Judgment as a Matter of Law and a New Trial dated Jun. 17, 2002.
Sioshansi, Piran, "Ion Beam Modification of Materials for Industry," Thin Solid Film, vol. 118, pp. 61-71, 1984.
Snyman, H. C., "Transmission Electron Microscopy of Extended Crystal Defects in Proton Bombarded and Annealed GaAs" Radiation Effects, 1983, vol. 69, pp. 199-230.
Snyman, H. C., "Void formation in annealed proton-bombarded GaAs."
Soitec's Memorandum of Points and Authorities in Opposition to Silicon Genesis Corporation's Motion for Summary Judgment of Invalidity for Lack of Enablement dated Apr. 11, 2001.
Stephan, D., "Investigation of Lattice Strain in Proton-Irradiated GaP by a Modified Auleytner Technique" phys. stat. sol. (a) 87, pp. 589-596 (1985).
Sze, S.M., VLSI Technology, 2.sup.nd Ed., pp. 9-10 (1988), McGraw Hill.
Tzeng, J.C., "A Novel Self-Aligned Oxygen (Salox) Implanted SOI Mosfet Device Structure" Nuclear Instruments and Methods in Physics Research B2, pp. 112-115 (1987).
U.S. Appl. No. 09/777,516, also Publication No. US2001-0007789A1 With copy of Allowed Claims, Published Jul. 12, 2001, Aspar et al.
U.S. Appl. No. 10/784,601, Including pending claims, filed Feb. 23, 2004, Aspar et al.
U.S. Dept. of Energy, "Fusion Connection: Contributions to Industry, Defense, and Basic Science Resulting From Scientific Advances Made in the Magnetic Fusion Energy Program," Plasma Coating, pp. 6-7, Oct. 1985.
Van de Walle, C.G. et al., "Theory of Hydrogen Diffusion and Reactions in Crystalline Silicon," Physical Review Letters, vol. 60, No. 26, Jun. 27, 1988, pp. 2761-2764.
Van de Walle, C.G., "Structural Identification of Hydrogen and Muonium Centers in Silicon: First-Principles Calculations of Hyperfine Parameters," Physical Review Letters, vol. 64, No. 6, Feb. 5, 1980, pp. 669-672.
Van Swijgenhoven, et al., "Helium Bubble and Blister Formation for Nickel and An Amorphous Fe-Ni-Mo-B Alloy During 5 keV He+-Irradiation at Temperatures Between 200 K and 600 K," Nuclear Instruments and Methods 209/210 (1983) pp. 461-468, North Holland Publishing Company.
Wemple, S.H., "Optical and channeling studies of ion-bombarded GaP" J. Appl. Phys., vol. 45, No. 4, Apr. 1974.
Williams et al., "Application of Ion Beans to Materials, 1975," Inst. Phys. Conf. Ser. No. 28 (C) 1976: Chapter 1, pp. 30-36.
Wittmaack et al., "High Fluence Retention of Noble Gases Implanted in Silicon," Radiation Effects, 1978, vol. 39, pp. 81-95, Gordon and B reach Science Publishers Ltd. 1978 (printed in Great Britain).

Cited By (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8324693B2 (en) 1993-10-01 2012-12-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for manufacturing the same
US8053778B2 (en) 1993-10-01 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for manufacturing the same
US7863619B2 (en) 1993-10-01 2011-01-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for manufacturing the same
US20090200611A1 (en) * 1993-10-01 2009-08-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method for manufacturing the same
US8008693B2 (en) 1996-02-23 2011-08-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and method of manufacturing the same and semiconductor device and method of manufacturing the same
US8101503B2 (en) 1996-05-15 2012-01-24 Commissariat A L'energie Atomique Method of producing a thin layer of semiconductor material
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US20090267233A1 (en) * 1996-11-04 2009-10-29 Sang-Yun Lee Bonded semiconductor structure and method of making the same
US8222696B2 (en) 1997-11-18 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having buried oxide film
US20090315111A1 (en) * 1997-11-18 2009-12-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having buried oxide film
US8482069B2 (en) 1997-11-18 2013-07-09 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
US8609514B2 (en) 1997-12-10 2013-12-17 Commissariat A L'energie Atomique Process for the transfer of a thin film comprising an inclusion creation step
US8470712B2 (en) 1997-12-30 2013-06-25 Commissariat A L'energie Atomique Process for the transfer of a thin film comprising an inclusion creation step
US20110092051A1 (en) * 1997-12-30 2011-04-21 Commissariat A L'energie Atomique Process for the transfer of a thin film comprising an inclusion creation step
US20080061301A1 (en) * 1998-06-22 2008-03-13 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US8575741B2 (en) 1998-06-22 2013-11-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US8053837B2 (en) 1998-06-22 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US20080067529A1 (en) * 1998-06-22 2008-03-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing a semiconductor device
US20080067597A1 (en) * 1998-06-22 2008-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20080286942A1 (en) * 1998-06-22 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20080286941A1 (en) * 1998-06-22 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20080286956A1 (en) * 1998-06-22 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7790570B2 (en) 1998-06-22 2010-09-07 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20080213953A1 (en) * 1998-06-22 2008-09-04 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US8187926B2 (en) 1998-06-22 2012-05-29 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20070173000A1 (en) * 1998-06-22 2007-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7816736B2 (en) 1998-06-22 2010-10-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US8314010B2 (en) 1998-06-22 2012-11-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including thermal oxidation to form an insulating film
US8241997B2 (en) 1998-06-22 2012-08-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a gate electrode formed over a silicon oxide insulating layer
US7834398B2 (en) 1998-06-22 2010-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US8288248B2 (en) 1998-06-22 2012-10-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device having island-like single crystal semiconductor layer
US20080286940A1 (en) * 1998-07-29 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Process for production of soi substrate and process for production of semiconductor device
US20100144111A1 (en) * 1998-07-29 2010-06-10 Semiconductor Energy Laboratory Co., Ltd. Process for production of soi substrate and process for production of semiconductor device
US7807500B2 (en) 1998-07-29 2010-10-05 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device including the selective forming of porous layer
US8642405B2 (en) 1998-07-29 2014-02-04 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device
US8405090B2 (en) 1998-09-04 2013-03-26 Semiconductor Energy Labortory Co., Ltd. Method of fabricating a semiconductor device
US20080067596A1 (en) * 1998-09-04 2008-03-20 Semicondoctor Energy Laboratory Co., Ltd. Method of Fabricating A Semiconductor Device
US20080113487A1 (en) * 1998-09-04 2008-05-15 Semiconductor Energy Laboratory Co., Ltd. Method of Fabricating A Semiconductor Device
US20080054269A1 (en) * 1998-09-04 2008-03-06 Semiconductor Energy Laboratory Co., Ltd Method of Fabricating A Semiconductor Device
USRE42097E1 (en) 1998-09-04 2011-02-01 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a semiconductor device
US20090236698A1 (en) * 1998-09-04 2009-09-24 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a semiconductor device
US9070604B2 (en) 1998-09-04 2015-06-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a semiconductor device
USRE42139E1 (en) 1998-09-04 2011-02-15 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a semiconductor device
USRE42241E1 (en) 1998-09-04 2011-03-22 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a semiconductor device
US20080070335A1 (en) * 1998-09-04 2008-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of Fabricating A Semiconductor Device
US20090095956A1 (en) * 2002-09-25 2009-04-16 Yutaka Takafuji Single-crystal silicon substrate, soi substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US20040061176A1 (en) * 2002-09-25 2004-04-01 Yutaka Takafuji Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US20100167499A1 (en) * 2002-12-09 2010-07-01 Commissariat A L'energie Atomique Method for making a stressed structure designed to be dissociated
US8389379B2 (en) 2002-12-09 2013-03-05 Commissariat A L'energie Atomique Method for making a stressed structure designed to be dissociated
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US8048766B2 (en) 2003-06-24 2011-11-01 Commissariat A L'energie Atomique Integrated circuit on high performance chip
US20060252229A1 (en) * 2003-06-24 2006-11-09 Jean-Pierre Joly Integrated circuit on high performance chip
US20070281445A1 (en) * 2003-10-28 2007-12-06 Nguyet-Phuong Nguyen Method for Self-Supported Transfer of a Fine Layer by Pulsation after Implantation or Co-Implantation
US8309431B2 (en) 2003-10-28 2012-11-13 Commissariat A L'energie Atomique Method for self-supported transfer of a fine layer by pulsation after implantation or co-implantation
US20050280154A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor memory device
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US8664084B2 (en) 2005-09-28 2014-03-04 Commissariat A L'energie Atomique Method for making a thin-film element
US20080254591A1 (en) * 2005-09-28 2008-10-16 Chrystel Deguet Method for Making a Thin-Film Element
US8383492B2 (en) 2006-04-19 2013-02-26 Imec Method for the production of thin layer of silicon by utilization of mismatch in coefficient of thermal expansion between screen printed metal layer and silicon mother substrate
US20070249140A1 (en) * 2006-04-19 2007-10-25 Interuniversitair Microelecktronica Centrum (Imec) Method for the production of thin substrates
US20100323472A1 (en) * 2006-04-19 2010-12-23 Imec Method for the production of thin substrates
US7875531B2 (en) * 2006-04-19 2011-01-25 Imec Method for the production of thin substrates
US7910455B2 (en) 2006-04-27 2011-03-22 Shin-Etsu Handotai Co., Ltd. Method for producing SOI wafer
US20090104752A1 (en) * 2006-04-27 2009-04-23 Kazuhiko Yoshida Method for Producing Soi Wafer
US20100025228A1 (en) * 2006-12-19 2010-02-04 Tauzin Aurelie Method for Preparing Thin GaN Layers by Implantation and Recycling of a Starting Substrate
US8778775B2 (en) 2006-12-19 2014-07-15 Commissariat A L'energie Atomique Method for preparing thin GaN layers by implantation and recycling of a starting substrate
US8101466B2 (en) 2007-03-26 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US20080237779A1 (en) * 2007-03-26 2008-10-02 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US9111997B2 (en) 2007-03-26 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US8222117B2 (en) 2007-03-26 2012-07-17 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US20080237780A1 (en) * 2007-03-26 2008-10-02 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing SOI substrate
US9536774B2 (en) 2007-04-03 2017-01-03 Semiconductor Energy Laboratory Co., Ltd. SOI substrate, method for manufacturing the same, and semiconductor device
US8823063B2 (en) 2007-04-03 2014-09-02 Semiconductor Energy Laboratory Co., Ltd. SOI substrate, method for manufacturing the same, and semiconductor device
US8034694B2 (en) 2007-04-03 2011-10-11 Semiconductor Energy Laboratory Co., Ltd. SOI substrate, method for manufacturing the same, and semiconductor device
US20080246109A1 (en) * 2007-04-03 2008-10-09 Semiconductor Energy Laboratory Co., Ltd. SOI substrate, method for manufacturing the same, and semiconductor device
US8748243B2 (en) 2007-04-13 2014-06-10 Semiconductor Energy Laboratory Co., Ltd. Display device, method for manufacturing display device, and SOI substrate
US20080254560A1 (en) * 2007-04-13 2008-10-16 Semiconductor Energy Laboratory Co., Ltd. Display device, method for manufacturing display device, and SOI substrate
US8048728B2 (en) 2007-04-13 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Display device, method for manufacturing display device, and SOI substrate
US20090309183A1 (en) * 2007-05-11 2009-12-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7902041B2 (en) 2007-05-11 2011-03-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8629433B2 (en) 2007-05-11 2014-01-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20110114948A1 (en) * 2007-05-11 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9390906B1 (en) 2007-06-01 2016-07-12 Rubicon Technology, Inc. Method for creating asymmetrical wafer
US8623136B1 (en) 2007-06-01 2014-01-07 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
US8389099B1 (en) 2007-06-01 2013-03-05 Rubicon Technology, Inc. Asymmetrical wafer configurations and method for creating the same
US8480456B1 (en) 2007-06-19 2013-07-09 Rubicon Technology, Inc. Ultra-flat, high throughput wafer lapping process
US8734207B1 (en) 2007-06-19 2014-05-27 Rubicon Technology, Inc. Ultra-flat, high throughput wafer lapping process
US7829431B2 (en) 2007-07-13 2010-11-09 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a SOI with plurality of single crystal substrates
US20090017581A1 (en) * 2007-07-13 2009-01-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device
US20090029525A1 (en) * 2007-07-23 2009-01-29 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate
US8263476B2 (en) 2007-07-23 2012-09-11 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate
US7638408B2 (en) 2007-09-21 2009-12-29 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of substrate provided with semiconductor films
US8247307B2 (en) 2007-09-21 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of substrate provided with semiconductor films
US20090079024A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20100047998A1 (en) * 2007-09-21 2010-02-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of substrate provided with semiconductor films
US8110479B2 (en) 2007-09-21 2012-02-07 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate provided with barrier layer
US8633590B2 (en) 2007-09-21 2014-01-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8822305B2 (en) 2007-09-21 2014-09-02 Semiconductor Energy Laboratory Co., Ltd. Substrate provided with semiconductor films and manufacturing method thereof
US20090081845A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of substrate provided with semiconductor films
US8309429B2 (en) 2007-09-21 2012-11-13 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and semiconductor device
US20090081844A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and semiconductor device
US20090079025A1 (en) * 2007-09-21 2009-03-26 Semiconductor Energy Laboratory Co., Ltd. Substrate provided with semiconductor films and manufacturing method thereof
US8236668B2 (en) 2007-10-10 2012-08-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US20090111244A1 (en) * 2007-10-10 2009-04-30 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8314012B2 (en) 2007-10-10 2012-11-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US20110003461A1 (en) * 2007-10-10 2011-01-06 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US7851332B2 (en) 2007-10-10 2010-12-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8772128B2 (en) 2007-10-10 2014-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090098710A1 (en) * 2007-10-10 2009-04-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US20090096054A1 (en) * 2007-10-10 2009-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7799658B2 (en) 2007-10-10 2010-09-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US20090098739A1 (en) * 2007-10-10 2009-04-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US20100216294A1 (en) * 2007-10-12 2010-08-26 Marc Rabarot Method of fabricating a microelectronic structure involving molecular bonding
US8110478B2 (en) 2007-10-23 2012-02-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate, display panel, and display device
US20090104750A1 (en) * 2007-10-23 2009-04-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate, display panel, and display device
US20090117703A1 (en) * 2007-11-01 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
US20090115028A1 (en) * 2007-11-01 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate, semiconductor device and electronic device
US8163628B2 (en) 2007-11-01 2012-04-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
US20090117680A1 (en) * 2007-11-01 2009-05-07 Shunpei Yamazaki Method for manufacturing photoelectric conversion device
US7964429B2 (en) 2007-11-01 2011-06-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing photoelectric conversion device
US20090117704A1 (en) * 2007-11-05 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7816234B2 (en) 2007-11-05 2010-10-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090139558A1 (en) * 2007-11-29 2009-06-04 Shunpei Yamazaki Photoelectric conversion device and manufacturing method thereof
US8802462B2 (en) 2007-12-03 2014-08-12 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US20090170286A1 (en) * 2007-12-27 2009-07-02 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US7842583B2 (en) 2007-12-27 2010-11-30 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US20090179160A1 (en) * 2008-01-16 2009-07-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate manufacturing apparatus
US8324086B2 (en) 2008-01-16 2012-12-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor substrate by laser irradiation
US20110151593A1 (en) * 2008-01-24 2011-06-23 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate
US8492248B2 (en) 2008-01-24 2013-07-23 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate
US20090197391A1 (en) * 2008-02-04 2009-08-06 Hideto Ohnuma Method for manufacturing soi substrate
US7858495B2 (en) 2008-02-04 2010-12-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US20090232981A1 (en) * 2008-03-11 2009-09-17 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
US8329260B2 (en) 2008-03-11 2012-12-11 Varian Semiconductor Equipment Associates, Inc. Cooled cleaving implant
US20090246934A1 (en) * 2008-03-26 2009-10-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate and method for manufacturing semiconductor device
US8530332B2 (en) 2008-03-26 2013-09-10 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and semiconductor device
US20090246936A1 (en) * 2008-03-26 2009-10-01 Semiconductor Energy Laboratory Co., Ltd Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20090246937A1 (en) * 2008-03-26 2009-10-01 Shunpei Yamazaki Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20090261449A1 (en) * 2008-03-26 2009-10-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate and semiconductor device
US8946051B2 (en) 2008-03-26 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US8021958B2 (en) 2008-03-26 2011-09-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US9633892B2 (en) 2008-03-26 2017-04-25 Semiconductor Energy Laboratory Co., Ltd Method for manufacturing SOI substrate in which crystal defects of a single crystal semiconductor layer are reduced and method for manufacturing semiconductor device
US20110212596A1 (en) * 2008-08-01 2011-09-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US20100029058A1 (en) * 2008-08-01 2010-02-04 Akihisa Shimomura Method for manufacturing soi substrate
US9076839B2 (en) 2008-08-01 2015-07-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US7943414B2 (en) 2008-08-01 2011-05-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US20110156212A1 (en) * 2008-08-27 2011-06-30 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
US9793360B2 (en) 2008-08-27 2017-10-17 Soitec Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
US8765508B2 (en) 2008-08-27 2014-07-01 Soitec Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
US8367520B2 (en) 2008-09-22 2013-02-05 Soitec Methods and structures for altering strain in III-nitride materials
US20100072576A1 (en) * 2008-09-22 2010-03-25 Chantal Arena Methods and structures for altering strain in iii-nitride materials
US8486771B2 (en) 2008-09-24 2013-07-16 Soitec Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US20100176490A1 (en) * 2008-09-24 2010-07-15 Fabrice Letertre Methods of forming relaxed layers of semiconductor materials, semiconductor structures, devices and engineered substrates including same
US20100081251A1 (en) * 2008-09-29 2010-04-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US8048754B2 (en) 2008-09-29 2011-11-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing single crystal semiconductor layer
US8741740B2 (en) 2008-10-02 2014-06-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US20100087045A1 (en) * 2008-10-02 2010-04-08 Akihisa Shimomura Method for manufacturing soi substrate
US8343847B2 (en) 2008-10-10 2013-01-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI semiconductor device
US20100093153A1 (en) * 2008-10-10 2010-04-15 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate
US8313989B2 (en) 2008-10-22 2012-11-20 Semiconductor Energy Laboratory Co., Ltd. SOI substrate and method for manufacturing the same
US20100096720A1 (en) * 2008-10-22 2010-04-22 Semiconductor Energy Laboratory Co., Ltd. Soi substrate and method for manufacturing the same
US8278193B2 (en) 2008-10-30 2012-10-02 Soitec Methods of forming layers of semiconductor material having reduced lattice strain, semiconductor structures, devices and engineered substrates including same
US9368344B2 (en) 2008-10-30 2016-06-14 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US20100109126A1 (en) * 2008-10-30 2010-05-06 S.O.I.Tec Silicon On Insulator Technologies, S.A. Methods of forming layers of semiconductor material having reduced lattice strain, semiconductor structures, devices and engineered substrates including same
US8836081B2 (en) 2008-10-30 2014-09-16 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US8679942B2 (en) 2008-11-26 2014-03-25 Soitec Strain engineered composite semiconductor substrates and methods of forming same
US8043935B2 (en) 2008-11-27 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate and method for manufacturing semiconductor device
US8394703B2 (en) 2008-12-15 2013-03-12 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate and manufacturing method of semiconductor device
US8545660B1 (en) 2008-12-15 2013-10-01 Gtat Corporation Bonding apparatus and method
US20100151663A1 (en) * 2008-12-15 2010-06-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate and manufacturing method of semiconductor device
US8704286B2 (en) 2008-12-18 2014-04-22 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US9129848B2 (en) 2008-12-18 2015-09-08 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20100155803A1 (en) * 2008-12-18 2010-06-24 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US8278167B2 (en) 2008-12-18 2012-10-02 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US20100173472A1 (en) * 2009-01-05 2010-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate and method for manufacturing semiconductor device
US20100187568A1 (en) * 2009-01-28 2010-07-29 S.O.I.Tec Silicon On Insulator Technologies, S.A. Epitaxial methods and structures for forming semiconductor materials
US20100213578A1 (en) * 2009-02-25 2010-08-26 Micron Technology, Inc. Methods of forming integrated circuits and resulting structures
US8198172B2 (en) 2009-02-25 2012-06-12 Micron Technology, Inc. Methods of forming integrated circuits using donor and acceptor substrates
US8816489B2 (en) 2009-02-25 2014-08-26 Micron Technology, Inc. Integrated circuit structures, semiconductor structures, and semiconductor die
US20100230724A1 (en) * 2009-03-11 2010-09-16 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
US8178396B2 (en) 2009-03-11 2012-05-15 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
US8552568B2 (en) 2009-03-11 2013-10-08 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
US8975159B2 (en) 2009-05-07 2015-03-10 Shin-Etsu Chemical Co., Ltd. Method for manufacturing bonded wafer
US20100304550A1 (en) * 2009-05-26 2010-12-02 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of soi substrate
US8432021B2 (en) 2009-05-26 2013-04-30 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate
US20100323497A1 (en) * 2009-06-18 2010-12-23 Franck Fournel Method of transferring a thin layer onto a target substrate having a coefficient of thermal expansion different from that of the thin layer
US8252663B2 (en) 2009-06-18 2012-08-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of transferring a thin layer onto a target substrate having a coefficient of thermal expansion different from that of the thin layer
US8151852B2 (en) 2009-06-30 2012-04-10 Twin Creeks Technologies, Inc. Bonding apparatus and method
US20100330776A1 (en) * 2009-06-30 2010-12-30 Zuniga Steven M Bonding apparatus and method
WO2011011111A1 (en) 2009-07-20 2011-01-27 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using quantum dot structures and related structures
US9178091B2 (en) 2009-07-20 2015-11-03 Soitec Methods of fabricating semiconductor structures and devices using quantum dot structures and related structures
US20110024876A1 (en) * 2009-07-31 2011-02-03 Epir Technologies, Inc. Creation of thin group ii-vi monocrystalline layers by ion cutting techniques
US20110101298A1 (en) * 2009-11-02 2011-05-05 Micron Technology, Inc. Methods, structures and devices for increasing memory density
US8461566B2 (en) 2009-11-02 2013-06-11 Micron Technology, Inc. Methods, structures and devices for increasing memory density
US9337237B2 (en) 2009-11-02 2016-05-10 Micron Technology, Inc. Methods, structures and devices for increasing memory density
US8487295B2 (en) 2009-11-18 2013-07-16 Soitec Semiconductor structures and devices including semiconductor material on a non-glassy bonding layer
US8114754B2 (en) 2009-11-18 2012-02-14 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using glass bonding layers, and semiconductor structures and devices formed by such methods
US8461014B2 (en) 2009-11-18 2013-06-11 Soitec Methods of fabricating semiconductor structures and devices with strained semiconductor material
US20110114965A1 (en) * 2009-11-18 2011-05-19 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using glass bonding layers, and semiconductor structures and devices formed by such methods
WO2011061580A1 (en) 2009-11-18 2011-05-26 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures and devices using glass bonding layers, and semiconductor structures and devices formed by such methods
US20110183494A1 (en) * 2010-01-26 2011-07-28 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US8288249B2 (en) 2010-01-26 2012-10-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US20110215396A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8866209B2 (en) 2010-03-02 2014-10-21 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8501559B2 (en) 2010-03-02 2013-08-06 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8859359B2 (en) 2010-03-02 2014-10-14 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
WO2011109149A2 (en) 2010-03-02 2011-09-09 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8841715B2 (en) 2010-03-02 2014-09-23 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US20110215371A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8980699B2 (en) 2010-03-02 2015-03-17 Micron Technology, Inc. Thyristor-based memory cells, devices and systems including the same and methods for forming the same
US8524543B2 (en) 2010-03-02 2013-09-03 Micron Technology, Inc. Thyristor-based memory cells, devices and systems including the same and methods for forming the same
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8530295B2 (en) 2010-03-02 2013-09-10 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US10157769B2 (en) 2010-03-02 2018-12-18 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8809145B2 (en) 2010-03-02 2014-08-19 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US20110215408A1 (en) * 2010-03-02 2011-09-08 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US10325926B2 (en) 2010-03-02 2019-06-18 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
WO2011123199A1 (en) 2010-03-31 2011-10-06 S.O.I.Tec Silicon On Insulator Technologies Bonded semiconductor structures and method of forming same
US8987114B2 (en) 2010-03-31 2015-03-24 Soitec Bonded semiconductor structures and method of forming same
US8846496B2 (en) 2010-04-28 2014-09-30 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of single crystal semiconductor film and manufacturing method of electrode
US9012292B2 (en) 2010-07-02 2015-04-21 Sang-Yun Lee Semiconductor memory device and method of fabricating the same
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
US9041214B2 (en) 2010-07-19 2015-05-26 Soitec Bonded processed semiconductor structures and carriers
US9553014B2 (en) 2010-07-19 2017-01-24 Soitec Bonded processed semiconductor structures and carriers
WO2012048973A1 (en) 2010-09-10 2012-04-19 Soitec Methods of forming through wafer interconnects in semiconductor structures using sacrificial material, and semiconductor structures formed by such methods
US9312339B2 (en) 2010-12-23 2016-04-12 Soitec Strain relaxation using metal materials and related structures
US8637383B2 (en) 2010-12-23 2014-01-28 Soitec Strain relaxation using metal materials and related structures
WO2012085219A1 (en) 2010-12-23 2012-06-28 Soitec Strain relaxation using metal materials and related structures
US8936999B2 (en) 2011-01-07 2015-01-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate
US9082948B2 (en) 2011-02-03 2015-07-14 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9202741B2 (en) 2011-02-03 2015-12-01 Soitec Metallic carrier for layer transfer and methods for forming the same
US8436363B2 (en) 2011-02-03 2013-05-07 Soitec Metallic carrier for layer transfer and methods for forming the same
US9142412B2 (en) 2011-02-03 2015-09-22 Soitec Semiconductor devices including substrate layers and overlying semiconductor layers having closely matching coefficients of thermal expansion, and related methods
US9129983B2 (en) 2011-02-11 2015-09-08 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US10373956B2 (en) 2011-03-01 2019-08-06 Micron Technology, Inc. Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors
US10886273B2 (en) 2011-03-01 2021-01-05 Micron Technology, Inc. Gated bipolar junction transistors, memory arrays, and methods of forming gated bipolar junction transistors
US9691465B2 (en) 2011-03-08 2017-06-27 Micron Technology, Inc. Thyristors, methods of programming thyristors, and methods of forming thyristors
US9361966B2 (en) 2011-03-08 2016-06-07 Micron Technology, Inc. Thyristors
US20180012869A1 (en) * 2011-03-31 2018-01-11 Sony Semiconductor Solutions Corporation Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US10553562B2 (en) * 2011-03-31 2020-02-04 Sony Semiconductor Solutions Corporation Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US8338294B2 (en) 2011-03-31 2012-12-25 Soitec Methods of forming bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate, and semiconductor structures formed by such methods
US8637995B2 (en) 2011-03-31 2014-01-28 Soitec Bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate
US8970045B2 (en) 2011-03-31 2015-03-03 Soitec Methods for fabrication of semiconductor structures including interposers with conductive vias, and related structures and devices
US8802534B2 (en) 2011-06-14 2014-08-12 Semiconductor Energy Laboratory Co., Ltd. Method for forming SOI substrate and apparatus for forming the same
US9528196B2 (en) 2011-07-25 2016-12-27 Soitec Method and device for fabricating a layer in semiconductor material
US9269795B2 (en) 2011-07-26 2016-02-23 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US9293448B2 (en) 2011-08-09 2016-03-22 Soitec Methods of forming three-dimensionally integrated semiconductor systems including photoactive devices and semiconductor-on-insulator substrates
US8842945B2 (en) 2011-08-09 2014-09-23 Soitec Methods of forming three dimensionally integrated semiconductor systems including photoactive devices and semiconductor-on-insulator substrates
US8728863B2 (en) 2011-08-09 2014-05-20 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
WO2013021251A1 (en) 2011-08-09 2013-02-14 Soitec Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
US8673733B2 (en) 2011-09-27 2014-03-18 Soitec Methods of transferring layers of material in 3D integration processes and related structures and devices
WO2013045985A1 (en) 2011-09-27 2013-04-04 Soitec Methods of transferring layers of material in 3d integration processes and related structures and devices
WO2013093590A1 (en) 2011-12-23 2013-06-27 Soitec Methods of fabricating semiconductor structures using thermal spray processes, and semiconductor structures fabricated using such methods
US9136134B2 (en) 2012-02-22 2015-09-15 Soitec Methods of providing thin layers of crystalline semiconductor material, and related structures and devices
WO2013124719A1 (en) 2012-02-22 2013-08-29 Soitec Methods of providing thin layers of crystalline semiconductor material, and related structures and devices
US8916483B2 (en) 2012-03-09 2014-12-23 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum
US9716148B2 (en) 2012-03-09 2017-07-25 Soitec Methods of forming semiconductor structures including III-V semiconductor material using substrates comprising molybdenum, and structures formed by such methods
WO2013132332A1 (en) 2012-03-09 2013-09-12 Soitec Methods for forming semiconductor structures including iii-v semiconductor material using substrates comprising molybdenum, and structures formed by such methods
US9391011B2 (en) 2012-06-28 2016-07-12 Soitec Semiconductor structures including fluidic microchannels for cooling and related methods
US9245836B2 (en) 2012-06-28 2016-01-26 Soitec Interposers including fluidic microchannels and related structures and methods
US8980688B2 (en) 2012-06-28 2015-03-17 Soitec Semiconductor structures including fluidic microchannels for cooling and related methods
WO2014020388A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including mems devices and integrated circuits on common sides of substrates, and related structures and devices
WO2014020389A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including a conductive interconnection, and related structures
US9481566B2 (en) 2012-07-31 2016-11-01 Soitec Methods of forming semiconductor structures including MEMS devices and integrated circuits on opposing sides of substrates, and related structures and devices
US9511996B2 (en) 2012-07-31 2016-12-06 Soitec Methods of forming semiconductor structures including MEMS devices and integrated circuits on common sides of substrates, and related structures and devices
US9728458B2 (en) 2012-07-31 2017-08-08 Soitec Methods for fabrication of semiconductor structures using laser lift-off process, and related semiconductor structures
WO2014020387A1 (en) 2012-07-31 2014-02-06 Soitec Methods of forming semiconductor structures including mems devices and integrated circuits on opposing sides of substrates, and related structures and devices
WO2014020390A1 (en) 2012-07-31 2014-02-06 Soitec Methods for fabrication of semiconductor structures using laser lift-off process, and related semiconductor structures
WO2014030040A1 (en) 2012-08-24 2014-02-27 Soitec Methods of forming semiconductor structures and devices including graphene, and related structures and devices
US9716164B2 (en) 2012-09-24 2017-07-25 Soitec Methods of forming III-V semiconductor structures using multiple substrates, and semiconductor devices fabricated using such methods
WO2014045090A1 (en) 2012-09-24 2014-03-27 Soitec Methods of forming iii-v semiconductor structures using multiple substrates, and semiconductor devices fabricated using such methods
WO2014135944A1 (en) 2013-03-08 2014-09-12 Soitec Photoactive devices having low bandgap active layers configured for improved efficiency and related methods
US10090432B2 (en) 2013-03-08 2018-10-02 Soitec Photoactive devices having low bandgap active layers configured for improved efficiency and related methods
US9634182B2 (en) 2013-03-15 2017-04-25 Soitec Semiconductor structures having active regions including indium gallium nitride, methods of forming such semiconductor structures, and related light emitting devices
US9397258B2 (en) 2013-03-15 2016-07-19 Soitec Semiconductor structures having active regions comprising InGaN, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9343626B2 (en) 2013-03-15 2016-05-17 Soitec Semiconductor structures having active regions comprising InGaN, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9117955B2 (en) 2013-03-15 2015-08-25 Soitec Semiconductor structures having active regions comprising ingan, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9246057B2 (en) 2013-03-15 2016-01-26 Soitec Semiconductor structures having active regions comprising InGaN, methods of forming such semiconductor structures, and light emitting devices formed from such semiconductor structures
US9978905B2 (en) 2013-03-15 2018-05-22 Soitec Semiconductor structures having active regions comprising InGaN and methods of forming such semiconductor structures
WO2014206737A1 (en) 2013-06-27 2014-12-31 Soitec Methods of fabricating semiconductor structures including cavities filled with a sacrifical material
US10703627B2 (en) 2013-06-27 2020-07-07 Soitec Methods of fabricating semiconductor structures including cavities filled with a sacrificial material
US9349865B2 (en) 2014-09-18 2016-05-24 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9818874B2 (en) 2014-09-18 2017-11-14 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9576798B2 (en) 2014-09-18 2017-02-21 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
WO2016042375A1 (en) 2014-09-18 2016-03-24 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US9165945B1 (en) 2014-09-18 2015-10-20 Soitec Method for fabricating semiconductor structures including transistor channels having different strain states, and related semiconductor structures
US9219150B1 (en) 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9209301B1 (en) 2014-09-18 2015-12-08 Soitec Method for fabricating semiconductor layers including transistor channels having different strain states, and related semiconductor layers
US10629440B2 (en) 2016-04-05 2020-04-21 Shin-Etsu Chemical Co., Ltd. Method for manufacturing composite wafer provided with oxide single crystal thin film
US11791307B2 (en) 2018-04-20 2023-10-17 Adeia Semiconductor Bonding Technologies Inc. DBI to SI bonding for simplified handle wafer

Also Published As

Publication number Publication date
JPH05211128A (en) 1993-08-20
FR2681472A1 (en) 1993-03-19
EP0533551A1 (en) 1993-03-24
FR2681472B1 (en) 1993-10-29
US5374564A (en) 1994-12-20
JP3048201B2 (en) 2000-06-05
DE69231328T2 (en) 2001-02-22
DE69231328D1 (en) 2000-09-14
EP0533551B1 (en) 2000-08-09

Similar Documents

Publication Publication Date Title
USRE39484E1 (en) Process for the production of thin semiconductor material films
US4891329A (en) Method of forming a nonsilicon semiconductor on insulator structure
US5714395A (en) Process for the manufacture of thin films of semiconductor material
US5856229A (en) Process for production of semiconductor substrate
US7148119B1 (en) Process for production of semiconductor substrate
US7378330B2 (en) Cleaving process to fabricate multilayered substrates using low implantation doses
KR950014609B1 (en) Semiconductor device and manufacturing method thereof
EP0553855B1 (en) Semiconductor device substrate and process for producing the same
US6500732B1 (en) Cleaving process to fabricate multilayered substrates using low implantation doses
US6054363A (en) Method of manufacturing semiconductor article
US5540785A (en) Fabrication of defect free silicon on an insulating substrate
US6100165A (en) Method of manufacturing semiconductor article
JP3352340B2 (en) Semiconductor substrate and method of manufacturing the same
JP3257624B2 (en) Semiconductor member manufacturing method
EP0843346B1 (en) Method of manufacturing a semiconductor article
KR19980042471A (en) Manufacturing method of semiconductor article
JPH0521338A (en) Semiconductor member and manufacture thereof
JP3293767B2 (en) Semiconductor member manufacturing method
JP2002118242A (en) Method for manufacturing semiconductor member
JP3237889B2 (en) Semiconductor substrate and method of manufacturing the same
JP3293766B2 (en) Semiconductor member manufacturing method
JPH09121039A (en) Semiconductor member
JPH05217829A (en) Semiconductor base body and its manufacture

Legal Events

Date Code Title Description
CC Certificate of correction