USRE42338E1 - Capping layer for EUV optical elements - Google Patents

Capping layer for EUV optical elements Download PDF

Info

Publication number
USRE42338E1
USRE42338E1 US11/390,536 US39053606A USRE42338E US RE42338 E1 USRE42338 E1 US RE42338E1 US 39053606 A US39053606 A US 39053606A US RE42338 E USRE42338 E US RE42338E
Authority
US
United States
Prior art keywords
optical element
radiation
capping layer
lithographic
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US11/390,536
Inventor
Mandeep Singh
Hugo M. Visser
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US11/390,536 priority Critical patent/USRE42338E1/en
Assigned to ASML LITHOGRAPHY B.V. reassignment ASML LITHOGRAPHY B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SINGH, MANDEEP, VISSER, HUGO MATTHIEU
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: ASM LITHOGRAPHY B.V.
Assigned to ASM LITHOGRAPHY B.V. reassignment ASM LITHOGRAPHY B.V. CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNEE PREVIOUSLY RECORDED ON REEL 025835 FRAME 0016. ASSIGNOR(S) HEREBY CONFIRMS THE CORRECT ASSIGNEE IS ASM LITHOGRAPHY B.V.. Assignors: SINGH, MANDEEP, VISSER, HUGO MATTHIEU
Application granted granted Critical
Publication of USRE42338E1 publication Critical patent/USRE42338E1/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/061Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements characterised by a multilayer structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S359/00Optical: systems and elements
    • Y10S359/90Methods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Definitions

  • the present invention relates to capping layers for optical elements, e.g. multilayer mirrors, for use with extreme ultraviolet (EUV) radiation. More particularly, the invention relates to the use of capping layers on optical elements in lithographic projection apparatus comprising:
  • the projection system may hereinafter be referred to as the “lens”; however, this term should be broadly interpreted as encompassing various types of projection system, including refractive optics, reflective optics, catadioptric systems, and charged particle optics, for example.
  • the illumination system may also include elements operating according to any of these principles for directing, shaping or controlling the projection beam, and such elements may also be referred to below, collectively or singularly, as a “lens”.
  • the first and second object tables may be referred to as the “mask-table” and the “substrate table”, respectively.
  • the invention is described using a reference system of orthogonal X, Y and Z directions and rotation about an axis parallel to the I direction is denoted Ri.
  • Ri an axis parallel to the I direction
  • the term “vertical” (Z) used herein is intended to refer to the direction normal to the substrate or mask surface or parallel to the optical axis of an optical system, rather than implying any particular orientation of the apparatus.
  • the term “horizontal” refers to a direction parallel to the substrate or mask surface or perpendicular to the optical axis, and thus normal to the “vertical” direction.
  • Lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • the mask (reticle) may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto an exposure area (die) on a substrate (silicon wafer) which has been coated with a layer of photosensitive material (resist).
  • a single wafer will contain a whole network of adjacent dies which are successively irradiated via the reticle, one at a time.
  • each die is irradiated by exposing the entire a reticle pattern onto the die at ones; such an apparatus is commonly referred to as a wafer stepper.
  • each die is irradiated by progressively scanning the reticle pattern under the projection beam in a given reference direction (the “scanning” direction) while synchronously scanning the wafer table parallel or anti-parallel to this direction; since, in general, the projection system will have a magnification factor M generally ⁇ 1), the speed V at which the wafer table is scanned will be a factor M times that at which the reticle table is scanned. More information with regard to lithographic devices as here described can be gleaned from International Patent Application WO97/33205, for example.
  • lithographic apparatus contained a single mask table and a single substrate table.
  • machines are now becoming available in which there are at least two independently moveable substrate tables; see, for example, the multi-stage apparatus described in International Patent Applications WO98/28665 and WO98/40791.
  • the basic operating principle behind such multi-stage apparatus is that, while a first substrate table is at the exposure position underneath the projection system for exposure of a first substrate located on that table, a second substrate table can run to a loading position, discharge a previously exposed substrate, pick up a new substrate, perform some initial measurements on the new substrate and then stand ready to transfer the new substrate to the exposure position underneath the projection system as soon as exposure of the first substrate is completed; the cycle then repeats.
  • the same principle could be used with just one substrate table which is moved between exposure and measurement positions.
  • lithographic projection apparatus In a lithographic apparatus the size of features that can be imaged onto the wafer is limited by the wavelength of the projection radiation. To produce integrated circuits with a higher density of devices, and hence higher operating speeds, it is desirable to be able to image smaller features. While most current lithographic projection apparatus employ ultraviolet light generated by mercury lamps or excimer lasers, it has been proposed to use shorter wavelength radiation of around 13 nm. Such radiation is termed extreme ultraviolet (EUV) or soft x-ray and possible sources include laser plasma sources or synchrotron radiation from electron storage rings.
  • EUV extreme ultraviolet
  • An outline design of a lithographic projection apparatus using synchrotron radiation is described in “Synchrotron radiation sources and condensers for projection x-ray lithography”, J B Murphy et al, Applied Optics Vol. 32 No. 24 pp 6920-6929 (1993).
  • Optical elements for use in the EUV spectral region are especialy sensitive to physical and chemical damage which can significantly reduce their reflectivity and optical quality. Reflectivities at these wavelengths are already low compared to reflectors at longer wavelengths which is a particular problem since a typical EUV lithographic system may have nine mirrors; two in the illumination optics, six in the imaging optics plus the reflecting reticle. It is therefore evident that even a “small” decrease of 1-2% in the peak reflectivity of a single mirror will cause a significant light throughput reduction in the optical system.
  • a further problem is that some sources of EUV radiation, e.g. plasma based sources, are “dirty” in that they also emit significant quantities of fast ions and other particles which can damage otical elements in the illumination system.
  • EUV extreme ultraviolet radiation
  • a lithographic projection apparatus comprising:
  • the optical element may be a beam modifying element such as a reflector, e.g. a multilayer near-normal incidence mirror or a grazing incidence mirror, included in one of the illumination and projection systems: an integrator, such as a scattering plate: the mask itself, especially if a multilayer mask; or any other optical element involved in directing, focussing, shaping, controlling, etc. the projection beam.
  • a beam modifying element such as a reflector, e.g. a multilayer near-normal incidence mirror or a grazing incidence mirror, included in one of the illumination and projection systems: an integrator, such as a scattering plate: the mask itself, especially if a multilayer mask; or any other optical element involved in directing, focussing, shaping, controlling, etc. the projection beam.
  • the optical element may also be a sensor such as an image sensor or a spot sensor;
  • the relatively inert material in particular should be resistant to oxidation and may be selected from the group comprising: diamond-like carbon (C), boron nitride (BN), boron carbide (B 4 C), silicon nitride (Si 3 N 4 ), silicon carbide (SiC), B, Pd, Ru, Rh, Au, MgF 2 , LiF, C 2 F 4 and TiN and compounds and alloys thereof.
  • the capping layer should have a sufficient thickness to protect the underlying optical element from attack, so that the capping layer is effecively “chemically opaque”, yet not be too thick so as to absorb too much of the incident radiation.
  • the capping layer may have a thickness in the range of from 0.5 to 10 nm, preferably from 0.5 to 6 nm and most preferably from 0.5 to 3 nm.
  • the capping layer may itself have a multi-layer structure, e.g. of two layers, with the outermost layer chosen both for improved chemical resistance and low refractive index at the wavelength of the projection beam to improve reflectivity or transmissivity.
  • a second aspect of the invention provides a device manufacturing method using a lithographic apparatus comprising
  • a pattern in a mask is imaged onto a substrate which is at least partially covered by a layer of energy-sensitive material (resist).
  • the substrate Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake WEB), development, a hard bake and measurement/inspection of the imaged features.
  • WEB post-exposure bake
  • This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC.
  • Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping) metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. Further information regarding such processes can be obtained, for example, from the book “Microchip Fabrication: A Practical Guide to Semiconductor Processing”, Third Edition, by Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-0672504.
  • FIG. 1 depicts a lithographic projection apparatus according to the invention
  • FIG. 2 is a graph of layer thicknesses in a 51 period optimised Mo/Si stack according to the invention.
  • FIG. 3 is a graph of layer thicknesses in a 50 period Mo—Ru/Si stack according to the invention.
  • FIG. 4 is a graph of R 9 vs. wavelength in the 13.4 nm region for various mirrors embodying the invention and a conventional mirror for comparison;
  • FIG. 5 is a graph of layer thicknesses in a 50 period Mo—Ru—Sr/Si stack according to the invention.
  • FIG. 6 is a graph of layer thicknesses in a needle optimised 50 period Mo—Ru—Sr/Si stack according to the invention.
  • FIG. 7 is a graph of layer thicknesses in an 80 period Ru—Sr/Be stack according to the invention.
  • FIG. 8 is a graph of R 9 vs. wavelength in the 11.3 nm region for various mirrors embodying the invention and a conventional mirror for comparison;
  • FIG. 9 Is a graph showing R 9 vs. wavelength for various stacks, both conventional and according to the invention as well as the emission intensity of a Xe-jet laser-induced plasma source;
  • FIG. 10 is a graph showing R and R 9 vs. wavelength for a Rh—Ru/Sr—Ce stack according to the invention.
  • FIG. 11 is a graph of layer thicknesses in an optimised Rh—Ru/Sr—Ce stack according to the invention.
  • FIG. 12 is a graph showing R versus wavelength for a Rh—Ru/SiO 2 -aero stack according to the invention.
  • FIG. 13 is a diagram of a multilayer coating having a capping layer according to the invention.
  • FIG. 1 schematically depicts a lithographic projection apparatus according to the invention.
  • the apparatus comprises:
  • the radiation system comprises a source LA (e.g. an undulator or wiggler provided around the path of an electron beam in a storage ring or synchrotron or a laser-induced plasma source) which produces a beam of radiation.
  • a source LA e.g. an undulator or wiggler provided around the path of an electron beam in a storage ring or synchrotron or a laser-induced plasma source
  • This beam is passed along various optical components included in illumination system (“lens”) IL so that the resultant beam PB is collected in such a way as to give uniform illumination at the entrance pupil and the mask.
  • the beam PB subsequently impinges upon the mask MA which is held in a mask holder on a mask table MT. Having been selectively reflected by the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target area C of the substrate W. With the aid of first positioning means PW and the interferometric displacement measuring means IF, the substrate table WT can be moved accurately, e.g. so as to position different target areas C in the path of the beam PB. Similarly, the positioning means PM can be used to accurately position the mask MA with respect to the path of the beam PB, e.g. after mechanical retrieval of the mask MA from a mask library.
  • the references M 1 , M 2 correspond to reticle alignment marks and the references P 1 and P 2 correspond to wafer alignment marks.
  • movement of the object tables MT, WT will be realized with the aid of a long stroke module (coarse positioning) and a short stroke module (fine positioning), which are not explicitly depicted in FIG. 1 .
  • the depicted apparatus can be used in two different modes:
  • the illumination system IL may be constructed as described in copending European Patent Application 00300784.6 (applicant's ref P-0129), which is hereby incorporated by reference.
  • the optical constants of the various materials are derived from atomic scattering factors by Henke et. al. and were obtained from the CXRO web server at Berkeley (B. L. Henke, E. M. Gullikson, and J. C. Davis, Atomic Data and Nuclear Data Tables, 54(2), 181-342 (1993); http://www.cxro.lbl.gov/optical_constants/).
  • the values of n and k for the materials used were downloaded as functions of wavelength from 6 nm to 42 nm and as such the wavelength dependence of n and k is implicit in all calculations.
  • the values of n and k for various materials at some wavelengths of particular interest are tabulated in Table 1 below.
  • RTM Zerodur
  • Examples 2 to 23 according to the invention consist of variations on the stack of reference example 1 as detailed in Table 2 below.
  • Table 2 column 2 gives the materials used in the layers of the stack; column 3 gives the optimization applied: N indicates none, Y indicates global optimization and Y(n) indicates needle optimization (described further below); column 4 gives the capping layer applied; column 5 gives the peak reflectivity R; column 6 gives the R 9 peak reflectivity in relative units and column 7 gives the R 9 int (integrated) reflectivity in relative units.
  • R 9 the net reflectivity of a series of nine reflectors.
  • R 9 int is the area under the curve in the R 9 vs. ⁇ (wavelength) spectrum.
  • the variation between R 9 peak and R 9 int for a given stack is an indication of the variation in the spectral half-width which is a function of the optimization process, or the incorporated materials, or the capping layer material, or any combination of the three.
  • the final surface layer of all of examples 2 to 20 is a 4.1-4.5 nm Si layer on which the capping layer specified in column 4 is deposited, or grown in the case of SiO.
  • Growing the SiO 2 consumes the surface Si layer so that in the case of Example 2 the top two layers are 2 nm of Si, the remains of the approximately 4 nm Si layer prior to oxidation and which may be regarded as the final layer of the multilayer, and 2 nm SiO 2 .
  • Examples 21 to 23 are terminated with a 4.0 to 4.4 nm Rb layer upon which the capping layer specified in column 4 is deposited.
  • Example 2 is an unoptimized Mo/Si stack in which a 2 nm native oxide is allowed to grow on a 6 nm Si top layer (compared to the 4 nm top layer of comparative example 1), resulting in a 1% increase in R, a 13% increase in R 9 peak and a 7% increase in R 9 int.
  • a 25% gain in R 9 int is achieved by deposition of a 2 nm B capping layer. Further increases in examples 4 to 7 follow by selecting Rh or Ru as capping layers and optimising the stack. A gain of up to 36% for a two-component (Mo/Si) multilayer stack can be achieved by optimization, as shown by example 7.
  • FIG. 2 shows the layer structure of a 51 period (102 layer) optimized Mo/Si stack with a 1.5 nm capping layer.
  • layer 0 is the substrate surface.
  • the optimisation of the Mo/Si stack results in a gradual, smooth variation of the layer thicknesses through the stack while the period width remains nominally constant at about 6.8 to 7.0 nm.
  • the higher the absorption in the material the lower the thickness near the surface, for an optimum reflectivity response. This phenomenon is discussed further below.
  • the three component system of examples 8 to 12 is set up initially as a two-component Mo/Si stack with the third material interleaved between the Mo and Si layers with its initial thickness set to zero.
  • the global optimization process then varies the thicknesses of all the layers until a pre-set reflectivity target is approached.
  • Mo—Rh/Si and Mo—Ru/Si Mo is favored near the surface and Rh or Ru near the substrate whereas, in the Mo—RbCl/Si system, RbCl (which is a single entity) partially substitutes for Si in the centre of the stack, i.e. the sum of the thicknesses of the adjacent RbCl and Si layers approaches the thickness of Si in a standard stack.
  • the layer structure for the Mo—Ru/Si stack is shown in FIG. 3 .
  • This stack has 50 Si layers, including the uppermost layer, and therefore has 148 layers in total, plus a 1.5 nm Ru capping layer.
  • layer 0 is the substrate surface.
  • a 50% gain in computed throughput is observed for the Mo—Ru/Si system over the standard Mo/Si stack.
  • Example 12 shows a further improvement in R 9 int for the Mo—Ru/Si system using needle optimization.
  • additional layers of designated materials in this case, Mo, Ru and Rh, with vanishingly small thicknesses, are periodically added to the stack. These layers are then allowed to grow or be rejected by a local optimization process.
  • the needle-optimized stack therefore also contains Rh and additional layers of Mo, the net result of which is a 59% increase in R 9 int compared to the standard stack. It is also worth noting that in this case R 9 int>R 9 peak with the peak reflectivity of 0.764 only marginally lower than for the standard optimized Mo—Ru/Si stack. This indicates that a substantially greater spectral half-width results from the needle optimization process as can be seen in FIG.
  • Line A is for the standard Mo/Si stack, reference example 1; B is optimized Mo/Si, example 4; C is Mo—Ru/Si needle optimized, example 12; D is Mo—Ru—Sr/Si needle optimized, example 19, and E is Mo/Rb optimized, example 22.
  • Rh—Mo/Si may be used instead of Mo—Rh/Si and Ru—Mo/Si instead of Mo—Ru/Si
  • FIG. 5 shows the layer thicknesses (nm) of a 50 period Mo—Ru—Sr/Si stack with a Ru capping layer.
  • layer 0 indicates the substrate surface.
  • the spikes in the Mo layer thickness profile indicate layers where the Ru layer has been wholly replaced by Mo as suggested by the numerical optimization technique. This is not essential to the gain in R 9 int and the relevant Mo layers can be replaced by pairs of Mo and Ru layers.
  • Sr performs a similar function to Si in the stack as it has a high value of n and a low extinction coefficient, k, (see Table 1). The low absorption within the Sr layers makes it preferable in the top half of the stack.
  • k a low extinction coefficient
  • the sums of the thicknesses of Si and Sr and Ru and Mo approximate respectively to the optimised Si and Mo thicknesses shown in FIG. 2 .
  • the preferred order of the elements is: Ru—Mo—Sr—Si.
  • the grouping of layers may also be varied, e.g. Ru—Mo—Sr/Si may be regarded as Ru—Mo/Sr—Si for calculation purposes.
  • FIG. 6 shows the layer thicknesses of a needle-optimized 50 period (50 Si layers) Mo—Ru—Sr/Si stack. Rh is included only in the lower half of the stack and predominantly in the first 40 layers. In the lowest layers Rh is preferred over Ru because of its higher optical contrast with Si, in spite of its higher extinction coefficient.
  • Sr and Y are less easily depositable owing to the complex chemistry of Y and the high reactivity of Sr, so are less preferred, but still show advantages over the conventional stack.
  • Mo—Ru—Zr/Si and Mo—Ru—RbCl/Si show particular promise, as do the same layers in the order Ru—Mo—Zr/Si and Ru—Mo—RbCl/Si.
  • Table 3 corresponds to Table 2 but gives data for examples 25 to 40 according to the invention which are reflector stacks tuned for use at 11.3 nm.
  • Ru and Rh are preferred to Mo for the 11.3 nm window.
  • the Ru/Be stack has a relative optical throughput greater by up to 70% compared to the Mo/Be reference example, whilst the throughput of the Rh/Be stack is 33% greater. Although this is significantly lower than for Ru/Be, this combination may be preferable in some applications of the invention due to factors such as Rh—Be interface chemistry.
  • a particularly preferred embodiment of the invention is the “needle” optimized Rh/Be stack which exhibits a huge increase in reflectivity. This is due to the incorporation of Pd, Ru and Mo layers during the optimization process effectively transforming it into a Rh—Ru—Pd—Mo/Be or Pd—Rh—Ru—Mo/Be multi-component stack.
  • FIG. 8 Selected spectra of Be-based multilayers are shown in FIG. 8 .
  • This Figure shows plots of R 9 vs. wavelength in the 11.3 nm region for five stacks.
  • A is the reference Mo/Be stack
  • B is an optimised Mo/Be stack with a Ru capping layer
  • C is an optimised Ru/Be stack
  • D is a needle optimised Rh/Be stack
  • E is an optimised, Ru-capped Ru—Sr/Be stack.
  • Examples 35 to 40 are strontium-containing three component systems which yield throughput enhancements of up to a factor of 2.
  • Rh and Ru are optimum for this wavelength region and give an increase of 0.7-1.0% in R.
  • Rh(Ru) predominating over Mo near the substrate and vice-versa near the surface This may be because at 13.4 nm Rh and Ru exhibit a higher optical contrast with Si than does Mo whereas the extinction coefficient k, and therefore the absorption within the layer, is lower for Mo than Rh and Ru.
  • Near the surface of the stack it is important that there be low absorption so that the incident radiation penetrates as deep into the stack as possible so that the phasor addition is maximized. However deep within the stack where the intensity is low, increased optical contrast is favored for the reflected intensity to be maximized.
  • Examples 41 to 44 are designed for use with a Xenon-jet laser-induced plasma source (Xe-Jet LPS) which has a peak output intensity at about 10.9 nm, somewhat lower than the range for which the reflectors described above were designed.
  • Xe-Jet LPS Xenon-jet laser-induced plasma source
  • FIG. 9 shows the R 9 reflectivities (left axis) of various reflectors and the relative Xe-Jet LPS emission intensity (right axis) vs. wavelength in nm (X axis).
  • FIG. 9 shows the R 9 reflectivities (left axis) of various reflectors and the relative Xe-Jet LPS emission intensity (right axis) vs. wavelength in nm (X axis).
  • examples 41 to 43 have lower R 9 peak and R 9 int than other examples described above, they have the advantage of providing their peak reflectivity very close to the emission maximum of the Xe-Jet LPS. They are thus ideal for use with this source.
  • examples 41(g), 42(h) and 43(i) provide relative throughputs of 3.0, 5.7, and 6.5 respectively. This also compares well with the throughput of the Mo/Be stack (d), which is 5.7 and avoids the use of Be, which is highly toxic.
  • FIG. 10 shows the full wavelength dependence of R (left axis) and R 9 (right axis) of example 44 in the 10 to 12 nm range.
  • FIG. 11 shows layer thicknesses in this stack.
  • Example 45 is a three layer stack of Ru—Nb/Si, which demonstrates that Niobium can also give improvements in an Si-based stack, but is otherwise the same as the examples 8 to 12 of Table 2.
  • multilayers For use at 12.8 nm, different multilayers may be optimal. Two such multilayers are example 47 and 48 of Table 4. At 46, the R value of a conventional Mo/Si (equivalent to Comparative Example 1) at 12.8 nm is given. It can readily be seen that the addition of Ru partially replacing Mo improves reflectivity at this frequency while the use of beryllium as a spacer material partially replacing silicon provides further improvements.
  • the lanthanides may provide good optical contrast with metals such as Mo, Ru and Rh and may be preferred in reflectors nearer the substrate. In this position, optical contrast is provided because the lanthanides have a refractive index n very close to unity which out-weighs the disadvantage that their values of extinction coefficient k are not as low as some other materials in the 9-16 nm region. Lanthanum is particularly preferred at or near 13 nm.
  • porous materials such as low density (porous) silica (aerogel) having a density about 1 tenth that of bulk silica.
  • FIG. 12 shows the wavelength sensitivity of a Rh—Ru/SiO 2 -aero stack using such porous silica. Its relatively broad reflectance peak below 11 nm will be noted.
  • Other low density materials include: titania and alumina aerogels; nano-porous silicon, meso-porous silicon, nanoclusters of silicon and other semiconductors. These materials may be used to manufacture reflectors tuned to specific wavelengths throughout the 8 to 20 nm wavelength range. The materials are useful because the values on n and k are density dependent.
  • n With decreasing density the refractive index, n, tends to unity and the extinction coefficient, k, tends to zero.
  • the density of a typical Si aerogel is 0.2 gcm ⁇ 3 whilst that of porous Si is 1.63 gcm ⁇ 3 .
  • 49 is a comparative example consisting of an optimized (for 13.4 nm) 50 period Mo/Si stack whose outermost layer is 2 nm of SiO 2 formed by natural oxidation of the final Si layer in the stack.
  • This comparative example forms the reference for relative values of R 9 peak and R 9 int for Examples 50 to 57 of the invention.
  • These examples differ from comparative example 49 only in the indicated capping layer, which is deposited on final Si layer of the stack before that layer can oxidized.
  • each of palladium (Pd), boron carbide (B 4 C), boron nitride (BN), silicon carbide (SiC), silicon nitride (Si 3 N 4 ) and diamond-like carbon (dl-C) exhibit improved reflectance, or an acceptable reduction, whilst exhibiting a high degree of resistance to chamical attack.
  • 58 is a comparative example consisting of an 80 period optimized (for 11.3 nm) Mo/Be stack, similarly with an outermost layer of 2 nm BeO formed by natural oxidation of the final Be layer.
  • This comparative example forms the reference for the relative values of R 9 peak and R 9 int for Examples 59 to 65 of the invention.
  • Examples 59 to 65 differ from comparative example 58 in the indicated capping layer which is deposited before the outer Be layer can oxidized. It will again be seen that the layers specified provide improved reflectivity, or an acceptable reduction, whilst exhibiting a high degree of resistance to chemical attack.
  • the capping layer includes a modified final layer of the multilayer coating as well as a dedicated capping sublayer so as to form a bi- or tri-layer protective structure thus increasing the overall thickness of the top layers and reducing the likelihood of incomplete coverage through multiple layer deposition. This is illustrated in FIG. 13 .
  • the reflector of examples 66 to 76 of the invention comprises substrate 10 on which are deposited N periods of alternating layers of a first material 11 and a second material 12 .
  • the final, N th period comprises a layer 15 of the first material, a layer 16 of a third material and a capping sub-layer 17 of a capping material.
  • the first material is denoted X, the second material Y and the third material Z.
  • the first material X is one or more of: Mo, Ru, Rh, Nb, Pd, Y and Zr
  • the second material Y is one or more of: Be, Si, Sr, Rb, RbCl and P.
  • the final period is constructed such that the substance X is chosen as previously, the third material Z on the other hand, is chosen from a set of materials with a moderately high value of refractive index n (>0.96), sufficiently low value of the extinction coefficient k ( ⁇ 0.01), and which are known for their chemical inertness and stability.
  • the following materials are suitable: B 4 C, BN, diamond-like C, Si 3 N 4 and SiC.
  • the reflectivity loss through absorption in layer 16 may be tolerated in favour of long-term chemical and structural integrity of the multilayer.
  • the material of the capping layer 17 has low n such that a large optical contrast is maintained between layers 16 and 17 .
  • the boundary between layers 16 and 17 also serves to localise the node of the standing wave formed through the superposition of the incident and reflected waves. Suitable materials for capping layer 17 in this configuration are: Ru, Rh, Pd and diamond-like C.
  • Table 7 shows layer materials and thicknesses for Examples 66 to 71 which comprise 79 periods of Mo/Be plus the additional period X/Z constructed as described above. These examples are intended for use at 11.3 nm.
  • example 66 the whole of the Be layer is oxidized and a Ru capping layer is deposited. This is the reference example.
  • Example 67 shows that SiC is not ideal for the 11.3 nm region.
  • Examples 70 and 71 show clearly that values of R greater than 75.5% are still possible with such a configuration.
  • Rh is used to replace the Mo layer on account of its inertness and C or B 4 C is deposited as layer 16 with an additional coating of Ru as layer 17 . This gives a tri-layer of thickness of 7.7 nm forming the protective coating structure.
  • Examples 68 and 69 are analogous to 70 and 71 respectively, with the important distinction that the thickness of the layer 17 is increased by 2QW resulting in lower, but still respectable, reflectivity values and with a substantially higher tri-layer thickness of 1
  • Table 8 shows layer materials and thicknesses for Examples 72 to 76 which comprise 49 periods of Mo/Si with the additional period formed by the X/Z combination again terminated with a Ru capping layer.
  • the reference example 72 represents a fully oxidised top Si layer upon which a Ru capping layer is applied.
  • SiC and B 4 C are the most favorable materials for the Z layer 16 .
  • Mo cannot be replaced by the more inert metal Rh, therefore a bi-layer protective structure is formed where the combined thickness of layers 16 and 17 (d z +d CL ) is about 5.5-6.0 nm.
  • the thickness of the SiC layer is increased by 2QW resulting in a 12.6 nm protective bi-layer thickness at the expense of reflectivity.
  • capping layer Other suitable materials for the capping layer are Au, MgF 2 , LiF, C2F 4 (teflon) and TiN

Abstract

Optical elements such as multilayered EUV mirrors are provided with protective capping layers of diamond-like carbon (C), boron nitride (BN), boron carbide (B4C), silicon nitride (Si3N4), silicon carbide (SiC), B, Pd, Ru, Rh, Au, MgF2, LiF, C2F4 and TiN and compounds and alloys thereof. The final period of a multilayer coating may also be modified to provide improved protective characteristics.

Description

BACKGROUND OF THE INVENTION
Field of the Invention
The present invention relates to capping layers for optical elements, e.g. multilayer mirrors, for use with extreme ultraviolet (EUV) radiation. More particularly, the invention relates to the use of capping layers on optical elements in lithographic projection apparatus comprising:
    • an illumination system for supplying a projection beam of radiation;
    • a first object table provided with a mask holder for holding a mask;
    • a second object table provided with a substrate holder for holding a substrate; and
    • a projection system for imaging an irradiated portion of the mask onto a target portion of the substrate.
For the sake of simplicity, the projection system may hereinafter be referred to as the “lens”; however, this term should be broadly interpreted as encompassing various types of projection system, including refractive optics, reflective optics, catadioptric systems, and charged particle optics, for example. The illumination system may also include elements operating according to any of these principles for directing, shaping or controlling the projection beam, and such elements may also be referred to below, collectively or singularly, as a “lens”. In addition, the first and second object tables may be referred to as the “mask-table” and the “substrate table”, respectively.
In the present document, the invention is described using a reference system of orthogonal X, Y and Z directions and rotation about an axis parallel to the I direction is denoted Ri. Further, unless the context otherwise requires, the term “vertical” (Z) used herein is intended to refer to the direction normal to the substrate or mask surface or parallel to the optical axis of an optical system, rather than implying any particular orientation of the apparatus. Similarly, the term “horizontal” refers to a direction parallel to the substrate or mask surface or perpendicular to the optical axis, and thus normal to the “vertical” direction.
Lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In such a case, the mask (reticle) may contain a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto an exposure area (die) on a substrate (silicon wafer) which has been coated with a layer of photosensitive material (resist). In general, a single wafer will contain a whole network of adjacent dies which are successively irradiated via the reticle, one at a time. In one type of lithographic projection apparatus, each die is irradiated by exposing the entire a reticle pattern onto the die at ones; such an apparatus is commonly referred to as a wafer stepper. In an alternative apparatus—which is commonly referred to as a step-and-scan apparatus—each die is irradiated by progressively scanning the reticle pattern under the projection beam in a given reference direction (the “scanning” direction) while synchronously scanning the wafer table parallel or anti-parallel to this direction; since, in general, the projection system will have a magnification factor M generally <1), the speed V at which the wafer table is scanned will be a factor M times that at which the reticle table is scanned. More information with regard to lithographic devices as here described can be gleaned from International Patent Application WO97/33205, for example.
Until very recently, lithographic apparatus contained a single mask table and a single substrate table. However, machines are now becoming available in which there are at least two independently moveable substrate tables; see, for example, the multi-stage apparatus described in International Patent Applications WO98/28665 and WO98/40791. The basic operating principle behind such multi-stage apparatus is that, while a first substrate table is at the exposure position underneath the projection system for exposure of a first substrate located on that table, a second substrate table can run to a loading position, discharge a previously exposed substrate, pick up a new substrate, perform some initial measurements on the new substrate and then stand ready to transfer the new substrate to the exposure position underneath the projection system as soon as exposure of the first substrate is completed; the cycle then repeats. In this manner it is possible to increase substantially the machine throughput, which in improves the cost of ownership of the machine. It should be understood that the same principle could be used with just one substrate table which is moved between exposure and measurement positions.
In a lithographic apparatus the size of features that can be imaged onto the wafer is limited by the wavelength of the projection radiation. To produce integrated circuits with a higher density of devices, and hence higher operating speeds, it is desirable to be able to image smaller features. While most current lithographic projection apparatus employ ultraviolet light generated by mercury lamps or excimer lasers, it has been proposed to use shorter wavelength radiation of around 13 nm. Such radiation is termed extreme ultraviolet (EUV) or soft x-ray and possible sources include laser plasma sources or synchrotron radiation from electron storage rings. An outline design of a lithographic projection apparatus using synchrotron radiation is described in “Synchrotron radiation sources and condensers for projection x-ray lithography”, J B Murphy et al, Applied Optics Vol. 32 No. 24 pp 6920-6929 (1993).
Optical elements for use in the EUV spectral region, e.g. multilayered thin film reflectors, are especialy sensitive to physical and chemical damage which can significantly reduce their reflectivity and optical quality. Reflectivities at these wavelengths are already low compared to reflectors at longer wavelengths which is a particular problem since a typical EUV lithographic system may have nine mirrors; two in the illumination optics, six in the imaging optics plus the reflecting reticle. It is therefore evident that even a “small” decrease of 1-2% in the peak reflectivity of a single mirror will cause a significant light throughput reduction in the optical system.
A further problem is that some sources of EUV radiation, e.g. plasma based sources, are “dirty” in that they also emit significant quantities of fast ions and other particles which can damage otical elements in the illumination system.
Proposals to reduce these problems have involved maintaining the optical systems at very high vacuum, with particularly stringent requirements on the partial pressures of hydrocarbons which may be adsorbed onto the optical elements and then cracked by the EUV radiation to leave opaque carbon films.
SUMMARY OF THE INVENTION
It is an object of the present invention to provide optical elements, including multilayer mirrors, for use in lithographic projection apparatus using extreme ultraviolet radiation (EUV) for the projection beam, that are more resistant to chemical and physical attack.
According to the present invention, this and other objects are achieved in a lithographic projection apparatus comprising:
    • an illumination system for supplying a projection beam of radiation;
    • a first object table provided with a mask holder for holding a mask;
    • a second object table provided with a substrate holder for holding a substrate; and
    • a projection system for imaging an irradiated portion of the mask onto a target portion of the substrate; characterised by:
      • at least one optical element having a surface on which radiation of the same wavelength as the wavelength of said projection beam is incident and a capping layer covering said surface, said capping layer being formed of a relatively inert material.
The optical element may be a beam modifying element such as a reflector, e.g. a multilayer near-normal incidence mirror or a grazing incidence mirror, included in one of the illumination and projection systems: an integrator, such as a scattering plate: the mask itself, especially if a multilayer mask; or any other optical element involved in directing, focussing, shaping, controlling, etc. the projection beam. The optical element may also be a sensor such as an image sensor or a spot sensor;
The relatively inert material in particular should be resistant to oxidation and may be selected from the group comprising: diamond-like carbon (C), boron nitride (BN), boron carbide (B4C), silicon nitride (Si3N4), silicon carbide (SiC), B, Pd, Ru, Rh, Au, MgF2, LiF, C2F4 and TiN and compounds and alloys thereof.
The capping layer should have a sufficient thickness to protect the underlying optical element from attack, so that the capping layer is effecively “chemically opaque”, yet not be too thick so as to absorb too much of the incident radiation. To these ends, the capping layer may have a thickness in the range of from 0.5 to 10 nm, preferably from 0.5 to 6 nm and most preferably from 0.5 to 3 nm.
The capping layer may itself have a multi-layer structure, e.g. of two layers, with the outermost layer chosen both for improved chemical resistance and low refractive index at the wavelength of the projection beam to improve reflectivity or transmissivity.
A second aspect of the invention provides a device manufacturing method using a lithographic apparatus comprising
    • an illumination system for supplying a projection beam of radiation;
    • a first object table provided with a first object holder for holding a mask;
    • a second object table provided with a second object holder for holding a substrate; and
      a projection system for imaging an irradiated portion of the mask onto a target portion of the substrate; said method comprising the steps of:
    • providing a mask containing a pattern to said first object table;
    • providing a substrate at least partially covered by a layer of energy-sensitive material to said second object table;
    • irradiating said mask and imaging irradiated portions of said pattern onto said substrate; characterised in that:
      • said lithographic projection apparatus comprises at least one optical element having a surface on which radiation of the same wavelength as the wavelength of said projection beam is incident and a capping layer covering said surface, said capping layer being formed of a relatively inert material.
In a manufacturing process using a lithographic projection apparatus according to the invention a pattern in a mask is imaged onto a substrate which is at least partially covered by a layer of energy-sensitive material (resist). Prior to this imaging step, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake WEB), development, a hard bake and measurement/inspection of the imaged features. This array of procedures is used as a basis to pattern an individual layer of a device, e.g. an IC. Such a patterned layer may then undergo various processes such as etching, ion-implantation (doping) metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off an individual layer. If several layers are required, then the whole procedure, or a variant thereof, will have to be repeated for each new layer. Eventually, an array of devices will be present on the substrate (wafer). These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc. Further information regarding such processes can be obtained, for example, from the book “Microchip Fabrication: A Practical Guide to Semiconductor Processing”, Third Edition, by Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-0672504.
Although specific reference may be made in this text to the use of the apparatus according to the invention in the manufacture of ICs, it should be explicitly understood that such an apparatus has many other possible applications. For example, it may be employed in the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal display panels, thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “reticle”, “wafer” or “die” in this text should be considered as being replaced by the more general terms “mask”, “substrate” and “target area”, respectively.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention and its attendant advantages will be described below with reference to exemplary embodiments and the accompanying schematic drawings, in which:
FIG. 1 depicts a lithographic projection apparatus according to the invention;
FIG. 2 is a graph of layer thicknesses in a 51 period optimised Mo/Si stack according to the invention;
FIG. 3 is a graph of layer thicknesses in a 50 period Mo—Ru/Si stack according to the invention;
FIG. 4 is a graph of R9 vs. wavelength in the 13.4 nm region for various mirrors embodying the invention and a conventional mirror for comparison;
FIG. 5 is a graph of layer thicknesses in a 50 period Mo—Ru—Sr/Si stack according to the invention;
FIG. 6 is a graph of layer thicknesses in a needle optimised 50 period Mo—Ru—Sr/Si stack according to the invention;
FIG. 7 is a graph of layer thicknesses in an 80 period Ru—Sr/Be stack according to the invention;
FIG. 8 is a graph of R9 vs. wavelength in the 11.3 nm region for various mirrors embodying the invention and a conventional mirror for comparison;
FIG. 9. Is a graph showing R9 vs. wavelength for various stacks, both conventional and according to the invention as well as the emission intensity of a Xe-jet laser-induced plasma source;
FIG. 10 is a graph showing R and R9 vs. wavelength for a Rh—Ru/Sr—Ce stack according to the invention;
FIG. 11 is a graph of layer thicknesses in an optimised Rh—Ru/Sr—Ce stack according to the invention;
FIG. 12 is a graph showing R versus wavelength for a Rh—Ru/SiO2-aero stack according to the invention; and
FIG. 13 is a diagram of a multilayer coating having a capping layer according to the invention.
In the various drawings, like parts are indicated by like references.
DETAILED DESCRIPTION OF THE EMBODIMENTS
Embodiment 1
FIG. 1 schematically depicts a lithographic projection apparatus according to the invention. The apparatus comprises:
    • a radiation system LA, IL for supplying a projection beam PB of EUV radiation;
    • a first object table (mask table) MT provided with a mask holder for holding a mask MA (e.g. a reticle), and connected to first positioning means PM for accurately positioning the mask with respect to item PL;
    • a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g. a resist-coated silicon wafer), and connected to second positioning means PW for accurately positioning the substrate with respect to item PL;
    • a projection system (“lens”) PL (e.g. a refractive or catadioptric system or a reflective system) for imaging an irradiated portion of the mask MA onto a target portion C (die) of the substrate W.
The radiation system comprises a source LA (e.g. an undulator or wiggler provided around the path of an electron beam in a storage ring or synchrotron or a laser-induced plasma source) which produces a beam of radiation. This beam is passed along various optical components included in illumination system (“lens”) IL so that the resultant beam PB is collected in such a way as to give uniform illumination at the entrance pupil and the mask.
The beam PB subsequently impinges upon the mask MA which is held in a mask holder on a mask table MT. Having been selectively reflected by the mask MA, the beam PB passes through the lens PL, which focuses the beam PB onto a target area C of the substrate W. With the aid of first positioning means PW and the interferometric displacement measuring means IF, the substrate table WT can be moved accurately, e.g. so as to position different target areas C in the path of the beam PB. Similarly, the positioning means PM can be used to accurately position the mask MA with respect to the path of the beam PB, e.g. after mechanical retrieval of the mask MA from a mask library. The references M1, M2 correspond to reticle alignment marks and the references P1 and P2 correspond to wafer alignment marks. In general, movement of the object tables MT, WT will be realized with the aid of a long stroke module (coarse positioning) and a short stroke module (fine positioning), which are not explicitly depicted in FIG. 1.
The depicted apparatus can be used in two different modes:
    • In step mode, the mask table MT is kept essentially stationary, and an entire mask image is projected at ones (i.e. a single “flash”) onto a target area C. The substrate table WT is then shifted in the x and/or y directions so that a different target area C can be irradiated by the beam PB;
    • In scan mode, essentially the same scenario applies, except that a given target area C is not exposed in a single “flash”. Instead, the mask table MT is movable in a given direction (the so-called “scan direction”, e.g. the x direction) with a speed v, so that the projection beam PB is caused to scan over a mask image; concurrently, the substrate table WT is simultaneously moved in the same or opposite direction at a speed V=Mv, in which M is the magnification of the lens PL (typically, M=¼ or ⅕). In this manner, a relatively large target area C can be exposed, without having to compromise on resolution.
The illumination system IL may be constructed as described in copending European Patent Application 00300784.6 (applicant's ref P-0129), which is hereby incorporated by reference.
EXAMPLES
The examples of the invention described below are obtained from computations performed using the thin film design program TFCalc (Software Spectra Inc.) and verified using LPro (4D Technology Ltd.). The built-in global and needle optimisation routines of TFCalc were used for the optimisation process, as described in A. V. Tikhonravov, Appl. Opt. 32, 5417 (1993), A. V. Tikhonravov, M. K. Trubetskov and G M. DeBell, Appl. Opt. 35, 5493 (1996) and J. A. Dobrowski and R. A. Kemp, Appl. Opt. 29, 2876 (1990), which references are incorporated herein by reference. The optical constants of the various materials, namely the complex refractive index N=n−ik are derived from atomic scattering factors by Henke et. al. and were obtained from the CXRO web server at Berkeley (B. L. Henke, E. M. Gullikson, and J. C. Davis, Atomic Data and Nuclear Data Tables, 54(2), 181-342 (1993); http://www.cxro.lbl.gov/optical_constants/). The values of n and k for the materials used were downloaded as functions of wavelength from 6 nm to 42 nm and as such the wavelength dependence of n and k is implicit in all calculations. The values of n and k for various materials at some wavelengths of particular interest are tabulated in Table 1 below. To demonstrate the performance enhancement of the reflectors according to the invention, we assume ideal “white” light illumination in the examples below.
COMPARATIVE EXAMPLE 1
Comparative Example 1 is a standard Si-based multilayer stack comprising an unoptimised 50-period Mo/Si system grown on a Zerodur (RTM) glass substrate, with a partition ratio Γ=0.4, yielding dMo=2.8 nm and dSi=4.1 nm. In addition, it is assumed that the final Si layer will undergo oxidation and effectively form a 2 nm layer of native oxide. Analysis of such a stack yields a peak reflectivity at 13.4 nm of R=0.731. This stack provides the reference for performance comparisons of stacks according to the invention.
EXAMPLES 2 to 23
Examples 2 to 23 according to the invention consist of variations on the stack of reference example 1 as detailed in Table 2 below. In Table 2, column 2 gives the materials used in the layers of the stack; column 3 gives the optimization applied: N indicates none, Y indicates global optimization and Y(n) indicates needle optimization (described further below); column 4 gives the capping layer applied; column 5 gives the peak reflectivity R; column 6 gives the R9peak reflectivity in relative units and column 7 gives the R9int (integrated) reflectivity in relative units.
For a 9-reflector system, a more useful measure of optical throughput is the value of R9, which the net reflectivity of a series of nine reflectors. R9int is the area under the curve in the R9 vs. λ (wavelength) spectrum. The variation between R9peak and R9int for a given stack is an indication of the variation in the spectral half-width which is a function of the optimization process, or the incorporated materials, or the capping layer material, or any combination of the three.
The final surface layer of all of examples 2 to 20 is a 4.1-4.5 nm Si layer on which the capping layer specified in column 4 is deposited, or grown in the case of SiO. Growing the SiO2 consumes the surface Si layer so that in the case of Example 2 the top two layers are 2 nm of Si, the remains of the approximately 4 nm Si layer prior to oxidation and which may be regarded as the final layer of the multilayer, and 2 nm SiO2. Examples 21 to 23 are terminated with a 4.0 to 4.4 nm Rb layer upon which the capping layer specified in column 4 is deposited.
Example 2 is an unoptimized Mo/Si stack in which a 2 nm native oxide is allowed to grow on a 6 nm Si top layer (compared to the 4 nm top layer of comparative example 1), resulting in a 1% increase in R, a 13% increase in R9peak and a 7% increase in R9int.
In example 3, a 25% gain in R9int is achieved by deposition of a 2 nm B capping layer. Further increases in examples 4 to 7 follow by selecting Rh or Ru as capping layers and optimising the stack. A gain of up to 36% for a two-component (Mo/Si) multilayer stack can be achieved by optimization, as shown by example 7.
FIG. 2 shows the layer structure of a 51 period (102 layer) optimized Mo/Si stack with a 1.5 nm capping layer. In the Figure, layer 0 is the substrate surface. As can be seen, the optimisation of the Mo/Si stack results in a gradual, smooth variation of the layer thicknesses through the stack while the period width remains nominally constant at about 6.8 to 7.0 nm. Near the substrate, dMo≈dSt≈3.5 nm varying to dMo=2.7 nm and dSt≈4.2nm near the surface. In the stack illustrated in FIG. 2 the partition ratio Γ remains at about 0.4 for the first 20 periods from the surface (one period=one pair of layers, i.e. one Mo layer and one Si layer) and thereafter gradually changes to about 0.5 at the substrate. Thus it appears that the higher the absorption in the material, the lower the thickness near the surface, for an optimum reflectivity response. This phenomenon is discussed further below.
The three component system of examples 8 to 12 is set up initially as a two-component Mo/Si stack with the third material interleaved between the Mo and Si layers with its initial thickness set to zero. The global optimization process then varies the thicknesses of all the layers until a pre-set reflectivity target is approached. In the case of Mo—Rh/Si and Mo—Ru/Si, Mo is favored near the surface and Rh or Ru near the substrate whereas, in the Mo—RbCl/Si system, RbCl (which is a single entity) partially substitutes for Si in the centre of the stack, i.e. the sum of the thicknesses of the adjacent RbCl and Si layers approaches the thickness of Si in a standard stack. The layer structure for the Mo—Ru/Si stack is shown in FIG. 3. This stack has 50 Si layers, including the uppermost layer, and therefore has 148 layers in total, plus a 1.5 nm Ru capping layer. In the figure, layer 0 is the substrate surface. A 50% gain in computed throughput is observed for the Mo—Ru/Si system over the standard Mo/Si stack.
Example 12 shows a further improvement in R9int for the Mo—Ru/Si system using needle optimization. In the needle optimization routine, additional layers of designated materials, in this case, Mo, Ru and Rh, with vanishingly small thicknesses, are periodically added to the stack. These layers are then allowed to grow or be rejected by a local optimization process. The needle-optimized stack therefore also contains Rh and additional layers of Mo, the net result of which is a 59% increase in R9int compared to the standard stack. It is also worth noting that in this case R9int>R9peak with the peak reflectivity of 0.764 only marginally lower than for the standard optimized Mo—Ru/Si stack. This indicates that a substantially greater spectral half-width results from the needle optimization process as can be seen in FIG. 4, which is a graph showing R9 vs. wavelength in the 13.4 nm region. Line A is for the standard Mo/Si stack, reference example 1; B is optimized Mo/Si, example 4; C is Mo—Ru/Si needle optimized, example 12; D is Mo—Ru—Sr/Si needle optimized, example 19, and E is Mo/Rb optimized, example 22.
The order of layers in the three component stacks may be varied. For example, Rh—Mo/Si may be used instead of Mo—Rh/Si and Ru—Mo/Si instead of Mo—Ru/Si
The four-component stacks, examples 13 to 20, were built in a similar manner to the three component stacks described above. The most favourable combination is Mo—Ru—Sr/Si with up to an 88% relative increase in output intensity. FIG. 5 shows the layer thicknesses (nm) of a 50 period Mo—Ru—Sr/Si stack with a Ru capping layer. As before, layer 0 indicates the substrate surface. Again, within the first 50 layers from the substrate Ru predominates over Mo. The spikes in the Mo layer thickness profile indicate layers where the Ru layer has been wholly replaced by Mo as suggested by the numerical optimization technique. This is not essential to the gain in R9int and the relevant Mo layers can be replaced by pairs of Mo and Ru layers. Sr performs a similar function to Si in the stack as it has a high value of n and a low extinction coefficient, k, (see Table 1). The low absorption within the Sr layers makes it preferable in the top half of the stack. As with the Mo—Ru/Si example discussed above, the sums of the thicknesses of Si and Sr and Ru and Mo approximate respectively to the optimised Si and Mo thicknesses shown in FIG. 2. The preferred order of the elements is: Ru—Mo—Sr—Si. The grouping of layers may also be varied, e.g. Ru—Mo—Sr/Si may be regarded as Ru—Mo/Sr—Si for calculation purposes.
FIG. 6 shows the layer thicknesses of a needle-optimized 50 period (50 Si layers) Mo—Ru—Sr/Si stack. Rh is included only in the lower half of the stack and predominantly in the first 40 layers. In the lowest layers Rh is preferred over Ru because of its higher optical contrast with Si, in spite of its higher extinction coefficient.
Sr and Y are less easily depositable owing to the complex chemistry of Y and the high reactivity of Sr, so are less preferred, but still show advantages over the conventional stack. Mo—Ru—Zr/Si and Mo—Ru—RbCl/Si show particular promise, as do the same layers in the order Ru—Mo—Zr/Si and Ru—Mo—RbCl/Si.
A comparison of the optical constants of Rb and Si (Table 1) indicates that Rb is in principle a more optimal material as a spacer layer. With a value of n at 13.4 nm similar to that of Si (close to unity), Rb would maintain the optical contrast with e.g. Mo and Ru. In addition, the lower value of the extinction coefficient k compared to that of Si, makes Rb a near optimal spacer material. This is borne out by examples 21 to 23 as can be seen from Table 2. An increase in the peak reflectivity of 5% is found for the Mo/Rb stack as compared to the equivalent Mo/Si stack yielding a value of R9int which is more than a factor 2 higher than the standard Mo/Si stack. However, Rb-based systems present constructional and operational difficulties due to the high reactivity and extremely low melting point (39° C.) of Rb.
REFERENCE EXAMPLE 24
Reference example 24 is a multilayer stack for use at 11.3 nm comprising an unoptimised 80-period Mo/Be system grown on a Zerodur (RTM) glass substrate, with a partition ratio Γ=0.4 yielding dMo=2.3 nm and dBE=3.4 nm. This provides the reference for examples 25 to 40 which are tuned for use at 11.3 nm.
EXAMPLES 25 to 40
Table 3 corresponds to Table 2 but gives data for examples 25 to 40 according to the invention which are reflector stacks tuned for use at 11.3 nm.
The effects of optimization and the capping layer deposition are less important at 11.3 nm than at 13.4 nm, only 8% improvement in R9int is provided.
However, Ru and Rh are preferred to Mo for the 11.3 nm window. The Ru/Be stack has a relative optical throughput greater by up to 70% compared to the Mo/Be reference example, whilst the throughput of the Rh/Be stack is 33% greater. Although this is significantly lower than for Ru/Be, this combination may be preferable in some applications of the invention due to factors such as Rh—Be interface chemistry.
A particularly preferred embodiment of the invention is the “needle” optimized Rh/Be stack which exhibits a huge increase in reflectivity. This is due to the incorporation of Pd, Ru and Mo layers during the optimization process effectively transforming it into a Rh—Ru—Pd—Mo/Be or Pd—Rh—Ru—Mo/Be multi-component stack.
The layer thicknesses of an 80 period (80 Be layers) Ru—Sr/Be stack capped with a 1.5 nm Ru layer are shown in FIG. 7. Similar results may be achieved with Ru/Sr—Be. As before, the substrate surface is indicated at layer 0. Due to their similar optical constants, Be and Sr perform similar functions in the stack with Ru predominating near the substrate. The sum of the Be and Sr thicknesses near the surface is about 4.1 nm whilst the Ru thickness is about 1.7 nm. These are markedly different than the thicknesses of the Mo/Be stack with Γ=0.4. This is because of the higher extinction coefficient of Ru, as compared to Mo, such that a lower Ru thickness is preferred. The gain in employing Ru in place of Mo derives from the resultant increase in optical contrast with Be. The preferred stack period is: Ru—Sr—Be.
Selected spectra of Be-based multilayers are shown in FIG. 8. This Figure shows plots of R9 vs. wavelength in the 11.3 nm region for five stacks. A is the reference Mo/Be stack, B is an optimised Mo/Be stack with a Ru capping layer, C is an optimised Ru/Be stack, D is a needle optimised Rh/Be stack and E is an optimised, Ru-capped Ru—Sr/Be stack.
Examples 35 to 40 are strontium-containing three component systems which yield throughput enhancements of up to a factor of 2.
As capping layers, Rh and Ru are optimum for this wavelength region and give an increase of 0.7-1.0% in R.
EXAMPLES 41 to 44
From the above computational analysis of the various multilayer systems for the EUV region between 11 nm and 14 nm it would appear that significant enhancements in peak reflectivities and the integrated reflectivities for a 9-mirror optical system are possible. A combination of capping layer choice, global and needle optimisation routines and, most importantly, the incorporation of additional or replacement materials within the stack appears to be the recipe for reflectivity enhancement. Metals such as Rh and Ru which are generally easily deposited using various vacuum deposition techniques provide advantages, especially in conjunction with Be for the 11.3 nm region where they surpass Mo in theoretical performance. Furthermore, it is conceivable that using the various combinations discussed above, problems of interface roughness associated with Mo/Si(Be) may be alleviated somewhat.
In for instance the Mo—Rh/Si and Mo—Ru/Si stacks, improved results are provided with Rh(Ru) predominating over Mo near the substrate and vice-versa near the surface. This may be because at 13.4 nm Rh and Ru exhibit a higher optical contrast with Si than does Mo whereas the extinction coefficient k, and therefore the absorption within the layer, is lower for Mo than Rh and Ru. Near the surface of the stack, it is important that there be low absorption so that the incident radiation penetrates as deep into the stack as possible so that the phasor addition is maximized. However deep within the stack where the intensity is low, increased optical contrast is favored for the reflected intensity to be maximized.
When Sr is incorporated in the structure it is preferentially located in the near-surface region of the stack and partially substitutes Si. This can be explained by similar arguments, the value of n for Sr is lower than that of Si and therefore while the optical contrast with the low-n materials is slightly lowered, the lower value of k for Sr compared with Si (see Table 1) means that the absorption within the layer is lower thus favoring Sr near the surface of the stack. The data obtained for Be-based stacks for 11.3 nm operation indicates that similar effects occur.
Examples 41 to 44 are designed for use with a Xenon-jet laser-induced plasma source (Xe-Jet LPS) which has a peak output intensity at about 10.9 nm, somewhat lower than the range for which the reflectors described above were designed.
FIG. 9 shows the R9 reflectivities (left axis) of various reflectors and the relative Xe-Jet LPS emission intensity (right axis) vs. wavelength in nm (X axis). In FIG. 9:
    • (a) is the spectral response of the conventional unoptimized Mo/Si stack and is used as the reference for relative reflectivity figures.
    • (b) is an optimized Mo/Si stack similar to example 7 above;
    • (c) is an optimized Rh—Ru—Mo/Sr—Si stack;
    • (d) is a conventional, unoptimized, Mo/Be stack similar to comparative example 24 above;
    • (e) is an optimized Rh—Mo/Be stock similar to example 40 above;
    • (f) is an optimized Pd—Rh—Ru—Mo/Be stack;
    • (g) is an optimized Pd—Rh—Ru/RbCl stack forming example 41 of the invention;
    • (h) is an optimized Rh—Ru/P stack forming example 42 of the invention; and
    • (i) is an optimized Rh—Ru/Sr stack forming example 43 of the invention.
Although examples 41 to 43 have lower R9 peak and R9int than other examples described above, they have the advantage of providing their peak reflectivity very close to the emission maximum of the Xe-Jet LPS. They are thus ideal for use with this source. Taking the throughput of the unoptimised Mo/Si stack as 1.0, examples 41(g), 42(h) and 43(i) provide relative throughputs of 3.0, 5.7, and 6.5 respectively. This also compares well with the throughput of the Mo/Be stack (d), which is 5.7 and avoids the use of Be, which is highly toxic.
Further improvements in peak reflectivity, giving values greater than 0.75 in the 9.0 to 12 nm region can be attained in four component stacks that combine P and Sr, e.g. Rh—Ru/P—Sr.
A further advance is shown by example 44. Example 44 is a needle optimized Rh—Ru/Sr—Ce stack with a peak reflectivity of R=0.776 at 10.9 nm. FIG. 10 shows the full wavelength dependence of R (left axis) and R9 (right axis) of example 44 in the 10 to 12 nm range. FIG. 11 shows layer thicknesses in this stack.
EXAMPLES 45 to 48
Some further alternative stack configurations are shown in Table 4. In this table, Example 45 is a three layer stack of Ru—Nb/Si, which demonstrates that Niobium can also give improvements in an Si-based stack, but is otherwise the same as the examples 8 to 12 of Table 2.
For use at 12.8 nm, different multilayers may be optimal. Two such multilayers are example 47 and 48 of Table 4. At 46, the R value of a conventional Mo/Si (equivalent to Comparative Example 1) at 12.8 nm is given. It can readily be seen that the addition of Ru partially replacing Mo improves reflectivity at this frequency while the use of beryllium as a spacer material partially replacing silicon provides further improvements.
In general, the lanthanides (rare earth metals) may provide good optical contrast with metals such as Mo, Ru and Rh and may be preferred in reflectors nearer the substrate. In this position, optical contrast is provided because the lanthanides have a refractive index n very close to unity which out-weighs the disadvantage that their values of extinction coefficient k are not as low as some other materials in the 9-16 nm region. Lanthanum is particularly preferred at or near 13 nm.
Further alternative spacers useable in the invention are porous materials such as low density (porous) silica (aerogel) having a density about 1 tenth that of bulk silica. FIG. 12 shows the wavelength sensitivity of a Rh—Ru/SiO2-aero stack using such porous silica. Its relatively broad reflectance peak below 11 nm will be noted. Other low density materials that may be used include: titania and alumina aerogels; nano-porous silicon, meso-porous silicon, nanoclusters of silicon and other semiconductors. These materials may be used to manufacture reflectors tuned to specific wavelengths throughout the 8 to 20 nm wavelength range. The materials are useful because the values on n and k are density dependent. With decreasing density the refractive index, n, tends to unity and the extinction coefficient, k, tends to zero. The density of a typical Si aerogel is 0.2 gcm−3 whilst that of porous Si is 1.63 gcm−3.
EXAMPLES 49 to 65
Further examples of useful capping layers are set out in Tables 5 and 6, which give the same data as previous tables.
In Table 5, 49 is a comparative example consisting of an optimized (for 13.4 nm) 50 period Mo/Si stack whose outermost layer is 2 nm of SiO2 formed by natural oxidation of the final Si layer in the stack. This comparative example forms the reference for relative values of R9peak and R9int for Examples 50 to 57 of the invention. These examples differ from comparative example 49 only in the indicated capping layer, which is deposited on final Si layer of the stack before that layer can oxidized. It will be seen that each of palladium (Pd), boron carbide (B4C), boron nitride (BN), silicon carbide (SiC), silicon nitride (Si3N4) and diamond-like carbon (dl-C) exhibit improved reflectance, or an acceptable reduction, whilst exhibiting a high degree of resistance to chamical attack.
In Table 6, 58 is a comparative example consisting of an 80 period optimized (for 11.3 nm) Mo/Be stack, similarly with an outermost layer of 2 nm BeO formed by natural oxidation of the final Be layer. This comparative example forms the reference for the relative values of R9peak and R9int for Examples 59 to 65 of the invention. Examples 59 to 65 differ from comparative example 58 in the indicated capping layer which is deposited before the outer Be layer can oxidized. It will again be seen that the layers specified provide improved reflectivity, or an acceptable reduction, whilst exhibiting a high degree of resistance to chemical attack.
EXAMPLES 66 to 76
In examples 66 to 76 the capping layer includes a modified final layer of the multilayer coating as well as a dedicated capping sublayer so as to form a bi- or tri-layer protective structure thus increasing the overall thickness of the top layers and reducing the likelihood of incomplete coverage through multiple layer deposition. This is illustrated in FIG. 13.
The reflector of examples 66 to 76 of the invention comprises substrate 10 on which are deposited N periods of alternating layers of a first material 11 and a second material 12. In FIG. 13 only the first period 13 is shown however all periods save the last are similar. The final, Nth period comprises a layer 15 of the first material, a layer 16 of a third material and a capping sub-layer 17 of a capping material. In the following, the first material is denoted X, the second material Y and the third material Z.
The first material X is one or more of: Mo, Ru, Rh, Nb, Pd, Y and Zr, and the second material Y is one or more of: Be, Si, Sr, Rb, RbCl and P. The final period is constructed such that the substance X is chosen as previously, the third material Z on the other hand, is chosen from a set of materials with a moderately high value of refractive index n (>0.96), sufficiently low value of the extinction coefficient k (<0.01), and which are known for their chemical inertness and stability. For the 10-15 nm spectral region the following materials are suitable: B4C, BN, diamond-like C, Si3N4 and SiC. Although these materials are not ideal “spacers”, the reflectivity loss through absorption in layer 16 may be tolerated in favour of long-term chemical and structural integrity of the multilayer. In addition, the combination of layers 15 and 16 has a total optical thickness of 2 quarter wavelengths (where the quarter-wave optical thickness is given by: QW=4 nd/λ), thus contributing to the reflection coefficient and avoiding a drastic reduction in the reflectivity which may be caused by relatively thick (>3 nm) capping layers. In addition the material of the capping layer 17 has low n such that a large optical contrast is maintained between layers 16 and 17. The boundary between layers 16 and 17 also serves to localise the node of the standing wave formed through the superposition of the incident and reflected waves. Suitable materials for capping layer 17 in this configuration are: Ru, Rh, Pd and diamond-like C.
Table 7 shows layer materials and thicknesses for Examples 66 to 71 which comprise 79 periods of Mo/Be plus the additional period X/Z constructed as described above. These examples are intended for use at 11.3 nm. example 66, the whole of the Be layer is oxidized and a Ru capping layer is deposited. This is the reference example. Example 67 shows that SiC is not ideal for the 11.3 nm region. However, Examples 70 and 71 show clearly that values of R greater than 75.5% are still possible with such a configuration. Rh is used to replace the Mo layer on account of its inertness and C or B4C is deposited as layer 16 with an additional coating of Ru as layer 17. This gives a tri-layer of thickness of 7.7 nm forming the protective coating structure. Examples 68 and 69 are analogous to 70 and 71 respectively, with the important distinction that the thickness of the layer 17 is increased by 2QW resulting in lower, but still respectable, reflectivity values and with a substantially higher tri-layer thickness of 13.7 nm.
Similarly, Table 8 shows layer materials and thicknesses for Examples 72 to 76 which comprise 49 periods of Mo/Si with the additional period formed by the X/Z combination again terminated with a Ru capping layer. The reference example 72 represents a fully oxidised top Si layer upon which a Ru capping layer is applied. SiC and B4C are the most favorable materials for the Z layer 16. However, at 13.4, for which these examples are intended, Mo cannot be replaced by the more inert metal Rh, therefore a bi-layer protective structure is formed where the combined thickness of layers 16 and 17 (dz+dCL) is about 5.5-6.0 nm. In example 73 the thickness of the SiC layer is increased by 2QW resulting in a 12.6 nm protective bi-layer thickness at the expense of reflectivity.
Other suitable materials for the capping layer are Au, MgF2, LiF, C2F4 (teflon) and TiN
While we have described above specific embodiments of the invention it will be appreciated that the invention may be practiced otherwise than as described. The description is not intended to limit the invention.
TABLE 1
10.9 nm 11.3 nm 13.4 nm
n k n k n k
B 0.9786 0.0023 0.9689 0.0040
B4C 0.9753 0.0029 0.9643 0.0050
Be 1.0092 0.0196 1.0081 0.0010 0.9892 0.0018
BeO 0.9785 0.0102 0.9587 0.0171
BN 0.9740 0.0050 0.9633 0.0086
C 0.9732 0.0040 0.9622 0.0067
Ce 1.0522 0.0197 1.0380 0.0159 1.0074 0.0062
Eu 0.9902 0.0062 0.9883 0.0074 0.9812 0.0123
La 1.0777 0.0601 1.0460 0.0200 1.0050 0.0065
Mo 0.9514 0.0046 0.9227 0.0062
P 0.9949 0.0014
Pd 0.9277 0.0099 0.9198 0.0135 0.8780 0.0443
Pr 1.0167 0.0119 1.0115 0.0125 0.9840 0.0072
Rb 0.9974 0.0014 0.9941 0.0007
RbCl 0.9943 0.0023 0.9941 0.0022 0.9895 0.0019
Rh 0.9313 0.0068 0.9236 0.0089 0.8775 0.0296
Ru 0.9373 0.0056 0.9308 0.0063 0.8898 0.0165
Si 1.0055 0.0146 0.9999 0.0018
Si aerogel 0.9988 0.0011
Porous Si 1.0015 0.0049
Si3N4 0.9864 0.0173 0.9741 0.0092
SiC 0.9936 0.0159 0.9831 0.0047
SiO2 0.9865 0.0123 0.9787 0.0106
Sr 0.9936 0.0011 0.9928 0.0011 0.9880 0.0013
Y 0.9835 0.0020 0.9742 0.0023
Zr 0.9733 0.0029 0.9585 0.0037
TABLE 2
R R9peak R9int
1 Mo/Si N 2 nm SiO2 0.731 1.00 1.00
2 Mo/Si N (2 nm Si +) 0.741 1.13 1.07
2 nm SiO2
3 Mo/Si N 2 nm B 0.751 1.27 1.25
4 Mo/Si Y 2 nm B 0.752 1.29 1.26
5 Mo/Si Y 1.5 nm Rh 0.754 1.32 1.27
6 Mo/Si N 1.5 nm Ru 0.757 1.37 1.35
7 Mo/Si Y 1.7 nm Ru 0.758 1.39 1.36
8 Mo—Rh/Si Y 1.7 nm Ru 0.762 1.45 1.38
9 Mo—RbCl/Si Y 1.5 nm Ru 0.761 1.44 1.39
10 Mo—Ru/Si Y 1.5 nm Rh 0.760 1.42 1.41
11 Mo—Ru/Si Y 1.7 nm Ru 0.765 1.51 1.50
12 Mo—Ru/Si Y(n) 1.5 nm Ru 0.764 1.48 1.59
13 Mo—Rh—RbCl/ Y 1.7 nm Ru 0.764 1.49 1.38
Si
14 Mo—Ru—Zr/Si Y 1.7 nm Ru 0.764 1.49 1.44
15 Mo—Ru—Y/Si Y 1.5 nm Ru 0.770 1.60 1.55
16 Mo—Ru—RbCl/ Y 1.5 nm Ru 0.767 1.54 1.56
Si
17 Mo—Rh—Sr/Si Y 1.6 nm Ru 0.779 1.77 1.56
18 Mo—Ru—Sr/Si Y 1.5 nm Rh 0.776 1.71 1.57
19 Mo—Ru—Sr/Si Y 1.5 nm Ru 0.791 1.81 1.68
20 Mo—Ru—Sr/Si Y(n) 1.5 nm Ru 0.781 1.81 1.85
21 Ru/Rb Y 1.5 nm Ru 0.779 1.77 1.41
22 Mo/Rb Y 1.5 nm Ru 0.809 2.49 2.13
23 Mo—Ru—Sr/Rb Y 1.5 nm Ru 0.814 2.63 2.20
TABLE 3
R R9peak R9int
24 Mo/Be N 2 None 0.775 1.00 1.00
25 Mo/Be N 1.5 nm Rh 0.782 1.08 1.08
26 Mo/Be Y None 0.780 1.06 1.00
27 Mo/Be V 1.5 nm Rh 0.787 1.15 1.06
28 Mo/Be Y 1.5 nm Ru 0.788 1.16 1.08
29 Ru/Be Y 1.5 nm Rh 0.810 1.49 1.68
30 Ru/Be Y 1.5 nm Ru 0.811 1.50 1.70
31 Rh/Be N 1.5 nm Rh 0.793 1.10 1.33
32 Rh/Be Y 1.5 nm Rh 0.793 1.23 1.29
33 Rh/Be Y 1.5 nm Ru 0.794 1.24 1.31
34 Rh/Be Y(n) 1.5 nm Rh 0.811 1.50 1.77
35 Mo—Sr/Be Y 1.5 nm Rh 0.799 1.32 1.21
36 Ru—Sr/Be Y 1.5 nm Rh 0.822 1.70 1.97
37 Ru—Sr/Be Y 1.5 nm Ru 0.823 1.72 2.00
38 Rh—Sr/Be Y 1.5 nm Rh 0.810 1.49 1.64
39 Rh—Sr/Be Y 1.5 nm Ru 0.811 1.50 1.67
40 Ru—Mo/Be Y(n) 1.5 nm Ru 0.812 1.52 1.72
TABLE 4
R R9peak R9int
45 Ru—Nb/Si Y 2 nm Rh 0.754 1.20 1.27
46 Mo/Si N 2 nm Si + 2 nm SiO2 0.738 1.00 1.00
47 Ru—Mo/Si Y 2 nm Rh 0.768 1.43 1.48
48 Ru—Mo/Be—Si Y 2 nm Rh 0.778 1.61 1.63
TABLE 5
R R9peak R9int
49 Mo/Si Y 2 nm SiO2 0.745 1.00 1.00
50 Mo/Si Y 2 nm Pd 0.743 0.97 0.92
51 Mo/Si Y 2 nm Si3N4 0.747 1.01 1.02
52 Mo/Si Y 2 nm SiC 0.748 1.03 1.04
53 Mo/Si Y 2 nm BN 0.749 1.04 1.05
54 Mo/Si Y 2 nm Rh 0.751 1.06 1.05
55 Mo/Si Y 2 nm (dl-)C 0.750 1.06 1.08
56 Mo/Si Y 2 nm B4C 0.751 1.07 1.10
57 Mo/Si Y 2 nm Ru 0.758 1.16 1.17
TABLE 6
K R9peak R9int
58 Mo/Be Y 2 nm BeO 0.774 1.00 1.00
59 Mo/Be Y 2 nm SiC 0.769 0.94 0.92
60 Mo/Be Y 2 nm BN 0.779 1.06 1.09
61 Mo/Be Y 2 nm Pd 0.781 1.09 1.10
62 Mo/Be Y 2 nm (dl-)C 0.781 1.08 1.11
63 Mo/Be Y 2 nm B4C 0.782 1.09 1.13
64 Mo/Be Y 2 nm Rh 0.786 1.15 1.18
65 Mo/Be Y 2 nm Ru 0.788 1.17 1.21
TABLE 7
X/Y X Z CL R R9peak R9ini
66 Mo/Be 2.05 nm 3.77 nm 2.03 nm 0.717 1.00 1.00
(0.69 QW) (1.31 QW) Ru
Mo BeO
67 Mo/Be 4.12 nm 1.93 nm 2.04 nm 0.713 0.95 0.91
(1.35 QW) (0.68 QW) Ru
Rh SiC
68 Mo/Be 1.70 nm 9.95 nm 2.03 nm 0.721 1.05 1.09
(0.56 QW) (3.43 QW) Ru
Rh C
69 Mo/Be 1.56 nm 10.06 nm 1.96 nm 0.739 1.30 1.25
(0.51 QW) (3.47 QW) Ru
Rh B4C
70 Mo/Be 1.70 nm 4.15 nm 1.90 nm 0.756 1.61 1.57
(0.56 QW) (1.43 QW) Ru
Rh C
71 Mo/Be 1.56 nm 4.27 nm 1.85 nm 0.765 1.78 1.73
(0.51 QW) (1.47 QW) Ru
Rh B4C
TABLE 8
X/V X Z CL R R9peak R9int
72 Mo/Si 2.84 nm 4.24 nm 2.05 nm 0.699 1.00 1.00
(0.78 QW) (1.24 QW) Ru
Mo SiO2
73 Mo/Si 3.28 nm 10.63 nm 2.06 um 0.696 0.97 0.93
(0.90 QW) (3.12 QW) Ru
Mo SiC
74 Mo/Si 3.87 nm 3.38 nm 1.97 nm 0.716 1.24 1.21
(1.07 QW) (0.97 QW) Ru
Mo C
75 Mo/Si 3.23 nm 3.95 nm 1.92 nm 0.725 1.39 1.36
(0.89 QW) (1.14 QW) Ru
Mo B4C
76 Mo/Si 3.28 nm 3.52 nm 1.87 nm 0.735 1.57 1.53
(0.90 QW) (1.12 QW) Ru
Mo SiC

Claims (74)

1. A lithographic projection apparatus, comprising:
an illumination system constructed and arranged to supply a projection beam of radiation;
a first object table provided with a first object holder constructed and arranged to hold a mask;
a second object table provided with a second object holder constructed and arranged to hold a substrate;
a projection system constructed and arranged to utilize said radiation to image an irradiated portion of the mask onto a target portion of the substrate; and
at least one of said illumination system and projection system having an optical element with a surface on which radiation is incident and a capping layer covering said surface, said capping layer being formed of a relatively inert material,
wherein said relatively inert material is selected from the group consisting of: diamond-like carbon, Ru, Rh, TiN, MgF2, LiF, C2F4 and compounds and alloys thereof,
wherein the optical element is configured to reflect the incident radiation.
2. Apparatus according to claim 1 wherein said relatively inert material is more inert than material from which remaining portions of said optical element are formed.
3. Apparatus according to claim 1 wherein said relatively inert material is less easily oxidized than the material from which remaining portions of said optical element are formed.
4. Apparatus according to claim 1, wherein said relatively inert material is harder than material from which remaining portions of said optical element is formed.
5. Apparatus according to claim 1 wherein said optical element is a beam modifying element.
6. Apparatus according to claim 5 wherein said optical element is a reflector having a multilayer coating on which said capping layer is provided.
7. Apparatus according to claim 1 wherein said optical element is a sensor.
8. Apparatus according to claim 1 wherein said capping layer comprises two sub-layers of different materials.
9. Apparatus according to claim 1 wherein said projection beam comprises radiation, having a wavelength in the range of from 8 nm to 20 nm.
10. Apparatus according to claim 9 wherein said projection beam comprises radiation having a wavelength in the range of from 9 nm to 16 nm.
11. Apparatus according to any one of the preceding claims wherein said capping layer has a thickness in the range of from 0.5 nm to 10 nm.
12. Apparatus according to claim 11 wherein said capping layer has a thickness in the range of from 0.5 nm to 6 nm.
13. Apparatus according to claim 12 wherein said capping layer has a thickness in the range of from 0.5 nm to 3 nm.
14. A device manufacturing method using a lithographic apparatus, the method comprising:
providing a mask containing a pattern to a first object table;
providing a substrate at least partially covered by a layer of energy-sensitive material to a second object table; and
irradiating said mask and imaging irradiated portions of said pattern onto said substrate;
said irradiating comprising directing radiation onto a surface of an optical element, the surface having a capping layer formed of a relatively inert material,
wherein said relatively inert material is selected from the group consisting of: diamond-like carbon, Ru, Rh, TiN, MgF2, LiF, C2F4 and compounds and alloys thereof,
wherein the optical element is configured to reflect the incident radiation.
15. A semiconductor device manufactured in accordance with the method of claim 14.
16. A lithographic projection apparatus, comprising:
an illumination system constructed and arranged to supply a projection beam of radiation;
a first object table provided with a first object holder constructed and arranged to hold a mask;
a second object table provided with a second object holder constructed and arranged to hold a substrate;
a projection system constructed and arranged to utilize said radiation to image an irradiated portion of the mask onto a target portion of the substrate; and
at least one of said illumination system and projection system having a sensor with a surface on which radiation is incident and a capping layer covering said surface, said capping layer being formed of a relatively inert material,
wherein said relatively inert material is selected from the group consisting of: diamond-like carbon (C), Ru, Rh, Au, MgF2, LiF, C2F4, TiN and compounds and alloys thereof.
17. The lithographic projection apparatus according to claim 16,
wherein said relatively inert material is more inert than material from which remaining portions of said sensor are formed.
18. The lithographic projection apparatus according to claim 16,
wherein said relatively inert material is less easily oxidized than the material from which remaining portions of said sensor are formed.
19. The lithographic projection apparatus according to claim 16,
wherein said relatively inert material is harder than material from which remaining portions of said sensor is formed.
20. The lithographic projection apparatus according to claim 16,
wherein said capping layer has a thickness in the range of from 0.5 nm to 10 nm.
21. The lithographic projection apparatus according to claim 20,
wherein said capping layer has a thickness in the range of from 0.5 nm to 6 nm.
22. The lithographic projection apparatus according to claim 20,
wherein said capping layer has a thickness in the range of from 0.5 nm to 3 nm.
23. The lithographic projection apparatus according to claim 16,
wherein said capping layer comprises two sub-layers of different materials.
24. The lithographic projection apparatus according to claim 16,
wherein said projection beam comprises radiation having a wavelength in the range of from 8 nm to 20 nm.
25. A lithographic projection apparatus, comprising:
an illumination system constructed an arranged to supply a projection beam of radiation;
a first object table provided with a first object holder constructed and arranged to hold a mask;
a second object table provided with a second object holder constructed and arranged to hold a substrate;
a projection system constructed and arranged to utilize said radiation to image an irradiated portion of the mask onto a target portion of the substrate; and
at least one of said illumination system and projection system having an optical element with a surface on which radiation is incident and a capping layer covering said surface, said capping layer being formed of a relative inert material,
wherein said optical element is a reflector having a multilayer reflective coating on which said capping layer is provided; and
wherein said relative inert material is selected from the group consisting of: diamond-like carbon (C), boron-nitride (BN), boron carbide (B4C), silicon nitride (Si3N4), silicon carbide (SiC), B, Pd, Ru, Rh, Au, MgF2, LiF, C2F4, TiN and compounds and alloys thereof,
wherein the optical element is configured to reflect the incident radiation.
26. The lithographic projection apparatus according to claim 25,
wherein said multilayer reflective coating comprises a plurality of layers of a first material having a relatively low reflective index at the wavelength of said projection beam.
27. The lithographic projection apparatus according to claim 26,
wherein said multilayer reflective coating further comprises a plurality of layers of a second material having a relatively high reflective index at the wavelength and alternating with said layers of said first material.
28. The lithographic projection apparatus according to claim 25,
wherein said relatively inert material is more inert than material from which remaining portions of said optical element are formed.
29. The lithographic projection apparatus according to claim 25,
wherein said relatively inert material is less easily oxidized than the material from which remaining portions of said optical element are formed.
30. The lithographic projection apparatus according to claim 25,
wherein said relatively inert material is harder than material from which remaining portions of said optical element is formed.
31. The lithographic projection apparatus according to claim 25,
wherein said capping layer has a thickness in the range of from 0.5 nm to 10 nm.
32. The lithographic projection apparatus according to claim 31,
wherein said capping layer has a thickness in the range of from 0.5 nm to 6 nm.
33. The lithographic projection apparatus according to claim 31,
wherein said capping layer has a thickness in the range of from 0.5 nm to 3 nm.
34. The lithographic projection apparatus according to claim 25,
wherein said projection beam comprises radiation having a wavelength in the range of from 8 nm to 20 nm.
35. A lithographic projection apparatus, comprising:
an illumination system constructed and arranged to supply a projection beam of radiation;
a first object table provided with a first object holder constructed and arranged to hold a mask;
a second object table provided with a second object holder constructed and arranged to hold a substrate;
a projection system constructed and arranged to utilize said radiation to image an irradiated portion of the mask onto a target portion of the substrate; and
at least one of said illumination system and projection system having an optical element with a surface on which radiation is incident and a capping layer covering said surface, said capping layer being formed of a relatively inert material,
wherein said optical element comprises:
a reflector having a multilayer reflective coating on said surface, said multilayer reflective coating comprising a plurality of layers of a first material having a relatively low refractive index at the wavelength of said projection beam;
layers of a second material having a relatively high refractive index at said wavelength and alternating with said layers of said first material; and
said capping layer comprises:
a first sub-layer of said first material;
a second sub-layer of a third material having a refractive index at said wavelength higher than said first material and being more inert than said second material; and
a third sub-layer formed of a fourth material that is relatively inert, said first, second and third sub-layers being provided in that order with said third sub-layer outermost,
wherein the optical element is configured to reflect the incident radiation.
36. The lithographic projection apparatus according to claim 35,
wherein said third material has a refractive index at said wavelength greater than about 0.95 and an extinction coefficient at said wavelength less than about 0.01.
37. The lithographic projection apparatus according to claim 36,
wherein said first material is one or more materials selected from the group consisting of Mo, Ru, Rh, Nb, Pd, Y and Zr, as well as compounds and alloys of these elements;
said second material is one or more materials selected from the group consisting of Be, Si, Sr, Rb, RbCl and P, as well as compounds and alloys thereof;
said third material is selected from the group consisting of B4C, BN, diamond-like carbon (C), Si3N4 and SiC; and
said fourth material is selected from the group consisting of Au, Ru, Rh, Pd, B, MgF2, LiF, C2F4, TiN, boron nitride (BN), boron carbide (B4C9), silicon nitride (Si3N4), Silicon carbide (SiC), diamond-like carbon (C), and compounds and alloys thereof.
38. The lithographic projection apparatus according to claim 35,
wherein said projection beam comprises radiation having a wavelength in the range of from 8 nm to 20 nm.
39. A device manufacturing method, comprising:
providing a substrate that is at least partially covered by a layer of energy-sensitive material;
directing radiation towards an optical element having a capping layer that covers a surface on which the radiation is incident, said capping layer being formed of a relatively inert material selected from the group consisting of: diamond-like carbon, TiN, MgF2, LiF, C2F4 and compounds and alloys thereof; and
irradiating a target portion of the substrate with the radiation to image a pattern onto the substrate,
wherein the optical element is configured to reflect the incident radiation.
40. The device manufacturing method of claim 39, wherein the optical element comprises a mask.
41. The device manufacturing method of claim 40, wherein the mask is configured as a multi-layer mask.
42. The device manufacturing method of claim 39, wherein the optical element comprises a beam-modifying element.
43. The device manufacturing method of claim 39, wherein the optical element comprises a beam-directing element.
44. The device manufacturing method of claim 39, wherein the optical element comprises a beam-focusing element.
45. The device manufacturing method of claim 39, wherein the optical element comprises a beam-shaping element.
46. The device manufacturing method of claim 39, wherein the optical element comprises a beam-controlling element.
47. The device manufacturing method of claim 39, wherein the optical element comprises a reflector.
48. The device manufacturing method of claim 39, wherein the optical element comprises a mirror.
49. The device manufacturing method of claim 48, wherein the mirror is configured as a multilayer near-normal incidence mirror.
50. The device manufacturing method of claim 48, wherein the optical element comprises a grazing-incidence mirror.
51. The device manufacturing method of claim 39, wherein the optical element comprises an integrator.
52. The device manufacturing method of claim 39, wherein the optical element comprises a scattering plate.
53. The device manufacturing method of claim 39, wherein the optical element comprises a sensor.
54. The device manufacturing of claim 53, wherein the optical element comprises an image sensor.
55. The device manufacturing method of claim 53, wherein the optical element comprises a spot sensor.
56. A lithographic apparatus, comprising:
an illumination system constructed and arranged to supply a beam of radiation;
a projection system constructed and arranged to utilize said radiation to image a pattern onto a target portion of a substrate; and
an optical element having a capping layer that covers a surface on which said radiation is incident, said capping layer being formed of a relatively inert material selected from the group consisting of: diamond-like carbon, TiN, MgF2, LiF, C2F4 and compounds and alloys thereof,
wherein the optical element is configured to reflect the incident radiation.
57. The lithographic apparatus of claim 56, wherein the optical element comprises a mask.
58. The lithographic apparatus of claim 57, wherein the mask is configured as a multi-layer mask.
59. The lithographic apparatus of claim 56, wherein the optical element comprises a beam-modifying element.
60. The lithographic apparatus of claim 56, wherein the optical element comprises a beam-directing element.
61. The lithographic apparatus of claim 56, wherein the optical element comprises a beam-focusing element.
62. The lithographic apparatus of claim 56, wherein the optical element comprises a beam-shaping element.
63. The lithographic apparatus of claim 56, wherein the optical element comprises a beam-controlling element.
64. The lithographic apparatus of claim 56, wherein the optical element comprises a reflector.
65. The lithographic apparatus of claim 56, wherein the optical element comprises a mirror.
66. The lithographic apparatus of claim 65, wherein the mirror is configured as a multilayer near-normal incidence mirror.
67. The lithographic apparatus of claim 65, wherein the optical element comprises a grazing-incidence mirror.
68. The lithographic apparatus of claim 56, wherein the optical element comprises an integrator.
69. The lithographic apparatus of claim 56, wherein the optical element comprises a scattering plate.
70. The lithographic apparatus of claim 56, wherein the optical element comprises a sensor.
71. The lithographic apparatus of claim 70, wherein the optical element comprises an image sensor.
72. The lithographic apparatus of claim 70, wherein the optical element comprises a spot sensor.
73. A device manufacturing method, comprising:
providing a substrate that is at least partially covered by a layer of energy-sensitive material;
directing radiation towards a mask to form a patterned beam of radiation, the mask having a capping layer that covers a surface on which the radiation is incident, an outermost layer of said capping layer being formed of a relatively inert material selected from the group consisting of diamond-like carbon, Rh, TiN, MgF2, LiF, C2F4 and compounds and alloys thereof or from the group consisting of Ru and a non-oxidized compound thereof; and
irradiating a target portion of the substrate with the patterned beam of radiation,
where the mask is configured to reflect the incident radiation.
74. A mask configured to pattern radiation in a lithographic apparatus, the mask comprising:
a capping layer that covers a surface on which the radiation is incident, an outermost layer of said capping layer being formed of a relatively inert material selected from the group consisting of diamond-like carbon, Rh, TiN, MgF2, LiF, C2F4 and compounds and alloys thereof or from the group consisting of Ru and a non-oxidized compound thereof,
wherein the mask is configured to reflect the incident radiation.
US11/390,536 1999-07-02 2006-03-28 Capping layer for EUV optical elements Expired - Lifetime USRE42338E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/390,536 USRE42338E1 (en) 1999-07-02 2006-03-28 Capping layer for EUV optical elements

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
EP99305283 1999-07-02
EP99305283 1999-07-02
EP99307932 1999-10-07
EP99307932 1999-10-07
US09/605,657 US6724462B1 (en) 1999-07-02 2000-06-28 Capping layer for EUV optical elements
US11/390,536 USRE42338E1 (en) 1999-07-02 2006-03-28 Capping layer for EUV optical elements

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/605,657 Reissue US6724462B1 (en) 1999-07-02 2000-06-28 Capping layer for EUV optical elements

Publications (1)

Publication Number Publication Date
USRE42338E1 true USRE42338E1 (en) 2011-05-10

Family

ID=26153524

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/605,651 Expired - Lifetime US6449086B1 (en) 1999-07-02 2000-06-28 Multilayer extreme ultraviolet mirrors with enhanced reflectivity
US09/605,657 Ceased US6724462B1 (en) 1999-07-02 2000-06-28 Capping layer for EUV optical elements
US10/205,206 Expired - Lifetime US6738188B2 (en) 1999-07-02 2002-07-26 Multilayer extreme ultraviolet mirrors with enhanced reflectivity
US11/390,536 Expired - Lifetime USRE42338E1 (en) 1999-07-02 2006-03-28 Capping layer for EUV optical elements

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/605,651 Expired - Lifetime US6449086B1 (en) 1999-07-02 2000-06-28 Multilayer extreme ultraviolet mirrors with enhanced reflectivity
US09/605,657 Ceased US6724462B1 (en) 1999-07-02 2000-06-28 Capping layer for EUV optical elements
US10/205,206 Expired - Lifetime US6738188B2 (en) 1999-07-02 2002-07-26 Multilayer extreme ultraviolet mirrors with enhanced reflectivity

Country Status (6)

Country Link
US (4) US6449086B1 (en)
EP (3) EP1065568B1 (en)
JP (2) JP3652221B2 (en)
KR (2) KR100589240B1 (en)
DE (2) DE60018328T2 (en)
TW (2) TW561279B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
DE10016008A1 (en) 2000-03-31 2001-10-11 Zeiss Carl Village system and its manufacture
US7261957B2 (en) * 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
US20070281109A1 (en) * 2000-03-31 2007-12-06 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
JP5371162B2 (en) * 2000-10-13 2013-12-18 三星電子株式会社 Reflective photomask
US6479830B1 (en) 2000-11-01 2002-11-12 Trw Inc. Low-sputter-yield coating for hardware near laser-produced plasma
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US6664554B2 (en) * 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
CN1256628C (en) * 2001-04-17 2006-05-17 皇家菲利浦电子有限公司 EUV-transparent interface structure
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
US7439530B2 (en) * 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US7372056B2 (en) * 2005-06-29 2008-05-13 Cymer, Inc. LPP EUV plasma source material target delivery system
KR100725859B1 (en) * 2001-05-23 2007-06-08 학교법인 한양학원 Ru/Mo/Si Reflective Multilayer Mirror for Extreme Ultra Violet Lithography
US20030064161A1 (en) * 2001-06-06 2003-04-03 Malinowski Michael E. Method for reducing carbon contamination of multilayer mirrors
TWI266959B (en) 2001-06-20 2006-11-21 Asml Netherlands Bv Device manufacturing method, device manufactured thereby and a mask for use in the method
US20030008148A1 (en) * 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
AU2002318192A1 (en) * 2001-07-03 2003-01-21 The Regents Of The University Of California Passivating overcoat bilayer
DE10150874A1 (en) * 2001-10-04 2003-04-30 Zeiss Carl Optical element and method for its production as well as a lithography device and a method for the production of a semiconductor component
KR100454081B1 (en) * 2001-12-24 2004-10-20 학교법인 한양학원 Reflective Mutilayer Thin film Mirror and the Manufacturing Method Thereof
US6920199B2 (en) * 2002-02-20 2005-07-19 Gkss-Forschungszentrum Geesthacht Gmbh Mirror element for the reflection of x-rays
DE10208705B4 (en) * 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromator mirror for the EUV spectral range
EP1351258B1 (en) * 2002-03-04 2005-11-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method of selecting layer thicknesses of a reflective element for extreme ultra violet radiation
US20050111083A1 (en) * 2002-03-27 2005-05-26 Yakshin Andrey E. Optical broad band element and process for its production
KR100455383B1 (en) * 2002-04-18 2004-11-06 삼성전자주식회사 Reflection photomask, method of fabricating reflection photomask and method of fabricating integrated circuit using the same
EP1369744A1 (en) * 2002-06-06 2003-12-10 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI227380B (en) 2002-06-06 2005-02-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR100526717B1 (en) * 2002-06-14 2005-11-08 에이에스엠엘 네델란즈 비.브이. EUV Lithographic Projection Apparatus comprising an Optical Element with a Self-Assembled Monolayer, Optical Element with a Self-Assembled Monolayer, Method of applying a Self-Assembled Monolayer, Device Manufacturing Method and Device Manufactured thereby
DE10235255B4 (en) * 2002-08-01 2004-08-12 Infineon Technologies Ag Reflective mirror for lithographic exposure and manufacturing process
TWI227381B (en) * 2002-08-28 2005-02-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1394815B1 (en) * 2002-08-28 2011-06-22 ASML Netherlands B.V. Lithographic apparatus comprising a Mo/Si-multilayer with capping layer
US6763086B2 (en) * 2002-09-05 2004-07-13 Osmic, Inc. Method and apparatus for detecting boron in x-ray fluorescence spectroscopy
DE10258709A1 (en) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Protection system for reflective optical elements, reflective optical element and method for their production
US6982133B2 (en) * 2002-12-21 2006-01-03 Intel Corporation Damage-resistant coatings for EUV lithography components
TWI286674B (en) 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
DE10309084A1 (en) 2003-03-03 2004-09-16 Carl Zeiss Smt Ag Reflective optical element and EUV lithography device
US7126671B2 (en) 2003-04-04 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10319005A1 (en) * 2003-04-25 2004-11-25 Carl Zeiss Smt Ag Reflective optical element, optical system and EUV lithography device
JP4521696B2 (en) * 2003-05-12 2010-08-11 Hoya株式会社 Reflective multilayer film-coated substrate, reflective mask blanks, and reflective mask
US7499149B2 (en) 2003-06-24 2009-03-03 Asml Netherlands B.V. Holographic mask for lithographic apparatus and device manufacturing method
EP1496521A1 (en) * 2003-07-09 2005-01-12 ASML Netherlands B.V. Mirror and lithographic apparatus with mirror
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US6998202B2 (en) * 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
JP2005056943A (en) 2003-08-08 2005-03-03 Canon Inc X-ray multilayer mirror and x-ray aligner
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
KR101083466B1 (en) * 2003-10-15 2011-11-16 가부시키가이샤 니콘 Multilayer film reflection mirror, production method for multilayer film reflection mirror, and exposure system
EP1624467A3 (en) 2003-10-20 2007-05-30 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US20050109278A1 (en) * 2003-11-26 2005-05-26 Ted Liang Method to locally protect extreme ultraviolet multilayer blanks used for lithography
US7460206B2 (en) * 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7081992B2 (en) 2004-01-16 2006-07-25 Euv Llc Condenser optic with sacrificial reflective surface
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4693395B2 (en) * 2004-02-19 2011-06-01 Hoya株式会社 REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
JP4566791B2 (en) * 2004-03-26 2010-10-20 キヤノン株式会社 Soft X-ray multilayer reflector
JP4532991B2 (en) * 2004-05-26 2010-08-25 キヤノン株式会社 Projection optical system, exposure apparatus, and device manufacturing method
US7491478B2 (en) * 2004-07-23 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
DE102004043516A1 (en) 2004-09-08 2006-03-23 Osram Opto Semiconductors Gmbh Laterally emitting, radiation generating component with radiation source, whose optical axis extends orthogonally to assembly surface of component
US7092290B2 (en) * 2004-11-16 2006-08-15 Sandisk Corporation High speed programming system with reduced over programming
DE102004062289B4 (en) 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermally stable multilayer mirror for the EUV spectral range
US7701554B2 (en) 2004-12-29 2010-04-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and optical component
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
US7450217B2 (en) * 2005-01-12 2008-11-11 Asml Netherlands B.V. Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7449703B2 (en) * 2005-02-25 2008-11-11 Cymer, Inc. Method and apparatus for EUV plasma source target delivery target material handling
US7482609B2 (en) * 2005-02-28 2009-01-27 Cymer, Inc. LPP EUV light source drive laser system
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
JP5061903B2 (en) * 2005-10-11 2012-10-31 株式会社ニコン MULTILAYER REFLECTOR, MULTILAYER REFLECTOR MANUFACTURING METHOD, OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD
JP5045144B2 (en) * 2007-02-22 2012-10-10 株式会社ニコン MULTILAYER REFLECTOR, EXPOSURE APPARATUS, DEVICE MANUFACTURING METHOD, AND MULTILAYER REFLECTOR MANUFACTURING METHOD
US7948675B2 (en) 2005-10-11 2011-05-24 Nikon Corporation Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprising same, and associated methods
US7453077B2 (en) * 2005-11-05 2008-11-18 Cymer, Inc. EUV light source
JP2007134464A (en) * 2005-11-09 2007-05-31 Canon Inc Optical element including multilayer film and exposing apparatus comprising the same
JP2007140105A (en) * 2005-11-18 2007-06-07 Nikon Corp Multilayer film reflection mirror and exposure device
JP2007234822A (en) * 2006-02-28 2007-09-13 Canon Inc Exposure device, its control method, and device manufacturing method
JP4946296B2 (en) 2006-03-30 2012-06-06 凸版印刷株式会社 Reflective photomask blank and manufacturing method thereof, reflective photomask, and semiconductor device manufacturing method
JP5200327B2 (en) * 2006-03-31 2013-06-05 凸版印刷株式会社 REFLECTIVE PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF, REFLECTIVE PHOTOMASK AND MANUFACTURING METHOD THEREOF, AND EXPOSURE METHOD FOR EXTREME UV LIGHT
US7736820B2 (en) * 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7541603B2 (en) * 2006-09-27 2009-06-02 Asml Netherlands B.V. Radiation system and lithographic apparatus comprising the same
US7723704B2 (en) * 2006-11-10 2010-05-25 Globalfoundries Inc. EUV pellicle with increased EUV light transmittance
JP4365855B2 (en) * 2006-12-21 2009-11-18 三菱電機株式会社 Vehicle steering system
TWI427334B (en) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Reflective optical element for euv lithography devices
US7663127B2 (en) * 2007-03-13 2010-02-16 Globalfoundries Inc. EUV debris mitigation filter and method for fabricating semiconductor dies using same
JP2008263173A (en) * 2007-03-16 2008-10-30 Canon Inc Exposure apparatus
ATE512389T1 (en) * 2007-10-23 2011-06-15 Imec DETECTION OF CONTAMINATIONS IN EUV SYSTEMS
NL1036469A1 (en) 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured.
TWI425252B (en) * 2008-03-26 2014-02-01 Nat Applied Res Laboratories Reflective film and method for manufacturing the same
KR100972863B1 (en) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 Extreme ultra violet lithogrphy mask and method for fabricating the same
DE102009001488A1 (en) 2008-05-21 2009-11-26 Asml Netherlands B.V. Optical surface's contamination removing method for extreme ultraviolet lithography, involves removing contaminations from optical surfaces to form polymerized protective layer, which protects optical surface against metallic compounds
WO2009147014A1 (en) * 2008-06-04 2009-12-10 Asml Netherlands B.V. Multilayer mirror and lithographic apparatus
DE102008002403A1 (en) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Method for producing a multilayer coating, optical element and optical arrangement
EP2297746A1 (en) 2008-07-07 2011-03-23 Philips Intellectual Property & Standards GmbH Extreme uv radiation reflecting element comprising a sputter-resistant material
EP2157584A3 (en) * 2008-08-14 2011-07-13 ASML Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
NL2003363A (en) * 2008-09-10 2010-03-15 Asml Netherlands Bv Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method.
DE102009017095A1 (en) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Mirror for the EUV wavelength range, projection objective for microlithography with such a mirror and projection exposure apparatus for microlithography with such a projection objective
EP2348347A3 (en) * 2009-08-28 2012-07-04 European Space Agency Method for assembling two or more mirror plate stacks into a rigid unit
NL2005460A (en) * 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
KR20130007534A (en) 2009-12-04 2013-01-18 아사히 가라스 가부시키가이샤 Optical member for euv lithography, and process for production of reflective-layer-attached substrate for euv lithography
EP2511943A4 (en) 2009-12-09 2015-09-09 Asahi Glass Co Ltd Optical member for use in euv lithography
CN102621815B (en) * 2011-01-26 2016-12-21 Asml荷兰有限公司 Reflection optics and device making method for lithographic equipment
NL2008391A (en) 2011-04-04 2012-10-08 Asml Netherlands Bv Radiation source-collector and lithographic apparatus.
DE102011076011A1 (en) * 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflective optical element and optical system for EUV lithography
US9448492B2 (en) 2011-06-15 2016-09-20 Asml Netherlands B.V. Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus
DE102011083462A1 (en) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV mirror with an oxynitride topcoat of stable composition
DE102011083461A1 (en) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh A method of forming a top layer of silicon oxide on an EUV mirror
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
DE102012219545A1 (en) * 2012-10-25 2014-04-30 Carl Zeiss Smt Gmbh Projection exposure system for EUV lithography and method of operating the projection exposure system
DE102012222466A1 (en) * 2012-12-06 2014-06-12 Carl Zeiss Smt Gmbh Reflective optical element for EUV lithography
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
US20140168758A1 (en) * 2012-12-13 2014-06-19 Kla-Tencor Corporation Carbon as grazing incidence euv mirror and spectral purity filter
DE102013200294A1 (en) * 2013-01-11 2014-07-17 Carl Zeiss Smt Gmbh EUV level and optical system with EUV level
RU2522448C1 (en) * 2013-03-13 2014-07-10 Открытое акционерное общество "Научно-исследовательский и технологический институт оптического материаловедения Всероссийского научного центра "Государственный оптический институт им. С.И. Вавилова" (ОАО "НИТИОМ ВНЦ "ГОИ им. С.И. Вавилова") Coating for space mirror workpiece
EP2998980A4 (en) * 2013-05-09 2016-11-16 Nikon Corp Optical element, projection optical system, exposure apparatus, and device manufacturing method
JP6389896B2 (en) 2013-09-23 2018-09-12 カール・ツァイス・エスエムティー・ゲーエムベーハー Multilayer mirror
TWI565681B (en) * 2013-10-15 2017-01-11 中原大學 Porous silica aerogel composite membrane and method for making the same and carbon dioxide sorption device
DE102014200932A1 (en) 2014-01-20 2015-07-23 Carl Zeiss Smt Gmbh EUV level and optical system with EUV level
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
DE102015207140A1 (en) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
CN104749663A (en) * 2015-04-21 2015-07-01 中国科学院长春光学精密机械与物理研究所 Multilayer film with extreme-ultraviolet spectral purity and resistance to irradiation damage
US20170003419A1 (en) * 2015-06-30 2017-01-05 Supriya Jaiswal Coatings for extreme ultraviolet and soft x-ray optics
JP2017026928A (en) * 2015-07-27 2017-02-02 エヌ・ティ・ティ・アドバンステクノロジ株式会社 Multilayer film reflection mirror for euv light
DE102016200814A1 (en) 2016-01-21 2017-07-27 Carl Zeiss Smt Gmbh Reflective optical element and optical system for EUV lithography
DE102016208987A1 (en) 2016-05-24 2017-11-30 Carl Zeiss Smt Gmbh Optical element and EUV lithography system
TWI811037B (en) 2016-07-27 2023-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
DE102016218028A1 (en) 2016-09-20 2018-03-22 Carl Zeiss Smt Gmbh Reflective optical element
DE102017206118A1 (en) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflective optical element and optical system
US11086209B2 (en) * 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
DE102017213181A1 (en) 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optical arrangement for EUV radiation with a shield to protect against the corrosivity of a plasma
US11268911B2 (en) 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics
TW202043905A (en) 2019-03-01 2020-12-01 美商應用材料股份有限公司 Physical vapor deposition system and processes
CN110221369B (en) * 2019-06-26 2020-10-27 西安交通大学 Bragg reflector based on BN (Al) film and preparation method
DE102019212910A1 (en) * 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optical element and EUV lithography system
KR20210089406A (en) 2020-01-08 2021-07-16 주식회사 에스앤에스텍 Reflective type Blankmask for EUV, and Method for manufacturing the same
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (en) 2020-01-27 2021-08-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202141165A (en) 2020-03-27 2021-11-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
DE102020206117A1 (en) 2020-05-14 2021-11-18 Carl Zeiss Smt Gmbh Optical element, EUV lithography system and method for forming nanoparticles
TW202202641A (en) 2020-07-13 2022-01-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220075021A (en) 2020-11-26 2022-06-07 삼성전자주식회사 Apparatus generating extreme ultraviolet(euv), manufacturing method of the same, and euv system
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US11762278B2 (en) 2021-06-16 2023-09-19 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
DE102022203495A1 (en) * 2022-04-07 2023-10-12 Carl Zeiss Smt Gmbh Reflective optical element for a wavelength in the extreme ultraviolet wavelength range

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6388502A (en) 1986-10-01 1988-04-19 Canon Inc Reflection mirror consisting of multi-layered film for soft x-ray and vacuum ultraviolet ray
JPS63106703A (en) 1986-10-24 1988-05-11 Nikon Corp Optical element
JPH0213902A (en) 1988-07-01 1990-01-18 Mitsubishi Electric Corp Uv light reflecting plate
US5265143A (en) * 1993-01-05 1993-11-23 At&T Bell Laboratories X-ray optical element including a multilayer coating
US5356662A (en) * 1993-01-05 1994-10-18 At&T Bell Laboratories Method for repairing an optical element which includes a multilayer coating
US5433988A (en) * 1986-10-01 1995-07-18 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JPH0831718A (en) 1994-07-12 1996-02-02 Hitachi Ltd Projection exposure method and projection exposure device
EP0708367A1 (en) 1994-10-20 1996-04-24 AT&T Corp. Pattern delineating apparatus for use in the EUV spectrum
US5646976A (en) * 1994-08-01 1997-07-08 Osmic, Inc. Optical element of multilayered thin film for X-rays and neutrons
US5750290A (en) * 1995-04-20 1998-05-12 Nec Corporation Photo mask and fabrication process therefor
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JPH10199801A (en) 1997-01-13 1998-07-31 Mitsubishi Materials Corp X-ray lithography mask having artificial diamond film having little residual stress
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5850309A (en) 1996-03-27 1998-12-15 Nikon Corporation Mirror for high-intensity ultraviolet light beam
EP0905565A1 (en) 1997-09-30 1999-03-31 Siemens Aktiengesellschaft Improved deep ultraviolet photolithography
WO1999024851A1 (en) 1997-11-10 1999-05-20 The Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US5911858A (en) 1997-02-18 1999-06-15 Sandia Corporation Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors
EP0922996A1 (en) 1997-12-09 1999-06-16 Lucent Technologies Inc. A lithographic process for device fabrication using a multilayer mask
US5981075A (en) * 1995-02-13 1999-11-09 Canon Kabushiki Kaisha Optical articles and devices with a thin film containing krypton, xenon, or radon atoms
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6100515A (en) * 1993-06-14 2000-08-08 Nikon Corporation Scanning exposure method and apparatus in which a mask and a substrate are moved at different scan velocities and exposure parameters are varied
US6127068A (en) * 1997-03-31 2000-10-03 Hoya Corporation X-ray membrane for x-ray mask, x-ray mask blank, x-ray mask, manufacturing method thereof and method of polishing silicon carbide film
US6180291B1 (en) * 1999-01-22 2001-01-30 International Business Machines Corporation Static resistant reticle
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
US6395433B1 (en) * 1998-10-08 2002-05-28 Rochester Institute Of Technology Photomask for projection lithography at or below about 160 nm and a method thereof
US6414743B1 (en) * 1997-04-18 2002-07-02 Nikon Corporation Exposure apparatus, exposure method using the same and method of manufacture of circuit device
US6771350B2 (en) * 2000-02-25 2004-08-03 Nikon Corporation Exposure apparatus and exposure method capable of controlling illumination distribution

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4214818A (en) * 1978-11-08 1980-07-29 Westinghouse Electric Corp. Hot pressed SiC-high power laser mirror
US4778251A (en) * 1987-03-09 1988-10-18 Rockwell International Corporation Thickness error compensation for digital gradient-index optical coatings
JPH0795120B2 (en) * 1987-07-13 1995-10-11 日本航空電子工業株式会社 Multilayer film mirror
JP2883100B2 (en) * 1989-05-22 1999-04-19 キヤノン株式会社 Half mirror or beam splitter for soft X-ray and vacuum ultraviolet
JP2787987B2 (en) * 1989-11-22 1998-08-20 株式会社リコー Reflector
US5360659A (en) * 1993-05-24 1994-11-01 The Dow Chemical Company Two component infrared reflecting film
US5513039A (en) * 1993-05-26 1996-04-30 Litton Systems, Inc. Ultraviolet resistive coated mirror and method of fabrication
DE4407502A1 (en) * 1994-03-07 1995-09-14 Leybold Ag Multi-layer coating
JPH1138192A (en) * 1997-07-17 1999-02-12 Nikon Corp Multiple layer film reflection mirror
US6391400B1 (en) * 1998-04-08 2002-05-21 Thomas A. Russell Thermal control films suitable for use in glazing
US6229652B1 (en) * 1998-11-25 2001-05-08 The Regents Of The University Of California High reflectance and low stress Mo2C/Be multilayers
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5433988A (en) * 1986-10-01 1995-07-18 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JPS6388502A (en) 1986-10-01 1988-04-19 Canon Inc Reflection mirror consisting of multi-layered film for soft x-ray and vacuum ultraviolet ray
JPS63106703A (en) 1986-10-24 1988-05-11 Nikon Corp Optical element
JPH0213902A (en) 1988-07-01 1990-01-18 Mitsubishi Electric Corp Uv light reflecting plate
US5265143A (en) * 1993-01-05 1993-11-23 At&T Bell Laboratories X-ray optical element including a multilayer coating
US5356662A (en) * 1993-01-05 1994-10-18 At&T Bell Laboratories Method for repairing an optical element which includes a multilayer coating
US6100515A (en) * 1993-06-14 2000-08-08 Nikon Corporation Scanning exposure method and apparatus in which a mask and a substrate are moved at different scan velocities and exposure parameters are varied
JPH0831718A (en) 1994-07-12 1996-02-02 Hitachi Ltd Projection exposure method and projection exposure device
US5646976A (en) * 1994-08-01 1997-07-08 Osmic, Inc. Optical element of multilayered thin film for X-rays and neutrons
EP0708367A1 (en) 1994-10-20 1996-04-24 AT&T Corp. Pattern delineating apparatus for use in the EUV spectrum
US5981075A (en) * 1995-02-13 1999-11-09 Canon Kabushiki Kaisha Optical articles and devices with a thin film containing krypton, xenon, or radon atoms
US5750290A (en) * 1995-04-20 1998-05-12 Nec Corporation Photo mask and fabrication process therefor
US5850309A (en) 1996-03-27 1998-12-15 Nikon Corporation Mirror for high-intensity ultraviolet light beam
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JPH10199801A (en) 1997-01-13 1998-07-31 Mitsubishi Materials Corp X-ray lithography mask having artificial diamond film having little residual stress
US5911858A (en) 1997-02-18 1999-06-15 Sandia Corporation Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors
US6127068A (en) * 1997-03-31 2000-10-03 Hoya Corporation X-ray membrane for x-ray mask, x-ray mask blank, x-ray mask, manufacturing method thereof and method of polishing silicon carbide film
US6414743B1 (en) * 1997-04-18 2002-07-02 Nikon Corporation Exposure apparatus, exposure method using the same and method of manufacture of circuit device
US6642994B2 (en) * 1997-04-23 2003-11-04 Nikon Corporation Optical exposure apparatus and photo-cleaning method
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
EP0905565A1 (en) 1997-09-30 1999-03-31 Siemens Aktiengesellschaft Improved deep ultraviolet photolithography
US5958605A (en) * 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
WO1999024851A1 (en) 1997-11-10 1999-05-20 The Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
EP0922996A1 (en) 1997-12-09 1999-06-16 Lucent Technologies Inc. A lithographic process for device fabrication using a multilayer mask
US6395433B1 (en) * 1998-10-08 2002-05-28 Rochester Institute Of Technology Photomask for projection lithography at or below about 160 nm and a method thereof
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6180291B1 (en) * 1999-01-22 2001-01-30 International Business Machines Corporation Static resistant reticle
US6771350B2 (en) * 2000-02-25 2004-08-03 Nikon Corporation Exposure apparatus and exposure method capable of controlling illumination distribution

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
European Search Report for EP Appl. No. 07005669.2-2217 issued Jun. 18, 2007.
Hudek et al., "E-beam and RIE examination of chemically amplified positive-tone resist CAMP6," Microelectronic Engineering 26:167-179 (1995), XP004000099.
Japanese Office Action issued in Japanese Application No. 2000-195020 mailed Jan. 22, 2007.
Mirkarimi et al., "Advances in the reduction and compensation of film stress in high-reflectance multilayer coatings for extreme ultraviolet lithography," Proceedings of the SPIE 3331:133-148 (1998), XP00900531.
Skulina et al., "Molybdenum/beryllium multilayer mirrors for normal incidence in the extreme ultraviolet,"Applied Optics 34(19):3727-3730 (1995), XP000537295.

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US10209613B2 (en) 2013-03-12 2019-02-19 Applied Materials, Inc. System and method for manufacturing planarized extreme ultraviolet lithography blank
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof

Also Published As

Publication number Publication date
DE60036510D1 (en) 2007-11-08
DE60036510T2 (en) 2008-06-19
EP1801658A2 (en) 2007-06-27
JP2001059901A (en) 2001-03-06
EP1065532B1 (en) 2005-03-02
US6449086B1 (en) 2002-09-10
EP1065568A3 (en) 2003-03-19
TWI267704B (en) 2006-12-01
US20030043456A1 (en) 2003-03-06
EP1065532A3 (en) 2003-03-19
TW561279B (en) 2003-11-11
EP1065568B1 (en) 2007-09-26
US6738188B2 (en) 2004-05-18
KR100599940B1 (en) 2006-07-12
KR20010066880A (en) 2001-07-11
US6724462B1 (en) 2004-04-20
JP4068285B2 (en) 2008-03-26
EP1065568A2 (en) 2001-01-03
EP1801658A3 (en) 2007-07-18
JP3652221B2 (en) 2005-05-25
EP1801658B1 (en) 2016-06-15
DE60018328T2 (en) 2006-04-06
JP2001051106A (en) 2001-02-23
KR20010066881A (en) 2001-07-11
EP1065532A2 (en) 2001-01-03
KR100589240B1 (en) 2006-06-14
DE60018328D1 (en) 2005-04-07

Similar Documents

Publication Publication Date Title
USRE42338E1 (en) Capping layer for EUV optical elements
KR100779699B1 (en) Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
JP5087076B2 (en) Anti-reflective coating for EUV mask
US6833223B2 (en) Multilayer-film reflective mirrors and optical systems comprising same
TWI227380B (en) Lithographic apparatus and device manufacturing method
TW200411339A (en) Lithographic apparatus and device manufacturing method
JP2001066399A (en) Multilayer film reflecting mirror and exposure device or analyzer
EP1369744A1 (en) Lithographic apparatus and device manufacturing method

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: ASML LITHOGRAPHY B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SINGH, MANDEEP;VISSER, HUGO MATTHIEU;REEL/FRAME:025835/0016

Effective date: 20000609

AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: CHANGE OF NAME;ASSIGNOR:ASM LITHOGRAPHY B.V.;REEL/FRAME:026015/0715

Effective date: 20020125

Owner name: ASM LITHOGRAPHY B.V., NETHERLANDS

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE ASSIGNEE PREVIOUSLY RECORDED ON REEL 025835 FRAME 0016. ASSIGNOR(S) HEREBY CONFIRMS THE CORRECT ASSIGNEE IS ASM LITHOGRAPHY B.V.;ASSIGNORS:SINGH, MANDEEP;VISSER, HUGO MATTHIEU;REEL/FRAME:026010/0890

Effective date: 20000609

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12