USRE45245E1 - Apparatus and methods for determining overlay of structures having rotational or mirror symmetry - Google Patents

Apparatus and methods for determining overlay of structures having rotational or mirror symmetry Download PDF

Info

Publication number
USRE45245E1
USRE45245E1 US13/875,160 US201313875160A USRE45245E US RE45245 E1 USRE45245 E1 US RE45245E1 US 201313875160 A US201313875160 A US 201313875160A US RE45245 E USRE45245 E US RE45245E
Authority
US
United States
Prior art keywords
structures
target
cos
layer
overlay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US13/875,160
Inventor
Mark Ghinovker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/894,987 external-priority patent/US7068833B1/en
Priority claimed from US10/729,838 external-priority patent/US7317531B2/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Priority to US13/875,160 priority Critical patent/USRE45245E1/en
Assigned to KLA-TENCOR TECHNOLOGIES CORPORATION reassignment KLA-TENCOR TECHNOLOGIES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GHINOVKER, MARK
Assigned to KLA-TENCOR CORPORATION reassignment KLA-TENCOR CORPORATION MERGER (SEE DOCUMENT FOR DETAILS). Assignors: KLA-TENCOR TECHNOLOGIES CORPORATION
Application granted granted Critical
Publication of USRE45245E1 publication Critical patent/USRE45245E1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/975Substrate or mask aligning feature

Definitions

  • the present invention relates generally to overlay measurement techniques, which are used in semiconductor manufacturing processes. More specifically, the present invention relates to techniques for measuring alignment error between different layers or different patterns on the same layer of a semiconductor wafer stack.
  • overlay error between successive patterned layers on a wafer is one of the most critical process control techniques used in the manufacturing of integrated circuits and devices.
  • Overlay accuracy generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second patterned layer disposed above or below it and to the determination of how accurately a first pattern aligns with respect to a second pattern disposed on the same layer.
  • overlay measurements are performed via test patterns that are printed together with layers of the wafer. The images of these test patterns are captured via an imaging tool and an analysis algorithm is used to calculate the relative displacement of the patterns from the captured images.
  • the most commonly used overlay target pattern is the “Box-in-Box” target, which includes a pair of concentric squares (or boxes) that are formed on successive layers of the wafer.
  • the overlay error is generally determined by comparing the position of one square relative to another square.
  • FIG. 1 is a top view of a typical “Box-in-Box” target 10 .
  • the target 10 includes an inner box 12 disposed within an open-centered outer box 14 .
  • the inner box 12 is printed on the top layer of the wafer while the outer box 14 is printed on the layer directly below the top layer of the wafer.
  • the overlay error between the two boxes, along the x-axis for example is determined by calculating the locations of the edges of lines c 1 and c 2 of the outer box 14 , and the edge locations of the lines c 3 and c 4 of the inner box 12 , and then comparing the average separation between lines c 1 and c 3 with the average separation between lines c 2 and c 4 .
  • the overlay error (along the x-axis).
  • the overlay error between the two boxes along the y-axis may also be determined using the above technique.
  • This type of target has a same center of symmetry (COS) for both the x and y structures, as well as for the first and second layer structures.
  • COS center of symmetry
  • COS center of symmetry
  • both the x and y structures and both the first and second layer structures have a same COS.
  • these requirements may be too restrictive under certain conditions. For example, space may be limited on the wafer and a target having x and y structures (or first and second layer structures) with the same COS may not fit into the available space. Additionally, it may be desirable to use device structures for determining overlay, and device structures are not likely to meet this strict requirement.
  • a target includes structures for measuring overlay error (or a shift) in both the x and y direction, wherein the x structures have a different center of symmetry (COS) than the y structures.
  • COS center of symmetry
  • one of the x and y structures is invariant with a 180° rotation and the other one of the x and y structures has a mirror symmetry.
  • the x and y structures together are variant with a 180° rotation.
  • a target for measuring overlay in the x and/or y direction includes structures on a first layer having a 180 symmetry and structures on a second layer having mirror symmetry.
  • a target for determining overlay in the x and/or y direction includes structures on a first layer and structures on a second layer, wherein the structures on the first layer have a COS that is offset by a known amount from the COS of the structures on the second layer.
  • any of the disclosed target embodiments may take the form of device structures.
  • device structures that have an inherent 180° rotational symmetry or a mirror symmetry in each of the first and second layers are used to measure overlay in a first layer and a second layer.
  • a semiconductor target for determining a relative shift between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate includes a plurality of first structures having a first center of symmetry (COS) or first line of symmetry (LOS) and being arranged to determine the relative shift in an x direction by analyzing an image of the first structures.
  • This target further includes a plurality of second structures having a second COS or second LOS and being arranged to determine the relative shift in an x direction by analyzing an image of the second structures.
  • the first COS or LOS has a different location than the second COS or LOS.
  • the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS
  • the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS
  • the first and second structures are in the form of device structures.
  • a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS.
  • the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.
  • a semiconductor target for determining an overlay error between two or more successive layers of a substrate comprises a plurality of first structures formed in a first semiconductor layer and having a first center of symmetry or first line of symmetry (LOS) and a plurality of second structures formed in a second semiconductor layer and having a second COS OR LOS.
  • the first COS OR LOS is designed to have a known offset from the second COS or LOS so that the overlay error can be determined by acquiring an image of the first and second structures and then analyzing a shift between the first and second COS's or LOS's in the image and comparing the shift to the known offset.
  • the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS
  • the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS
  • the first and second structures are in the form of device structures.
  • a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS.
  • the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.
  • the invention pertains to a method for determining the relative shift between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate.
  • a first image is acquired of a plurality of first structures having a first center of symmetry (COS) or first line of symmetry (LOS) and being arranged to determine the relative shift in an x direction by analyzing an image of the first structures.
  • a first image is acquired of a plurality of second structures having a second COS or second LOS and being arranged to determine the relative shift in an x direction by analyzing an image of the second structures.
  • the first COS or LOS has a different location than the second COS or LOS.
  • the first image of the first structures' COS is analyzed to determine whether the first structures have a shift in the x direction that is out of specification, and the second image of the second structures' COS is analyzed determine whether the second structures have a shift in the y direction that is out of specification.
  • the first and second images are acquired together in a same field of view.
  • analyzing the first image comprises (i) when it is determined that the first structures fail to have a 180 rotational or mirror symmetry, determining that the first structures are out of specification; and (ii) when it is determined that the second structures fail to have a 180 rotational or mirror symmetry, determining that the second structures are out of specification.
  • analyzing the first image and analyzing the second image each includes (i) using outside edges of each region of interest of the first or second image to determine a COS or LOS for a first set of substructures and a COS or LOS for a second set of substructures, and (ii) when the COS or LOS of the first set of substructures differs from the COS or LOS of the second set of substructures by more than a predetermined amount, determining that the corresponding structures are out of specification.
  • the first set of substructures are formed from a first layer and the second set of substructures are formed from a second layer.
  • analyzing the first image and analyzing the second image each includes (i) for a first set of substructures, selecting an initial COS or LOS between a plurality of regions of interest, (ii) for the first set of substructures, automatically placing its 180 degree or mirror counterpart based on the initial COS or LOS, respectively, for each of the first and second images, (iii), for the first set of substructures, continuing to move the initial COS or LOS until a best correlation is found between the first substructures and their counterpart, (iv) repeating operations (i) through (iii) for a second set of substructures, (v) when a best correlation is found for both the first and second substructures and when the COS or LOS of the first set of substructures differs from the COS or LOS of the second set of substructures by more than a predetermined amount, determining that the corresponding first structures are out of specification.
  • the first set of substructures are formed from a first layer and the
  • the overlay error between two or more successive layers of a substrate is determined.
  • An image is acquired of a plurality of first structures formed in a first semiconductor layer and having a first center of symmetry (COS) or line of symmetry (LOS) and a plurality of second structures formed in a second semiconductor layer and having a second COS or LOS.
  • the first COS or LOS is designed to have a known offset from the second COS or LOS so that the overlay error can be determined by acquiring an image of the first and second structures and then analyzing a shift between the first and second COS's or LOS's in the image and comparing the shift to the known offset.
  • the image of the first and second structures' COS or LOS is analyzed to determine whether there is an overlay error between the first and second structures that is out of specification.
  • the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS
  • the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS
  • the first and second structures are in the form of device structures.
  • a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS.
  • the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.
  • the present invention is illustrated by way of example, and not by way of limitation.
  • FIG. 1 is a top plan view of a box-in-box type overlay mark.
  • FIG. 2 is a top plan view of overlay targets for measuring overlay error between two different process layers in both an x and y direction in accordance with one embodiment of the present invention.
  • FIG. 3 is a diagrammatic top view an overlay target, wherein one of its x and y direction structures has a 180° rotational symmetry while the other of its x and y direction structures has a mirror symmetry, in accordance with an alternative embodiment of the present invention.
  • FIG. 4A is a diagrammatic top view of an overlay target in accordance with a specific implementation of the present invention.
  • FIG. 4B is a diagrammatic top view of an overlay target in accordance with an alternative implementation of the present invention.
  • FIGS. 4C through 4E together illustrate a technique for forming combination dummy and overlay structures, as well as example structures, in accordance with specific implementations of the present invention.
  • FIG. 5 is a flowchart illustrating a procedure for inspecting targets in accordance with techniques of the present invention.
  • FIG. 6 is a flowchart illustrating the operation of FIG. 5 for determining whether a target is out of specification in accordance with a specific implementation of the present invention.
  • the present invention provides semiconductor targets for determining an overlay error between two process layers or a shift between two sets of structures on the same layer, where the target structures are designed with a known relationship between their symmetry characteristics.
  • the following target examples are shown to have structures on two layers for measuring overlay, it is readily apparent that each target may include two sets of structures on the same layer for determining a shift error between such set of structures.
  • FIG. 2 is a top plan view of overlay targets for measuring overlay error between two different process layers in both an x and y direction in accordance with one embodiment of the present invention.
  • a first target 202 is arranged for measuring an overlay error between a set of first structures 206 in a first layer and a set of second structures 208 in a second layer with respect to an x direction.
  • a second target 204 is arranged for measuring an overlay error between a set of first structures 212 in a first layer and a set of second structures 214 in a second layer with respect to a y direction.
  • each of the x and y targets are designed so that its first structures have a same 180° rotational center of symmetry as its second structures although the x direction target 202 is designed to have a center of symmetry (COS) 210 that has a different location than the y direction target 204 COS 214 .
  • COS center of symmetry
  • the x direction target 202 has first structures that are divided into two groups 206 a and 206 b that are positioned with respect to each other so that if they were rotated 180° about a center of symmetry 210 , the first structures would have a same appearance before and after such rotation.
  • the x direction target 204 also includes second structures 208 that are divided into two groups 208 a and 208 b that are positioned with respect to each other so that if they were rotated 180° about a center of symmetry 210 , the first structures would have a same appearance before and after such rotation.
  • the COS of the first structures is at the same position as the COS of the second structures.
  • the COS of the first structures of such target is shifted from the COS of the second structures. This shift is called the overlay error.
  • the overlay error in separate x and y targets may be determined based on a priori knowledge that each target is designed to have structures in each layer that have a 180° rotational symmetry about a same COS. Any shift between the COS's of the first and second layer structures may be imaged and measured as an overlay error.
  • the x and/or y targets of FIG. 2 may be arranged so that the first and second structures have a COS with a known offset. In this case, if the shift does not match the known offset, the amount of variance corresponds to the overlay error.
  • FIG. 3 is a diagrammatic top view an overlay target 300 , wherein one of its x and y direction structures has a 180° rotational symmetry while the other of its x and y direction structures has a mirror symmetry, in accordance with an alternative embodiment of the present invention.
  • the target 300 includes x direction structures 306 and 308 and y direction structures 302 and 304 .
  • the x direction structures include a first set of structures 306 a and 306 b on a first layer and a second set of structures 308 a and 308 b on a second layer.
  • the y direction structures include a first set of structures 302 a and 302 b on a first layer and a single structure 304 on a second layer.
  • the first and second structures of the x direction target are designed to have a 180° rotational symmetry with respect to a same center of symmetry (COS) 310
  • the first and second structures of the y direction target are designed to have a mirror symmetry with respect to a same line of symmetry (LOS) 312
  • a shift between either the COS's or LOS's of the first and second layer structures can be imaged and measured to determine an overlay error in the x or y direction, respectively.
  • the x and/or y targets of FIG. 3 may be arranged so that the first and second structures have COS's or LOS's with a known offset. In this case, if the shift does not match the known offset, the amount of variance corresponds to the overlay error.
  • Targets having flexible symmetry characteristics may be in the form of device structures.
  • device structures which have inherent symmetrical properties, such as a 180 rotational symmetry and/or a mirror symmetry for structures in a first and second layer may be used. These structures may also have a known offset between their COS's or LOS's.
  • Such devices may be identified by the designer and identified by tags in the design layout. Alternatively, such “target” devices may be located manually or automatically after fabrication.
  • the target structures of the present invention may have any suitable shape and arrangement so as to provide flexible symmetry characteristics.
  • FIGS. 4A and 4B illustrate various examples of target shapes and arrangements. Although the targets are shown as having structures on a first layer with a same COS as structures on a second layer, the first and second layer structures may easily be designed to have different COS's. These FIGS. 4A and 4B are merely meant to illustrate the different shapes that the targets of the present invention may take.
  • FIG. 4A is a diagrammatic top view of an overlay target in accordance with a specific implementation of the present invention.
  • Each set of target structures in each layer may include any number and shape of structures.
  • a first set of structures in a first layer (shaded black) includes structure 402 a through 402 d which have a center of symmetry 410 .
  • Structures 402 a and 402 c are 7 sided polygons, while structures 402 b and 402 d are triangles.
  • a second set of structures in a second layer includes structure 404 a through 404 d which have the same center of symmetry 410 as the first set of structures in the first layer.
  • Structures 404 a and 404 c are star shaped polygons, while structures 404 b and 404 d are cross shaped polygons.
  • the center of symmetry of the first layer structures is offset from the center of symmetry of the second layer structures by a known distance (not shown).
  • FIG. 4B is a diagrammatic top view of an overlay target in accordance with an alternative implementation of the present invention.
  • each structure includes a plurality of horizontal or vertical lines in two different layers. A first layer is shaded black, while a second layer is shaded gray.
  • Each horizontal and vertical line may also be formed from a plurality of segments (not shown).
  • horizontal structures 452 a, 452 b, 452 e and 452 f and vertical structures 452 b, 452 c, 452 d, 452 g, and 452 h have a same center of symmetry 454 .
  • the different layers of each set of vertical and horizontal structures are shown as having a same center of symmetry.
  • the center of symmetry of the horizontal structures is offset from the center of symmetry vertical structures by a known distance (not shown).
  • the center of symmetry of the first layer structures is offset from the center of symmetry of the second layer structures (from the horizontal and/or vertical structures) by a known distance (not shown).
  • the target rules preferably include a requirement that the target be placed in a layer which is measurable or inspectable by a particular type of tool.
  • the target may have to be on a top layer or be covered with only optically transparent layers so that the target may be inspected by an optical tool.
  • the target may be required to be underneath an opaque layer so that the opaque layer's conformance to the underlying target may be inspected and/or measured.
  • each inspection, review, or metrology tool typically has a size constraint as to the measured or inspected structure. That is, structures below a particular size cannot be seen. Therefore, the targets must be sized so that they can be measured or inspected by the relevant tool.
  • targets of the present invention described herein may be placed in any suitable space on the wafer.
  • the targets may be placed in the scribe line or within the dies themselves.
  • the die layout may also be analyzed to determine whether particular portions or areas have a characteristic which negatively or positively affects metrology or inspection results, as compared with other areas of the die layout. For example, particular layout characteristics may result in more reliable or accurate metrology or inspection results.
  • targets may be placed in areas which have characteristics that positively affect the metrology or inspection.
  • a chemical mechanical polishing (CMP) procedure is typically tuned to achieve superior accuracy with a particular feature density range.
  • targets such as overlay targets, may be placed in layout regions which are within the particular feature density range for an optimal CMP process.
  • the circuit designer may be aware of feature locations in the die layout which are most susceptible to error or defects.
  • the designer may communicate the position of such features to the target placement software or layout engineer so that targets may be placed proximate to such problem features. This placement technique would likely result in a higher incidence of defect capture and more reliable resulting products.
  • the targets may also be placed within a dummy layer. It is common practice in semiconductor manufacturing today to include dummy structures in open areas of the circuit layout to ensure uniform pattern density. Dummy structures are generally used for optimal results in chemical mechanical polishing and other semiconductor manufacturing processes.
  • a structure which has two components that serve both purposes of a dummy structure and a metrology (or inspection) target would efficiently utilize the open spaces of the die area to increase CMP uniformity (and other dummy requirements where applicable), as well as to provide a metrology or inspection target.
  • a new type of metrology or inspection may be used with such combination marks. For example, a particular design pattern's fidelity may be monitored via such combination target. That is, a designer's intent regarding a particular pattern's function or structure may be verified with respect to the pattern being combined and measured or inspected in a dummy structure.
  • a combination target and dummy structure can be achieved in a number of different ways.
  • the structures can be designed on two masks such that they form interlaced periodic structures.
  • Any suitable types of overlay structures may be altered to have flexible COS's or LOS's as described herein.
  • Suitably modifiable overlay targets and techniques for determining overlay with same are described in the following U.S. patents and applications: (1) U.S. Pat. No. 6,462,818, issued 8 Oct. 2002, entitled “OVERLAY ALIGNMENT MARK DESIGN”, by Bareket, (2) U.S. Pat. No. 6,023,338, issued 8 Feb. 2000, entitled “OVERLAY ALIGNMENT MEASUREMENT OF WAFER”, by Bareket, (3) application Ser.
  • An overlay type combination and dummy structure includes two components one on a first layer or mask and one on a second layer or mask. Each component preferably complies with the requirements for a dummy structure of the process step associated with that layer or mask.
  • a further example may be a case where these periodic structures are aligned such that the component on a first mask is symmetrically positioned with respect to the component on a second mask when the masks are correctly aligned.
  • the component on a first mask may be designed to fit into the open spaces within the component on a second mask and visa versa.
  • the periodic component on the two masks could be identical but offset by half a unit cell of the periodic structure along both x and y axes.
  • the component on a first mask may have a different structure than the component on a second mask but is still offset by half a unit cell of the component as above.
  • Example overlay type combination targets are shown in FIG. 4C through 4E .
  • Each component may also contain an additional coarse segmentation which is periodic and is designed to improve the contrast and information content for the metrology tool as further described in the above referenced U.S. application Ser. No. 10/367,124 by Mike Adel et al.
  • FIGS. 4C through 4E together illustrate a technique for forming combination dummy and overlay structures, as well as example structures, in accordance with specific implementations of the present invention.
  • An open space may be filled with any suitably sized and shaped combination dummy and target structures (referred to herein as targets).
  • targets are formed within an open area.
  • the targets include a first set of structures on a first layer (e.g., the “+” shaped structures) and a second set of structures on a second layer (e.g., the hexagon shaped structures).
  • the first set of structures has a COS 410
  • the second set of structures have a second COS 412 that is offset from the first COS 410 .
  • an array of targets may be conceptually used to fill in around actual device structures.
  • an array of hexagon shaped and “+” shaped structures are overlaid onto two device structures.
  • one device structure is shaped like a star and is on a same layer as the hexagon target structures, while another device structure is shaped like an arrow and is on the same layer as the “+” shaped target structures.
  • some of the target structures are removed to accommodate the device structures. That is, target structures on one layer are removed from an area encompassing the device structure on the same layer.
  • the “+” shaped structures are removed from an area encompassing the arrow shaped device structure, and the hexagon shaped structures are removed from an area encompassing the star shaped device structure.
  • the target structures are removed such that a COS of each layer is maintained.
  • the first layer structures have a first COS 420 that differs from the second COS 422 of the second layer structures.
  • both layers of targets are removed from an area encompassing the two overlapping device structures as illustrated in FIG. 4E .
  • the target structures are also removed such that a COS of each layer is maintained.
  • the first layer structures have a first COS 430 that differs from the second COS 432 of the second layer structures.
  • a signal is detected from the field of views (FOV's) as represented in FIGS. 4C-4E .
  • the center of symmetries of the first and second layers are determined.
  • the center of symmetries are designed to be located at a known offset from each other so that a discrepancy can be translated into an overlay value.
  • a first set of structures are used to measure overlay in an x direction and a set of second structures are used to measure overlay in a y direction.
  • the x direction structures have a center of symmetry or line of symmetry that differs from the y direction structures.
  • the FOV includes both targets and devices as in FIGS. 4D and 4E .
  • the signal or image generated from such signal
  • the signal is compared to a design file which identifies device structures and the device structures' contribution to the signal (or image) is subtracted from the signal (or image).
  • the resulting signal (or image) corresponds to the target which may then be assessed as previously described.
  • one may manually train the metrology tool to locate targets by manually moving the tool to known target locations and identifying the targets. These identified targets can then be used by the metrology tool to search for other targets with a similar appearance using standard pattern recognition techniques.
  • a representative target in the design file may be used to train the metrology tool.
  • the representative target may also be located in a easily found position, such as the scribe line.
  • the dummy structure rules may require a particular pattern density or maximum open space size for ensuring a particular level of CMP uniformity.
  • the particular metrology or inspection procedure rules for the targets are followed.
  • overlay metrology technique the structures on two different layers are assessed to determine whether their centers of symmetry are where they should be (e.g., aligned or offset by a known distance) to thereby determine overlay.
  • the structures are designed on two different layers and have a same center of symmetry or known offset centers of symmetry.
  • FIG. 5 is a flowchart illustrating a procedure 500 for inspecting targets fabricated from a layout pattern generated in accordance with techniques of the present invention. Initially, each target is inspected or measured to determine whether a process is out of specification in operation 502 . It is then determined whether a process is out of specification in operation 503 . If a process is not out of specification, the inspection, review, or measurement procedure ends.
  • a subsequent process may be adjusted to compensate for the process which is out of specification in operation 504 . For example, if it is determined that the photoresist pattern is misaligned in any portion, the photoresist may then be stripped and reapplied in a corrected pattern to eliminate the misalignment. The subsequent process is then performed so as to continue fabrication of the same die in operation 506 . For example, the wafer may be patterned.
  • processing of the die may be halted in operation 508 . The die may then be discarded in operation 510 .
  • the process which is out of specification may then be adjusted for subsequent die(s) in operation 512 .
  • FIG. 6 is a flow chart illustrating the operation 503 of FIG. 5 for determining whether a target is out of specification in accordance with a specific implementation of the present invention.
  • this procedure is described with respect to a target having structures with a 180° rotational COS, of course, this procedure may be easily modified for structures with mirror symmetry. This procedure may also be applied to determining an alignment error between two sets of structures on the same layer, rather than an overlay error on two different layers as illustrated.
  • the center of either X or Y target structures are initially moved to the center of the FOV of the inspection tool in operation 602 .
  • the region of interests (ROI's) of each layer are then determined in operation 604 .
  • the x target structures of FIG. 2 will be used to illustrate the procedure of FIG. 6 .
  • four ROI's may be formed for the x direction target structures 206 a, 206 b, 208 a and 208 b of FIG. 2 , as represented by the dotted lines.
  • the dotted line 202 may represent the FOV of the inspection tool, while the cross 210 represents the center of the x target structures.
  • the COS for each set of structures 206 and 208 from the first and second layers, respectively, may be determined using any suitable technique.
  • an edge technique may be utilized to determine COS for the structures in each layer.
  • the outside edges of each ROI of each layer are used to determine the COS for each layer in operation 606 .
  • the outside edges of each ROI may be determined and then the edges are then used to find a center position between the outside edges of each set of structures (e.g., between structures 206 a and structures 206 b).
  • the edge of each set of subresolution lines e.g., the first layer lines of set 452 a
  • COS determination technique is referred to as the correlation technique.
  • an initial COS position is estimated between the ROI's of the structures of each layer in operation 608 .
  • an initial estimate of COS 210 may be positioned between structures 206 a and 206 b.
  • Two linear arrays are then obtained by measuring across the two sets of structures at positions that are equal distances from the initial COS.
  • the structures 206 a and 206 b will tend to each result in a periodic signal with three peak intensity values.
  • the two obtained linear arrays are then flipped horizontally and vertically and matched and a metric of correlation such as the product is calculated.
  • the arrays are moved with respect to one another and the metric is calculated for each offset.
  • the metric is then plotted and the correct COS is located by finding the maximum of the correlation metric.
  • Intelligent searching algorithms e.g., a binary search
  • Intelligent searching algorithms may also be used to efficiently locate the correct COS position.
  • the COS is found using any suitable technique, it is then determined whether the COS of the first layer structures differs from the COS of the second layer structures by more than a predetermined value in operation 614 . If they do not differ by more than the predetermined value, it is determined that the x or y target under analysis in not out of specification in operation 618 . However, if they do differ by more than the predetermined amount, it is determined that the x or y target under analysis is out of specification in operation 616 . The procedure for determining whether the target is out of specification then ends.
  • the techniques of the present invention may be implemented in any suitable combination of software and/or hardware system. Regardless of the system's configuration, it may employ one or more memories or memory modules configured to store data, program instructions for the general-purpose inspection operations and/or the inventive techniques described herein.
  • the program instructions may control the operation of an operating system and/or one or more applications, for example.
  • the memory or memories may also be configured to store layout patterns, layout constraint rules and target rules.
  • the present invention relates to machine readable media that include program instructions, state information, etc. for performing various operations described herein.
  • machine-readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROM disks; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and perform program instructions, such as read-only memory devices (ROM) and random access memory (RAM).
  • ROM read-only memory devices
  • RAM random access memory
  • the invention may also be embodied in a carrier wave traveling over an appropriate medium such as airwaves, optical lines, electric lines, etc.
  • program instructions include both machine code, such as produced by a compiler, and files containing higher level code that may be executed by the computer using an interpreter.

Abstract

Disclosed are overlay targets having flexible symmetry characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets. Techniques for imaging targets with flexible symmetry characteristics and analyzing the acquired images to determine overlay or alignment error are disclosed.

Description

CROSS REFERENCE TO RELATED PATENT APPLICATION
This application is a reissue of application Ser. No. 12/410,317, which claims priority and is a Divisional application of copending application Ser. No. 11/227,764, entitled “APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY”, filed 14 Sep. 2005 by Mark Ghinovker, now U.S. Pat. No. 7,541,201, issued on 2 Jun. 2009, which application claims priority of (a) and is a Continuation-in-part application of application Ser. No. 09/894,987, filed on Jun. 27, 2001, now U.S. Pat. No. 7,068,833, issued on 27 Jun. 2006, which claims priority of Application No. 60/229,256, filed 30 Aug. 2000 and (b) U.S. Provisional Patent Application No. 60/698,535, entitled “APPARATUS AND METHODS FOR DETERMINING OVERLAY STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY”, filed 11 Jul. 2005 by Mark Ghinovker, and (c) is a Continuation-in-part application of application Ser. No. 10/729,838, filed on Dec. 5, 2003, now U.S. Pat. No. 7,317,531, issued on 8 Jan. 2008, which application claims priority of (i) Application No. 60/440,970, filed 17 Jan. 2003, (ii) Application No. 60/449,496, filed 22 Feb. 2003, (iii) Application No. 60/431,314, filed 5 Dec. 2002, (iv) Application No. 60/504,093, filed 19 Sep. 2003, and (v) Application No. 60/498,524, filed 27 Aug. 2003. These applications and patents are incorporated herein by reference in their entirety for all purposes. Application Ser. No. 12/410,317 also is a Continuation-In-Part application and claims priority of application Ser. No. 11,926,603, filed on 29 Oct. 2007, now U.S. Pat. No. 7,564,557, issued on 21 Jul. 2009, which is a Divisional application and claims priority of application Ser. No. 10/785,732, filed on 23 Feb. 2004, now U.S. Pat. No. 7,289,213, issued on 30 Oct. 2007, which is a Continuation-In-Part application and claims priority of application Ser. No. 10/729,838, filed on 5 Dec. 2003, now U.S. Pat. No. 7,317,531, issued on 8 Jan. 2008, which claims priority of application Ser. No. 60/431,314, filed on 5 Dec. 2002, application Ser. No. 60/440,970, filed on 17 Jan. 2003, application Ser. No. 60/504,093, filed on 19 Sep. 2003, application Ser. No. 60/449,496, filed 22 Feb. 2003, and application Ser. No. 60/498,524, filed on 27 Aug. 2003.
BACKGROUND OF THE INVENTION
The present invention relates generally to overlay measurement techniques, which are used in semiconductor manufacturing processes. More specifically, the present invention relates to techniques for measuring alignment error between different layers or different patterns on the same layer of a semiconductor wafer stack.
The measurement of overlay error between successive patterned layers on a wafer is one of the most critical process control techniques used in the manufacturing of integrated circuits and devices. Overlay accuracy generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second patterned layer disposed above or below it and to the determination of how accurately a first pattern aligns with respect to a second pattern disposed on the same layer. Presently, overlay measurements are performed via test patterns that are printed together with layers of the wafer. The images of these test patterns are captured via an imaging tool and an analysis algorithm is used to calculate the relative displacement of the patterns from the captured images.
The most commonly used overlay target pattern is the “Box-in-Box” target, which includes a pair of concentric squares (or boxes) that are formed on successive layers of the wafer. The overlay error is generally determined by comparing the position of one square relative to another square.
To facilitate discussion, FIG. 1 is a top view of a typical “Box-in-Box” target 10. As shown, the target 10 includes an inner box 12 disposed within an open-centered outer box 14. The inner box 12 is printed on the top layer of the wafer while the outer box 14 is printed on the layer directly below the top layer of the wafer. As is generally well known, the overlay error between the two boxes, along the x-axis for example, is determined by calculating the locations of the edges of lines c1 and c2 of the outer box 14, and the edge locations of the lines c3 and c4 of the inner box 12, and then comparing the average separation between lines c1 and c3 with the average separation between lines c2 and c4. Half of the difference between the average separations c1&c3 and c2&c4 is the overlay error (along the x-axis). Thus, if the average spacing between lines c1 and c3 is the same as the average spacing between lines c2 and c4, the corresponding overlay error tends to be zero. Although not described, the overlay error between the two boxes along the y-axis may also be determined using the above technique.
This type of target has a same center of symmetry (COS) for both the x and y structures, as well as for the first and second layer structures. When the target structures are rotated 180° about their COS, they maintain a same appearance. Conventionally, it has been a requirement that both the x and y structures and both the first and second layer structures have a same COS. However, these requirements may be too restrictive under certain conditions. For example, space may be limited on the wafer and a target having x and y structures (or first and second layer structures) with the same COS may not fit into the available space. Additionally, it may be desirable to use device structures for determining overlay, and device structures are not likely to meet this strict requirement.
Although this conventional overlay design has worked well, there are continuing efforts to provide improved techniques for determining or predicting overlay in device structures. For example, targets or device structures that have more flexible symmetry characteristics, as well as techniques for determining overlay with such structures, are needed.
SUMMARY OF THE INVENTION
In general, overlay targets having flexible symmetry characteristics and metrology techniques for measuring the overlay error between two or more successive layers of such targets or a shift between two sets of structures on the same layer are provided. In one embodiment, a target includes structures for measuring overlay error (or a shift) in both the x and y direction, wherein the x structures have a different center of symmetry (COS) than the y structures. In another embodiment, one of the x and y structures is invariant with a 180° rotation and the other one of the x and y structures has a mirror symmetry. In one aspect, the x and y structures together are variant with a 180° rotation. In yet another example, a target for measuring overlay in the x and/or y direction includes structures on a first layer having a 180 symmetry and structures on a second layer having mirror symmetry. In another embodiment, a target for determining overlay in the x and/or y direction includes structures on a first layer and structures on a second layer, wherein the structures on the first layer have a COS that is offset by a known amount from the COS of the structures on the second layer. In a specific implementation, any of the disclosed target embodiments may take the form of device structures. In a use case, device structures that have an inherent 180° rotational symmetry or a mirror symmetry in each of the first and second layers are used to measure overlay in a first layer and a second layer. Techniques for imaging targets with flexible symmetry characteristics and analyzing the acquired images to determine overlay or alignment error are disclosed.
In one embodiment, a semiconductor target for determining a relative shift between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate is disclosed. This target includes a plurality of first structures having a first center of symmetry (COS) or first line of symmetry (LOS) and being arranged to determine the relative shift in an x direction by analyzing an image of the first structures. This target further includes a plurality of second structures having a second COS or second LOS and being arranged to determine the relative shift in an x direction by analyzing an image of the second structures. The first COS or LOS has a different location than the second COS or LOS.
In a further aspect, the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS, and the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS. In another aspect, the first and second structures are in the form of device structures. In a further embodiment, a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS. In yet a further implementation, the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.
In an alternative embodiment, a semiconductor target for determining an overlay error between two or more successive layers of a substrate is disclosed. This target comprises a plurality of first structures formed in a first semiconductor layer and having a first center of symmetry or first line of symmetry (LOS) and a plurality of second structures formed in a second semiconductor layer and having a second COS OR LOS. The first COS OR LOS is designed to have a known offset from the second COS or LOS so that the overlay error can be determined by acquiring an image of the first and second structures and then analyzing a shift between the first and second COS's or LOS's in the image and comparing the shift to the known offset.
In a specific implementation, the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS, and the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS. In yet a further aspect, the first and second structures are in the form of device structures. In another implantation, a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS. In a further implementation, the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.
In another embodiment, the invention pertains to a method for determining the relative shift between two or more successive layers of a substrate or between two or more separately generated patterns on a single layer of a substrate. A first image is acquired of a plurality of first structures having a first center of symmetry (COS) or first line of symmetry (LOS) and being arranged to determine the relative shift in an x direction by analyzing an image of the first structures. A first image is acquired of a plurality of second structures having a second COS or second LOS and being arranged to determine the relative shift in an x direction by analyzing an image of the second structures. The first COS or LOS has a different location than the second COS or LOS. The first image of the first structures' COS is analyzed to determine whether the first structures have a shift in the x direction that is out of specification, and the second image of the second structures' COS is analyzed determine whether the second structures have a shift in the y direction that is out of specification.
In a specific aspect, the first and second images are acquired together in a same field of view. In another aspect, analyzing the first image comprises (i) when it is determined that the first structures fail to have a 180 rotational or mirror symmetry, determining that the first structures are out of specification; and (ii) when it is determined that the second structures fail to have a 180 rotational or mirror symmetry, determining that the second structures are out of specification. In another feature, analyzing the first image and analyzing the second image each includes (i) using outside edges of each region of interest of the first or second image to determine a COS or LOS for a first set of substructures and a COS or LOS for a second set of substructures, and (ii) when the COS or LOS of the first set of substructures differs from the COS or LOS of the second set of substructures by more than a predetermined amount, determining that the corresponding structures are out of specification. In a further aspect, the first set of substructures are formed from a first layer and the second set of substructures are formed from a second layer.
In yet another implementation, analyzing the first image and analyzing the second image each includes (i) for a first set of substructures, selecting an initial COS or LOS between a plurality of regions of interest, (ii) for the first set of substructures, automatically placing its 180 degree or mirror counterpart based on the initial COS or LOS, respectively, for each of the first and second images, (iii), for the first set of substructures, continuing to move the initial COS or LOS until a best correlation is found between the first substructures and their counterpart, (iv) repeating operations (i) through (iii) for a second set of substructures, (v) when a best correlation is found for both the first and second substructures and when the COS or LOS of the first set of substructures differs from the COS or LOS of the second set of substructures by more than a predetermined amount, determining that the corresponding first structures are out of specification. In a further aspect, the first set of substructures are formed from a first layer and the second set of substructures are formed from a second layer.
In a further method embodiment, the overlay error between two or more successive layers of a substrate is determined. An image is acquired of a plurality of first structures formed in a first semiconductor layer and having a first center of symmetry (COS) or line of symmetry (LOS) and a plurality of second structures formed in a second semiconductor layer and having a second COS or LOS. The first COS or LOS is designed to have a known offset from the second COS or LOS so that the overlay error can be determined by acquiring an image of the first and second structures and then analyzing a shift between the first and second COS's or LOS's in the image and comparing the shift to the known offset. The image of the first and second structures' COS or LOS is analyzed to determine whether there is an overlay error between the first and second structures that is out of specification.
In a specific implementation, the first structures have a first LOS about which the first structures have a mirror symmetry or the first structures have a 180° rotational symmetry with respect to the first COS, and the second structures have a first LOS about which the second structures have a mirror symmetry or the second structures have a 180° rotational symmetry with respect to the second COS. In another implementation, the first and second structures are in the form of device structures. In another embodiment, a one of the first or second structures has a 180° rotational symmetry with respect to its COS and the other one of the first or second structures' has a mirror symmetry with respect to its LOS. In another aspect, the first structures and the second structures together are variant with a 180° rotational asymmetry or together have a mirror asymmetry.
These and other features and advantages of the present invention will be presented in more detail in the following specification of the invention and the accompanying figures which illustrate by way of example the principles of the invention.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention is illustrated by way of example, and not by way of limitation.
FIG. 1 is a top plan view of a box-in-box type overlay mark.
FIG. 2 is a top plan view of overlay targets for measuring overlay error between two different process layers in both an x and y direction in accordance with one embodiment of the present invention.
FIG. 3 is a diagrammatic top view an overlay target, wherein one of its x and y direction structures has a 180° rotational symmetry while the other of its x and y direction structures has a mirror symmetry, in accordance with an alternative embodiment of the present invention.
FIG. 4A is a diagrammatic top view of an overlay target in accordance with a specific implementation of the present invention.
FIG. 4B is a diagrammatic top view of an overlay target in accordance with an alternative implementation of the present invention.
FIGS. 4C through 4E together illustrate a technique for forming combination dummy and overlay structures, as well as example structures, in accordance with specific implementations of the present invention.
FIG. 5 is a flowchart illustrating a procedure for inspecting targets in accordance with techniques of the present invention.
FIG. 6 is a flowchart illustrating the operation of FIG. 5 for determining whether a target is out of specification in accordance with a specific implementation of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
Reference will now be made in detail to a specific embodiment of the invention. An example of this embodiment is illustrated in the accompanying drawings. While the invention will be described in conjunction with this specific embodiment, it will be understood that it is not intended to limit the invention to one embodiment. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
In general, the present invention provides semiconductor targets for determining an overlay error between two process layers or a shift between two sets of structures on the same layer, where the target structures are designed with a known relationship between their symmetry characteristics. Although the following target examples are shown to have structures on two layers for measuring overlay, it is readily apparent that each target may include two sets of structures on the same layer for determining a shift error between such set of structures.
FIG. 2 is a top plan view of overlay targets for measuring overlay error between two different process layers in both an x and y direction in accordance with one embodiment of the present invention. As shown, a first target 202 is arranged for measuring an overlay error between a set of first structures 206 in a first layer and a set of second structures 208 in a second layer with respect to an x direction. A second target 204 is arranged for measuring an overlay error between a set of first structures 212 in a first layer and a set of second structures 214 in a second layer with respect to a y direction.
In this embodiment, each of the x and y targets are designed so that its first structures have a same 180° rotational center of symmetry as its second structures although the x direction target 202 is designed to have a center of symmetry (COS) 210 that has a different location than the y direction target 204 COS 214. For example, the x direction target 202 has first structures that are divided into two groups 206a and 206b that are positioned with respect to each other so that if they were rotated 180° about a center of symmetry 210, the first structures would have a same appearance before and after such rotation. The x direction target 204 also includes second structures 208 that are divided into two groups 208a and 208b that are positioned with respect to each other so that if they were rotated 180° about a center of symmetry 210, the first structures would have a same appearance before and after such rotation. In this illustration, the COS of the first structures is at the same position as the COS of the second structures. When a overlay error is present within a target, the COS of the first structures of such target is shifted from the COS of the second structures. This shift is called the overlay error.
The overlay error in separate x and y targets may be determined based on a priori knowledge that each target is designed to have structures in each layer that have a 180° rotational symmetry about a same COS. Any shift between the COS's of the first and second layer structures may be imaged and measured as an overlay error. In alternative embodiments, the x and/or y targets of FIG. 2 may be arranged so that the first and second structures have a COS with a known offset. In this case, if the shift does not match the known offset, the amount of variance corresponds to the overlay error.
FIG. 3 is a diagrammatic top view an overlay target 300, wherein one of its x and y direction structures has a 180° rotational symmetry while the other of its x and y direction structures has a mirror symmetry, in accordance with an alternative embodiment of the present invention. As shown, the target 300 includes x direction structures 306 and 308 and y direction structures 302 and 304. The x direction structures include a first set of structures 306a and 306b on a first layer and a second set of structures 308a and 308b on a second layer. The y direction structures include a first set of structures 302a and 302b on a first layer and a single structure 304 on a second layer. The first and second structures of the x direction target are designed to have a 180° rotational symmetry with respect to a same center of symmetry (COS) 310, while the first and second structures of the y direction target are designed to have a mirror symmetry with respect to a same line of symmetry (LOS) 312. A shift between either the COS's or LOS's of the first and second layer structures can be imaged and measured to determine an overlay error in the x or y direction, respectively. In alternative embodiments, the x and/or y targets of FIG. 3 may be arranged so that the first and second structures have COS's or LOS's with a known offset. In this case, if the shift does not match the known offset, the amount of variance corresponds to the overlay error.
Targets having flexible symmetry characteristics may be in the form of device structures. In other words, device structures which have inherent symmetrical properties, such as a 180 rotational symmetry and/or a mirror symmetry for structures in a first and second layer may be used. These structures may also have a known offset between their COS's or LOS's. Such devices may be identified by the designer and identified by tags in the design layout. Alternatively, such “target” devices may be located manually or automatically after fabrication.
The target structures of the present invention may have any suitable shape and arrangement so as to provide flexible symmetry characteristics. FIGS. 4A and 4B illustrate various examples of target shapes and arrangements. Although the targets are shown as having structures on a first layer with a same COS as structures on a second layer, the first and second layer structures may easily be designed to have different COS's. These FIGS. 4A and 4B are merely meant to illustrate the different shapes that the targets of the present invention may take.
FIG. 4A is a diagrammatic top view of an overlay target in accordance with a specific implementation of the present invention. Each set of target structures in each layer may include any number and shape of structures. A first set of structures in a first layer (shaded black) includes structure 402a through 402d which have a center of symmetry 410. Structures 402a and 402c are 7 sided polygons, while structures 402b and 402d are triangles. A second set of structures in a second layer (shaded gray) includes structure 404a through 404d which have the same center of symmetry 410 as the first set of structures in the first layer. Structures 404a and 404c are star shaped polygons, while structures 404b and 404d are cross shaped polygons. In one embodiment of the present invention, the center of symmetry of the first layer structures is offset from the center of symmetry of the second layer structures by a known distance (not shown).
FIG. 4B is a diagrammatic top view of an overlay target in accordance with an alternative implementation of the present invention. In this embodiment, each structure includes a plurality of horizontal or vertical lines in two different layers. A first layer is shaded black, while a second layer is shaded gray. Each horizontal and vertical line may also be formed from a plurality of segments (not shown). As shown, horizontal structures 452a, 452b, 452e and 452f and vertical structures 452b, 452c, 452d, 452g, and 452h have a same center of symmetry 454. Additionally, the different layers of each set of vertical and horizontal structures are shown as having a same center of symmetry. In a specific implementation of the present invention, the center of symmetry of the horizontal structures is offset from the center of symmetry vertical structures by a known distance (not shown). In another specific implementation of the present invention, the center of symmetry of the first layer structures (from the horizontal and/or vertical structures) is offset from the center of symmetry of the second layer structures (from the horizontal and/or vertical structures) by a known distance (not shown).
The target rules preferably include a requirement that the target be placed in a layer which is measurable or inspectable by a particular type of tool. For example, the target may have to be on a top layer or be covered with only optically transparent layers so that the target may be inspected by an optical tool. In other applications, the target may be required to be underneath an opaque layer so that the opaque layer's conformance to the underlying target may be inspected and/or measured. Additionally, each inspection, review, or metrology tool typically has a size constraint as to the measured or inspected structure. That is, structures below a particular size cannot be seen. Therefore, the targets must be sized so that they can be measured or inspected by the relevant tool.
The targets of the present invention described herein may be placed in any suitable space on the wafer. By way of examples, the targets may be placed in the scribe line or within the dies themselves. When targets are placed in a die, the die layout may also be analyzed to determine whether particular portions or areas have a characteristic which negatively or positively affects metrology or inspection results, as compared with other areas of the die layout. For example, particular layout characteristics may result in more reliable or accurate metrology or inspection results. In one specific case, targets may be placed in areas which have characteristics that positively affect the metrology or inspection. In an example of such a feature characteristic, a chemical mechanical polishing (CMP) procedure is typically tuned to achieve superior accuracy with a particular feature density range. Thus, targets, such as overlay targets, may be placed in layout regions which are within the particular feature density range for an optimal CMP process.
The circuit designer may be aware of feature locations in the die layout which are most susceptible to error or defects. The designer may communicate the position of such features to the target placement software or layout engineer so that targets may be placed proximate to such problem features. This placement technique would likely result in a higher incidence of defect capture and more reliable resulting products.
The targets may also be placed within a dummy layer. It is common practice in semiconductor manufacturing today to include dummy structures in open areas of the circuit layout to ensure uniform pattern density. Dummy structures are generally used for optimal results in chemical mechanical polishing and other semiconductor manufacturing processes.
In order to enable targets inside the chip area, there are significant advantages in combining the functionality of the particular metrology (or inspection) target with the purpose of the dummy structures. That is, a structure which has two components that serve both purposes of a dummy structure and a metrology (or inspection) target would efficiently utilize the open spaces of the die area to increase CMP uniformity (and other dummy requirements where applicable), as well as to provide a metrology or inspection target. Additionally, a new type of metrology or inspection may be used with such combination marks. For example, a particular design pattern's fidelity may be monitored via such combination target. That is, a designer's intent regarding a particular pattern's function or structure may be verified with respect to the pattern being combined and measured or inspected in a dummy structure.
A combination target and dummy structure can be achieved in a number of different ways. In one example of a combination dummy and overlay structure, the structures can be designed on two masks such that they form interlaced periodic structures. Any suitable types of overlay structures may be altered to have flexible COS's or LOS's as described herein. Suitably modifiable overlay targets and techniques for determining overlay with same are described in the following U.S. patents and applications: (1) U.S. Pat. No. 6,462,818, issued 8 Oct. 2002, entitled “OVERLAY ALIGNMENT MARK DESIGN”, by Bareket, (2) U.S. Pat. No. 6,023,338, issued 8 Feb. 2000, entitled “OVERLAY ALIGNMENT MEASUREMENT OF WAFER”, by Bareket, (3) application Ser. No. 09/894,987, filed 27 Jun. 2001, entitled “OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS”, by Ghinovker et al., (4) U.S. Pat. No. 6,486,954, issued 26 Nov. 2002, entitled “OVERLAY ALIGNMENT MEASUREMENT MARK” by Levy et al., (5) application Ser. No. 10/367,124, filed 13 Feb. 2004, entitled OVERLAY METROLOGY AND CONTROL METHOD, by Mike Adel et al, (6) application Ser. No. 10/785,396 filed 23 Feb. 2004, entitled APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY, by Walter D. Mieher, et al., (7) application Ser. No. 10/729,838 filed 5 Dec. 2003, entitled APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY, by Walter D. Mieher, et al., and (8) application Ser. No. 10/858,836 filed 1 Jun. 2004, entitled APPARATUS AND METHODS FOR PROVIDING IN-CHIP MICROTARGETS FOR METROLOGY OR INSPECTION, by Avi Cohen et al. These patents and applications are all incorporated herein by reference in their entirety.
An overlay type combination and dummy structure includes two components one on a first layer or mask and one on a second layer or mask. Each component preferably complies with the requirements for a dummy structure of the process step associated with that layer or mask. A further example may be a case where these periodic structures are aligned such that the component on a first mask is symmetrically positioned with respect to the component on a second mask when the masks are correctly aligned. Also, the component on a first mask may be designed to fit into the open spaces within the component on a second mask and visa versa. As a further particular example, the periodic component on the two masks could be identical but offset by half a unit cell of the periodic structure along both x and y axes. Alternatively the component on a first mask may have a different structure than the component on a second mask but is still offset by half a unit cell of the component as above. Example overlay type combination targets are shown in FIG. 4C through 4E.
Each component may also contain an additional coarse segmentation which is periodic and is designed to improve the contrast and information content for the metrology tool as further described in the above referenced U.S. application Ser. No. 10/367,124 by Mike Adel et al.
FIGS. 4C through 4E together illustrate a technique for forming combination dummy and overlay structures, as well as example structures, in accordance with specific implementations of the present invention. An open space may be filled with any suitably sized and shaped combination dummy and target structures (referred to herein as targets). As shown in FIG. 4C, an array of targets are formed within an open area. The targets include a first set of structures on a first layer (e.g., the “+” shaped structures) and a second set of structures on a second layer (e.g., the hexagon shaped structures). Note that the first set of structures has a COS 410, while the second set of structures have a second COS 412 that is offset from the first COS 410.
In another technique, an array of targets may be conceptually used to fill in around actual device structures. As shown in FIG. 4D, an array of hexagon shaped and “+” shaped structures are overlaid onto two device structures. For illustration purposes, one device structure is shaped like a star and is on a same layer as the hexagon target structures, while another device structure is shaped like an arrow and is on the same layer as the “+” shaped target structures. After the target array is overlaid with the device structures, some of the target structures are removed to accommodate the device structures. That is, target structures on one layer are removed from an area encompassing the device structure on the same layer. As shown, the “+” shaped structures are removed from an area encompassing the arrow shaped device structure, and the hexagon shaped structures are removed from an area encompassing the star shaped device structure. The target structures are removed such that a COS of each layer is maintained. For example, the first layer structures have a first COS 420 that differs from the second COS 422 of the second layer structures.
If the device structures on two different layers are overlapping, however, both layers of targets are removed from an area encompassing the two overlapping device structures as illustrated in FIG. 4E. In this example, the target structures are also removed such that a COS of each layer is maintained. For example, the first layer structures have a first COS 430 that differs from the second COS 432 of the second layer structures.
In these combination dummy and target examples, a signal is detected from the field of views (FOV's) as represented in FIGS. 4C-4E. The center of symmetries of the first and second layers are determined. In embodiments of the present invention, the center of symmetries are designed to be located at a known offset from each other so that a discrepancy can be translated into an overlay value. In alternative embodiments, a first set of structures are used to measure overlay in an x direction and a set of second structures are used to measure overlay in a y direction. The x direction structures have a center of symmetry or line of symmetry that differs from the y direction structures.
When the FOV includes both targets and devices as in FIGS. 4D and 4E, it is first determined which parts of the signal are noise (or device structures) and which parts correspond to the target structures. This determination may be determined in suitable manner. In one embodiment, the signal (or image generated from such signal) is compared to a design file which identifies device structures and the device structures' contribution to the signal (or image) is subtracted from the signal (or image). The resulting signal (or image) corresponds to the target which may then be assessed as previously described. Alternatively, one may manually train the metrology tool to locate targets by manually moving the tool to known target locations and identifying the targets. These identified targets can then be used by the metrology tool to search for other targets with a similar appearance using standard pattern recognition techniques. Alternatively, a representative target in the design file may be used to train the metrology tool. The representative target may also be located in a easily found position, such as the scribe line.
In general, rules for both dummy structures and the particular target type are followed when forming combination dummy and target structures. For instance, the dummy structure rules may require a particular pattern density or maximum open space size for ensuring a particular level of CMP uniformity. Additionally, the particular metrology or inspection procedure rules for the targets are followed. In one type of overlay metrology technique, the structures on two different layers are assessed to determine whether their centers of symmetry are where they should be (e.g., aligned or offset by a known distance) to thereby determine overlay. In this example, the structures are designed on two different layers and have a same center of symmetry or known offset centers of symmetry.
After a die and targets are fabricated, the targets may be inspected, reviewed, or measured in any suitable manner. FIG. 5 is a flowchart illustrating a procedure 500 for inspecting targets fabricated from a layout pattern generated in accordance with techniques of the present invention. Initially, each target is inspected or measured to determine whether a process is out of specification in operation 502. It is then determined whether a process is out of specification in operation 503. If a process is not out of specification, the inspection, review, or measurement procedure ends.
If a process is out of specification, a number of techniques may be implemented to alleviate the problem. In a first technique, a subsequent process may be adjusted to compensate for the process which is out of specification in operation 504. For example, if it is determined that the photoresist pattern is misaligned in any portion, the photoresist may then be stripped and reapplied in a corrected pattern to eliminate the misalignment. The subsequent process is then performed so as to continue fabrication of the same die in operation 506. For example, the wafer may be patterned. In a second technique, processing of the die may be halted in operation 508. The die may then be discarded in operation 510. The process which is out of specification may then be adjusted for subsequent die(s) in operation 512.
One may determine whether the targets with flexible COS's and/or LOS's of the present invention are within specification in any suitable manner. FIG. 6 is a flow chart illustrating the operation 503 of FIG. 5 for determining whether a target is out of specification in accordance with a specific implementation of the present invention. Although this procedure is described with respect to a target having structures with a 180° rotational COS, of course, this procedure may be easily modified for structures with mirror symmetry. This procedure may also be applied to determining an alignment error between two sets of structures on the same layer, rather than an overlay error on two different layers as illustrated.
In the illustrated example of FIG. 6, the center of either X or Y target structures are initially moved to the center of the FOV of the inspection tool in operation 602. The region of interests (ROI's) of each layer are then determined in operation 604. The x target structures of FIG. 2 will be used to illustrate the procedure of FIG. 6. For example, four ROI's may be formed for the x direction target structures 206a, 206b, 208a and 208b of FIG. 2, as represented by the dotted lines. The dotted line 202 may represent the FOV of the inspection tool, while the cross 210 represents the center of the x target structures.
The COS for each set of structures 206 and 208 from the first and second layers, respectively, may be determined using any suitable technique. For example, an edge technique may be utilized to determine COS for the structures in each layer. In the illustrated embodiment, the outside edges of each ROI of each layer are used to determine the COS for each layer in operation 606. For the structures 206 and 208, the outside edges of each ROI may be determined and then the edges are then used to find a center position between the outside edges of each set of structures (e.g., between structures 206a and structures 206b). For structures having subresolution features (e.g., target of FIG. 4B), the edge of each set of subresolution lines (e.g., the first layer lines of set 452a) would be measured as a single edge.
Another COS determination technique is referred to as the correlation technique. In this technique, an initial COS position is estimated between the ROI's of the structures of each layer in operation 608. As shown for the structures 206, an initial estimate of COS 210 may be positioned between structures 206a and 206b. Two linear arrays are then obtained by measuring across the two sets of structures at positions that are equal distances from the initial COS. The structures 206a and 206b will tend to each result in a periodic signal with three peak intensity values. The two obtained linear arrays are then flipped horizontally and vertically and matched and a metric of correlation such as the product is calculated. The arrays are moved with respect to one another and the metric is calculated for each offset. The metric is then plotted and the correct COS is located by finding the maximum of the correlation metric. Intelligent searching algorithms (e.g., a binary search) may also be used to efficiently locate the correct COS position.
Said in another way, for each ROI set of each layer, its 180° rotation counterpart is automatically placed based on the initial COS in operation 610. The COS is continually moved for each layer until the best correlation is found between the rotated image and original images of each layer in operation 612. After the best correlation is found, the COS is found.
After the COS is found using any suitable technique, it is then determined whether the COS of the first layer structures differs from the COS of the second layer structures by more than a predetermined value in operation 614. If they do not differ by more than the predetermined value, it is determined that the x or y target under analysis in not out of specification in operation 618. However, if they do differ by more than the predetermined amount, it is determined that the x or y target under analysis is out of specification in operation 616. The procedure for determining whether the target is out of specification then ends.
The techniques of the present invention may be implemented in any suitable combination of software and/or hardware system. Regardless of the system's configuration, it may employ one or more memories or memory modules configured to store data, program instructions for the general-purpose inspection operations and/or the inventive techniques described herein. The program instructions may control the operation of an operating system and/or one or more applications, for example. The memory or memories may also be configured to store layout patterns, layout constraint rules and target rules.
Because such information and program instructions may be employed to implement the systems/methods described herein, the present invention relates to machine readable media that include program instructions, state information, etc. for performing various operations described herein. Examples of machine-readable media include, but are not limited to, magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROM disks; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and perform program instructions, such as read-only memory devices (ROM) and random access memory (RAM). The invention may also be embodied in a carrier wave traveling over an appropriate medium such as airwaves, optical lines, electric lines, etc. Examples of program instructions include both machine code, such as produced by a compiler, and files containing higher level code that may be executed by the computer using an interpreter.
Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Therefore, the described embodiments should be taken as illustrative and not restrictive, and the invention should not be limited to the details given herein but should be defined by the following claims and their full scope of equivalents.

Claims (11)

What is claimed is:
1. A semiconductor target for determining a relative shift between two or more successive layers of a substrate, the target comprising:
a plurality of first structures formed in a first layer, and the first structures having a first center of symmetry (COS), the first structures being aperiodic; and
a plurality of second structures formed in a second layer, and the second structures having a second COS, the second structures being aperiodic,
wherein the difference between the first COS and the second COS corresponds to an overlay error between the first and second layer and wherein the first and second structures have a 180° rotational symmetry, without having a 90° rotational symmetry, with respect to the first and second COS, respectively.
2. The target of claim 1, wherein the first and second structures are in the form of device structures.
3. The target of claim 1, wherein the first structures include a first set of sub-structures that each has a first shape and a second set of sub-structures that each has a second shape that differs from the first shape.
4. The target of claim 3, wherein the second structures include a third set of sub-structures that each has a third shape and a fourth set of sub-structures that each has a fourth shape that differs from the third shape.
5. The target of claim 4, wherein the first, second, third, and fourth shapes differ from each other.
6. The target of claim 1, wherein the first and second structures are image-based overlay targets.
7. The target of claim 1, wherein a difference between the first COS and the second COS that is greater than a known offset between the first and second COS corresponds to an overlay error between the first and second layer.
8. The target of claim 1, further comprising an opaque layer deposited over the first or second structures.
9. The target of claim 1, wherein the first or second layer is a dummy layer.
10. A wafer having the target of claim 1 and a plurality of dies, wherein the target is formed in a scribe line located between at least some of the dies.
11. A wafer having the target of claim 1 and a plurality of dies, wherein the target is formed within a one of the dies.
US13/875,160 2000-08-30 2013-05-01 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry Expired - Lifetime USRE45245E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/875,160 USRE45245E1 (en) 2000-08-30 2013-05-01 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
US22925600P 2000-08-30 2000-08-30
US09/894,987 US7068833B1 (en) 2000-08-30 2001-06-27 Overlay marks, methods of overlay mark design and methods of overlay measurements
US43131402P 2002-12-05 2002-12-05
US44097003P 2003-01-17 2003-01-17
US44949603P 2003-02-22 2003-02-22
US49852403P 2003-08-27 2003-08-27
US50409303P 2003-09-19 2003-09-19
US10/729,838 US7317531B2 (en) 2002-12-05 2003-12-05 Apparatus and methods for detecting overlay errors using scatterometry
US10/785,732 US7289213B2 (en) 2003-02-22 2004-02-23 Apparatus and methods for detecting overlay errors using scatterometry
US10/785,396 US7385699B2 (en) 2003-02-22 2004-02-23 Apparatus and methods for detecting overlay errors using scatterometry
US69853505P 2005-07-11 2005-07-11
US11/227,764 US7541201B2 (en) 2000-08-30 2005-09-14 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US12/410,317 US8138498B2 (en) 2000-08-30 2009-03-24 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US13/875,160 USRE45245E1 (en) 2000-08-30 2013-05-01 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/410,317 Reissue US8138498B2 (en) 2000-08-30 2009-03-24 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Publications (1)

Publication Number Publication Date
USRE45245E1 true USRE45245E1 (en) 2014-11-18

Family

ID=37618037

Family Applications (6)

Application Number Title Priority Date Filing Date
US11/227,764 Expired - Lifetime US7541201B2 (en) 2000-08-30 2005-09-14 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US12/410,317 Ceased US8138498B2 (en) 2000-08-30 2009-03-24 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US13/407,124 Expired - Fee Related US9182680B2 (en) 2000-08-30 2012-02-28 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US13/875,160 Expired - Lifetime USRE45245E1 (en) 2000-08-30 2013-05-01 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US14/873,120 Expired - Lifetime US9347879B2 (en) 2000-08-30 2015-10-01 Apparatus and methods for detecting overlay errors using scatterometry
US15/136,855 Expired - Lifetime US9702693B2 (en) 2000-08-30 2016-04-22 Apparatus for measuring overlay errors

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US11/227,764 Expired - Lifetime US7541201B2 (en) 2000-08-30 2005-09-14 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US12/410,317 Ceased US8138498B2 (en) 2000-08-30 2009-03-24 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US13/407,124 Expired - Fee Related US9182680B2 (en) 2000-08-30 2012-02-28 Apparatus and methods for determining overlay of structures having rotational or mirror symmetry

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/873,120 Expired - Lifetime US9347879B2 (en) 2000-08-30 2015-10-01 Apparatus and methods for detecting overlay errors using scatterometry
US15/136,855 Expired - Lifetime US9702693B2 (en) 2000-08-30 2016-04-22 Apparatus for measuring overlay errors

Country Status (3)

Country Link
US (6) US7541201B2 (en)
JP (1) JP4926171B2 (en)
WO (1) WO2007008473A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9410902B1 (en) 2015-05-05 2016-08-09 United Microelectronics Corp. Overlay measurement method
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7474401B2 (en) * 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US8927898B2 (en) * 2006-05-01 2015-01-06 Tcz, Llc Systems and method for optimization of laser beam spatial intensity profile
US8369605B2 (en) * 2006-12-15 2013-02-05 Carl Zeiss Sms Gmbh Method and apparatus for determining the position of a structure on a carrier relative to a reference point of the carrier
TWI407853B (en) * 2007-07-22 2013-09-01 Camtek Ltd Method and system for controlling a manufacturing process
EP2028605A1 (en) * 2007-08-20 2009-02-25 Delphi Technologies, Inc. Detection method for symmetric patterns
DE102007046850B4 (en) * 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for determining an overlay accuracy
US7684038B1 (en) * 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
FR2943947B1 (en) * 2009-04-06 2011-12-16 Commissariat Energie Atomique METHOD FOR PRINTING USING A DRIVER IN TWO SUPERIMPOSED LAYERS
KR101395733B1 (en) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8329360B2 (en) * 2009-12-04 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of providing overlay
US8513822B1 (en) * 2010-06-30 2013-08-20 Kla-Tencor Corporation Thin overlay mark for imaging based metrology
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US8781211B2 (en) * 2011-12-22 2014-07-15 Kla-Tencor Corporation Rotational multi-layer overlay marks, apparatus, and methods
US9007585B2 (en) 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
JP6353831B2 (en) * 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション Algorithmic removal from scanning and diffraction optical measurements in angle-resolved reflectometry
EP2867918A1 (en) 2012-06-26 2015-05-06 Kla-Tencor Corporation Near field metrology
KR102094974B1 (en) * 2013-03-08 2020-03-30 삼성전자주식회사 Methods for overlay measurements
WO2015013621A1 (en) * 2013-07-26 2015-01-29 Kla-Tencor Corporation Reflection symmetric scatterometry overlay targets and methods
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US9646902B2 (en) * 2013-08-12 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Paired edge alignment
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
TWI648515B (en) 2013-11-15 2019-01-21 美商克萊譚克公司 Measurement targets and their measurement, target design files, measurement methods and computer-based equipment
JP6291581B2 (en) * 2013-12-30 2018-03-14 エーエスエムエル ネザーランズ ビー.ブイ. Method and apparatus for the design of metrology targets
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
CN106154765B (en) * 2015-04-23 2018-12-21 中芯国际集成电路制造(上海)有限公司 Alignment measuring device
US9530199B1 (en) * 2015-07-13 2016-12-27 Applied Materials Israel Ltd Technique for measuring overlay between layers of a multilayer structure
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR102432776B1 (en) * 2015-10-08 2022-08-17 에스케이하이닉스 주식회사 Manufacturing method of semiconductor device
US10139528B1 (en) * 2016-01-20 2018-11-27 Kla-Tencor Corporation Compound objectives for imaging and scatterometry overlay
US10453758B2 (en) * 2016-03-01 2019-10-22 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter using an asymmetric optical characteristic distribution portion
JP6821700B2 (en) 2016-04-22 2021-01-27 ケーエルエー コーポレイション Small spot size transmission type small angle X-ray beam shaping slit for scatterometry
KR102238466B1 (en) 2016-04-22 2021-04-09 에이에스엠엘 네델란즈 비.브이. Determination of stack differences and correction techniques using stack differences
KR20170138207A (en) * 2016-06-07 2017-12-15 삼성전자주식회사 Method for Inspecting Surface
CN109313392B (en) * 2016-06-10 2021-01-08 Imec 非营利协会 Metrology method and apparatus for semiconductor manufacturing processes
US9653404B1 (en) 2016-08-23 2017-05-16 United Microelectronics Corp. Overlay target for optically measuring overlay alignment of layers formed on semiconductor wafer
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
FR3062516B1 (en) * 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD OF MEASURING THE DEALIGNMENT BETWEEN A FIRST AND A SECOND GRATING AREA
DE112017007043T5 (en) * 2017-02-10 2020-01-16 KLA Corp. REDUCTION OF INACCURACIES RELATING TO GRID ASYMMETRIES IN SCATTEROMETRY MEASUREMENTS
TWI730050B (en) * 2017-02-15 2021-06-11 聯華電子股份有限公司 Overlay mark and method for evaluating stability of semiconductor manufacturing process
JP6960462B2 (en) 2017-02-28 2021-11-05 ケーエルエー コーポレイション Determining the impact of probabilistic behavior on overlay metric data
US10444161B2 (en) * 2017-04-05 2019-10-15 Kla-Tencor Corporation Systems and methods for metrology with layer-specific illumination spectra
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
KR102432667B1 (en) * 2017-05-15 2022-08-17 삼성전자주식회사 method for correcting overlay and control system
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10445889B2 (en) 2017-06-08 2019-10-15 Inspectrology LLC Method for measuring overlay offset in an integrated circuit and related technology
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
JP2019066750A (en) * 2017-10-04 2019-04-25 株式会社ジャパンディスプレイ Display
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US20190250504A1 (en) * 2017-10-23 2019-08-15 Kla-Tencor Corporation Reduction or elimination of pattern placement error in metrology measurements
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
CN111542784A (en) 2018-01-12 2020-08-14 科磊股份有限公司 Metrology targets with tilted periodic structures and methods
US10446367B2 (en) 2018-03-07 2019-10-15 Kla-Tencor Corporation Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
CN108875556B (en) * 2018-04-25 2021-04-23 北京旷视科技有限公司 Method, apparatus, system and computer storage medium for testimony of a witness verification
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
WO2019236084A1 (en) 2018-06-07 2019-12-12 Kla-Tencor Corporation Overlay measurement using phase and amplitude modeling
US10790205B2 (en) * 2018-09-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay structure and method of fabricating the same
US11018064B2 (en) * 2018-12-12 2021-05-25 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
KR20200090488A (en) * 2019-01-21 2020-07-29 삼성전자주식회사 Semiconductor device manufacturing method using thereof
US11610337B2 (en) * 2019-02-17 2023-03-21 Purdue Research Foundation Calibration of cameras and scanners on UAV and mobile platforms
US10839133B1 (en) 2019-05-14 2020-11-17 International Business Machines Corporation Circuit layout similarity metric for semiconductor testsite coverage
KR20220019795A (en) * 2019-07-08 2022-02-17 에이에스엠엘 네델란즈 비.브이. Metrology methods and associated computer products
EP3611567A3 (en) * 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
US11353799B1 (en) * 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11256177B2 (en) 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
CN112838017A (en) * 2019-11-22 2021-05-25 长鑫存储技术有限公司 Photoetching pattern detection method and system
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11874102B2 (en) * 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US10990023B1 (en) 2020-02-27 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for diffraction-based overlay measurement
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11300405B2 (en) * 2020-08-03 2022-04-12 Kla Corporation Grey-mode scanning scatterometry overlay metrology
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11378394B1 (en) 2020-12-11 2022-07-05 Kla Corporation On-the-fly scatterometry overlay metrology target
US11460783B2 (en) 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target

Citations (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594085A (en) 1967-08-31 1971-07-20 Centre Nat Rech Scient Ellipsometric method and device
US4103998A (en) 1975-07-21 1978-08-01 Nippon Kogaku K.K. Automatic alignment apparatus
US4167337A (en) 1976-06-19 1979-09-11 International Business Machines Corporation Interferometric apparatus and process
US4200395A (en) 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4251160A (en) 1976-06-17 1981-02-17 U.S. Philips Corporation Method and arrangement for aligning a mask pattern relative to a semiconductor substrate
US4332473A (en) 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4475811A (en) 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
JPS60126881U (en) 1984-02-04 1985-08-26 株式会社 タニモト Coin shower control device
US4538105A (en) 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
WO1985004266A1 (en) 1984-03-16 1985-09-26 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4631416A (en) 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4647207A (en) 1984-05-24 1987-03-03 Sagax Instrument Ab Ellipsometric method and apparatus
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4714874A (en) 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
US4750836A (en) 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4757707A (en) 1986-03-19 1988-07-19 British Steel Corporation Molten metal gas analysis
JPS63248804A (en) 1987-04-03 1988-10-17 Mitsubishi Petrochem Co Ltd Catalyst for polymerization of olefin
US4778275A (en) 1986-03-12 1988-10-18 U.S. Philips Corp. Method of aligning a mask and a substrate relative to each other and arrangement for carrying out the method
US4782288A (en) 1985-12-31 1988-11-01 Sgs Microelettronica S.P.A. Method for evaluating processing parameters in the manufacture of semiconductor devices
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4820055A (en) 1985-08-26 1989-04-11 Siemens Aktiengesellschaft Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4848911A (en) 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4855253A (en) 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5017514A (en) 1988-11-25 1991-05-21 Nec Corporation Method of manufacturing a semiconductor device using a main vernier pattern formed at a right angle to a subsidiary vernier pattern
US5100237A (en) 1989-04-20 1992-03-31 Asm Lithography Apparatus for projecting a mask pattern on a substrate
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5114235A (en) 1989-07-18 1992-05-19 Canon Kabushiki Kaisha Method of detecting positional deviation
US5148214A (en) 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
US5156982A (en) 1991-01-10 1992-10-20 Shin-Etsu Handotai Co., Ltd. Pattern shift measuring method
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5172190A (en) 1990-01-12 1992-12-15 Karl Suss Kg Prazisionsgerate Fur Wissenschaft Und Industrie - Gmbh & Co. Alignment patterns for two objects to be aligned relative to each other
US5182455A (en) 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US5182610A (en) 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5189494A (en) 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5191393A (en) 1988-12-05 1993-03-02 Micro-Controle Optical measurement device and method
US5216257A (en) 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5262258A (en) 1990-06-12 1993-11-16 Nec Corporation Process of manufacturing semiconductor devices
US5276337A (en) 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5296917A (en) 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5316984A (en) 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
US5327221A (en) 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
US5355306A (en) 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
US5383136A (en) 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
WO1995002200A1 (en) 1993-07-09 1995-01-19 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5388909A (en) 1993-09-16 1995-02-14 Johnson; Shane R. Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5416588A (en) 1992-12-21 1995-05-16 The Board Of Regents Of The University Of Nebraska Small modulation ellipsometry
US5436097A (en) 1992-03-14 1995-07-25 Kabushiki Kaisha Toshiba Mask for evaluation of aligner and method of evaluating aligner using the same
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5465148A (en) 1992-10-23 1995-11-07 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
US5479270A (en) 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5481362A (en) 1990-07-16 1996-01-02 Asm Lithography Apparatus for projecting a mask pattern on a substrate
US5498501A (en) 1990-02-02 1996-03-12 Canon Kabushiki Kaisha Exposure method
JPH08116141A (en) 1994-10-18 1996-05-07 Mitsubishi Electric Corp Superposition accuracy measurement mark
US5525840A (en) 1993-11-18 1996-06-11 Nec Corporation Semiconductor device having an alignment mark
US5596413A (en) 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5596406A (en) 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5627083A (en) 1993-08-03 1997-05-06 Nec Corporation Method of fabricating semiconductor device including step of forming superposition error measuring patterns
US5665495A (en) 1994-03-10 1997-09-09 Hyundai Electronics Industries Co., Ltd. Method for fabricating a semiconductor with a photomask
US5666196A (en) 1993-05-31 1997-09-09 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
US5674650A (en) 1994-08-02 1997-10-07 U.S. Philips Corporation Method of repetitively imaging a mask pattern on a substrate, and apparatus for performing the method
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
US5701013A (en) 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
US5703685A (en) 1993-03-05 1997-12-30 Kabushiki Kaisha Toshiba Alignment method
EP0818814A2 (en) 1996-07-12 1998-01-14 Kla Instruments Corp. Overlay alignment measurement of semiconductor wafers
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5766809A (en) 1995-09-27 1998-06-16 Hyundai Electromics Industries Co., Ltd. Method for testing overlay in a semiconductor device utilizing inclined measuring mark
US5783342A (en) 1994-12-28 1998-07-21 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
JPH10213896A (en) 1997-01-30 1998-08-11 Sony Corp Reticle
US5801390A (en) 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5835196A (en) 1992-09-30 1998-11-10 Texas Instruments Incorporated System and method for alignment of integrated circuits multiple layers
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5872042A (en) 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
US5877036A (en) 1996-02-29 1999-03-02 Nec Corporation Overlay measuring method using correlation function
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
JPH1167631A (en) 1997-08-18 1999-03-09 Mitsubishi Electric Corp Overlay mark and manufacture of semiconductor device using the same
US5883710A (en) 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5882980A (en) 1993-10-15 1999-03-16 Hyundai Electronics Industries Co., Ltd. Process of forming bipolar alignment mark for semiconductor
JPH1186332A (en) 1997-09-01 1999-03-30 Toshiba Corp Optical head device
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
JPH1187213A (en) 1997-09-04 1999-03-30 Oki Electric Ind Co Ltd Pattern for superposition precision measurement
US5902703A (en) 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US5909333A (en) 1994-05-27 1999-06-01 International Business Machines Corporation Servo-writing system for use in a data recording disk drive
US5912983A (en) 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US5923041A (en) 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5939226A (en) 1996-03-08 1999-08-17 Mitsubishi Denki Kabushiki Kaisha Aberration estimation reticle for determining overlay error
US5949145A (en) 1996-02-28 1999-09-07 Nec Corporation Semiconductor device including alignment marks
WO1999045340A1 (en) 1998-03-06 1999-09-10 Kla-Tencor Corporation Measuring a diffracting structure, broadband, polarized, ellipsometric, and an underlying structure
EP0947828A2 (en) 1998-03-31 1999-10-06 Siemens Aktiengesellschaft Method and apparatus for improved inspection measurements
US5966201A (en) 1996-11-07 1999-10-12 Nikon Corporation Mark for position detection, and mark detecting method and apparatus
US5968693A (en) 1991-03-04 1999-10-19 Lucent Technologies Inc. Lithography tool adjustment utilizing latent imagery
WO1999056174A1 (en) 1998-04-30 1999-11-04 Nikon Corporation Alignment simulation
JPH11307418A (en) 1998-04-20 1999-11-05 Matsushita Electron Corp Mask alignment mark and mask aligning method
US6013355A (en) 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US6020966A (en) 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6037671A (en) 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6046094A (en) 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US6081325A (en) 1996-06-04 2000-06-27 Kla-Tencor Corporation Optical scanning system for surface inspection
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
US6118185A (en) 1998-05-06 2000-09-12 Taiwan Semiconductor Manufacturing Company Segmented box-in-box for improving back end overlay measurement
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6137578A (en) 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6146910A (en) 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US6160622A (en) 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6177330B1 (en) 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US6197679B1 (en) 1997-06-04 2001-03-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method therefor
JP2001093819A (en) 1999-09-24 2001-04-06 Toshiba Corp Method and system for aberation mesurement
US6255189B1 (en) 1998-10-20 2001-07-03 U.S. Philips Corporation Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partly recessed oxide pattern
US6278957B1 (en) 1993-01-21 2001-08-21 Nikon Corporation Alignment method and apparatus therefor
JP2001267202A (en) 2000-03-15 2001-09-28 Matsushita Electric Ind Co Ltd Mark and method for overlay measurement and semiconductor device having the mark
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
US6323560B1 (en) 1995-06-27 2001-11-27 Mitsubishi Denki Kabushiki Kaisha Registration accuracy measurement mark, method of repairing defect of the mark, photomask having the mark, method of manufacturing the photo mask and method of exposure thereof
US6342735B1 (en) 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
WO2002019415A1 (en) 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
WO2002018871A1 (en) 2000-09-01 2002-03-07 Kla-Tencor Corporation Improved overlay alignment measurement mark
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6385772B1 (en) 1998-04-30 2002-05-07 Texas Instruments Incorporated Monitoring system having wireless remote viewing and control
US6384899B1 (en) 1999-02-04 2002-05-07 Asm Lithography B.V. Lithographic projection apparatus
US20020054290A1 (en) 1997-09-22 2002-05-09 Vurens Gerard H. Optical measurment system using polarized light
US20020080364A1 (en) 2000-12-27 2002-06-27 Koninklijke Philips Electronics N.V. Method of measuring overlay
WO2001097279A3 (en) 2000-06-09 2002-07-11 Advanced Micro Devices Inc Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6421124B1 (en) 1997-12-03 2002-07-16 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
US6420791B1 (en) 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
US6420971B1 (en) 1999-06-23 2002-07-16 Tripseal Limited Electronic seal, methods and security system
US6445453B1 (en) 1999-08-02 2002-09-03 Zetetic Institute Scanning interferometric near-field confocal microscopy
US20020135875A1 (en) 2001-02-27 2002-09-26 Xinhui Niu Grating test patterns and methods for overlay metrology
US6458605B1 (en) 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
WO2002015238A3 (en) 2000-08-11 2002-10-03 Sensys Instr Corp Device and method for optical inspection of semiconductor wafer
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US20020149782A1 (en) 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
WO2002084213A1 (en) 2001-04-10 2002-10-24 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US20020158193A1 (en) 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
WO2002050509A3 (en) 2000-12-20 2002-12-12 Kla Tencor Corp Improved system for measuring periodic structures
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
WO2002035300A3 (en) 2000-10-23 2003-01-16 Advanced Micro Devices Inc Method and apparatus for embedded process control framework in tool systems
US20030021465A1 (en) 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030020184A1 (en) 2001-05-23 2003-01-30 Ballarin Eugenio Guido Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby
WO2002025723A3 (en) 2000-09-19 2003-02-13 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US6522406B1 (en) 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
WO2003001297A3 (en) 2001-06-26 2003-03-20 Kla Tencor Corp Method for determining lithographic focus and exposure
WO2003042629A1 (en) 2001-11-09 2003-05-22 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US20030156276A1 (en) 2002-02-20 2003-08-21 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6611330B2 (en) 1999-02-09 2003-08-26 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6617080B1 (en) 1999-09-24 2003-09-09 Mitsubishi Denki Kabushiki Kaisha Photomask, semiconductor device, and method for exposing through photomask
US6638671B2 (en) 2001-10-15 2003-10-28 International Business Machines Corporation Combined layer-to-layer and within-layer overlay control system
US6650424B2 (en) 2000-12-07 2003-11-18 Nova Measuring Instruments Ltd. Method and system for measuring in patterned structures
US20030223630A1 (en) 2002-02-15 2003-12-04 Kla-Tencor Corporation Overlay metrology and control method
WO2003054475A3 (en) 2001-12-19 2003-12-11 Kla Tencor Corp Parametric profiling using optical spectroscopic systems
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US20040066517A1 (en) 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
WO2004053426A1 (en) 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20040129900A1 (en) 2002-09-20 2004-07-08 Den Boef Arie Jeffrey Device inspection
US6767680B2 (en) 2001-08-30 2004-07-27 Advanced Micro Devices, Inc. Semiconductor structure and method for determining critical dimensions and overlay error
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US20040169861A1 (en) 2002-12-05 2004-09-02 Kla-Tenor Technologies Corporation Apparatus and method for detecting overlay errors using scatterometry
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US6815232B2 (en) 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
US20050012928A1 (en) 2003-07-17 2005-01-20 Abdurrahman Sezginer Apparatus and method for measuring overlay by diffraction gratings
US6867870B1 (en) 2001-11-01 2005-03-15 Therma-Wave, Inc. Digital detector data communication in an optical metrology tool
US6888632B2 (en) 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US6900892B2 (en) 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US20050122516A1 (en) 2002-07-03 2005-06-09 Abdurrahman Sezginer Overlay metrology method and apparatus using more than one grating per measurement direction
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US20050286051A1 (en) 2002-07-05 2005-12-29 Abdurrahman Sezginer Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6985229B2 (en) 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US7065737B2 (en) 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
US7080330B1 (en) 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US20060197950A1 (en) 2005-02-25 2006-09-07 Smith Nigel P Methods and systems for determining overlay error based on target image symmetry
US7193715B2 (en) 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7283226B2 (en) 2001-04-26 2007-10-16 Tokyo Electron Limited Measurement system cluster
US7346878B1 (en) 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7700247B2 (en) 2003-12-19 2010-04-20 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0632323B2 (en) 1983-12-13 1994-04-27 株式会社日立製作所 Semiconductor laser device
JP2000294487A (en) * 1999-04-06 2000-10-20 Matsushita Electronics Industry Corp Arrangement structure of register mark for manufacturing semiconductor device
JP4112759B2 (en) * 1999-09-24 2008-07-02 株式会社東芝 Pattern measurement method
US7486408B2 (en) 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7368207B2 (en) * 2006-03-31 2008-05-06 Eastman Kodak Company Dynamic compensation system for maskless lithography
NL1036179A1 (en) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
WO2010069757A1 (en) * 2008-12-16 2010-06-24 Asml Netherlands B.V. Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell
WO2015062854A1 (en) * 2013-10-30 2015-05-07 Asml Netherlands B.V. Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method

Patent Citations (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594085A (en) 1967-08-31 1971-07-20 Centre Nat Rech Scient Ellipsometric method and device
US4103998A (en) 1975-07-21 1978-08-01 Nippon Kogaku K.K. Automatic alignment apparatus
US4251160A (en) 1976-06-17 1981-02-17 U.S. Philips Corporation Method and arrangement for aligning a mask pattern relative to a semiconductor substrate
US4167337A (en) 1976-06-19 1979-09-11 International Business Machines Corporation Interferometric apparatus and process
US4200395A (en) 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4538105A (en) 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4475811A (en) 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
US4631416A (en) 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
JPS60126881U (en) 1984-02-04 1985-08-26 株式会社 タニモト Coin shower control device
WO1985004266A1 (en) 1984-03-16 1985-09-26 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
US4647207A (en) 1984-05-24 1987-03-03 Sagax Instrument Ab Ellipsometric method and apparatus
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4820055A (en) 1985-08-26 1989-04-11 Siemens Aktiengesellschaft Apparatus for adjusting a mask with at least one adjustment mark relative to a semi-conductor wafer provided with at least one lattice structure
US4714874A (en) 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
US4782288A (en) 1985-12-31 1988-11-01 Sgs Microelettronica S.P.A. Method for evaluating processing parameters in the manufacture of semiconductor devices
US4778275A (en) 1986-03-12 1988-10-18 U.S. Philips Corp. Method of aligning a mask and a substrate relative to each other and arrangement for carrying out the method
US4757707A (en) 1986-03-19 1988-07-19 British Steel Corporation Molten metal gas analysis
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US5148214A (en) 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
US4848911A (en) 1986-06-11 1989-07-18 Kabushiki Kaisha Toshiba Method for aligning first and second objects, relative to each other, and apparatus for practicing this method
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4750836A (en) 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPS63248804A (en) 1987-04-03 1988-10-17 Mitsubishi Petrochem Co Ltd Catalyst for polymerization of olefin
US4855253A (en) 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
US5340992A (en) 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5327221A (en) 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5189494A (en) 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
US5017514A (en) 1988-11-25 1991-05-21 Nec Corporation Method of manufacturing a semiconductor device using a main vernier pattern formed at a right angle to a subsidiary vernier pattern
US5191393A (en) 1988-12-05 1993-03-02 Micro-Controle Optical measurement device and method
US5182455A (en) 1989-01-20 1993-01-26 Canon Kabushiki Kaisha Method of detecting relative positional deviation between two objects
US5100237A (en) 1989-04-20 1992-03-31 Asm Lithography Apparatus for projecting a mask pattern on a substrate
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5114235A (en) 1989-07-18 1992-05-19 Canon Kabushiki Kaisha Method of detecting positional deviation
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5172190A (en) 1990-01-12 1992-12-15 Karl Suss Kg Prazisionsgerate Fur Wissenschaft Und Industrie - Gmbh & Co. Alignment patterns for two objects to be aligned relative to each other
US5498501A (en) 1990-02-02 1996-03-12 Canon Kabushiki Kaisha Exposure method
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5182610A (en) 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
US5262258A (en) 1990-06-12 1993-11-16 Nec Corporation Process of manufacturing semiconductor devices
US5216257A (en) 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
US5481362A (en) 1990-07-16 1996-01-02 Asm Lithography Apparatus for projecting a mask pattern on a substrate
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
US5156982A (en) 1991-01-10 1992-10-20 Shin-Etsu Handotai Co., Ltd. Pattern shift measuring method
US5968693A (en) 1991-03-04 1999-10-19 Lucent Technologies Inc. Lithography tool adjustment utilizing latent imagery
US5276337A (en) 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5296917A (en) 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5383136A (en) 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5436097A (en) 1992-03-14 1995-07-25 Kabushiki Kaisha Toshiba Mask for evaluation of aligner and method of evaluating aligner using the same
US5479270A (en) 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5835196A (en) 1992-09-30 1998-11-10 Texas Instruments Incorporated System and method for alignment of integrated circuits multiple layers
US5465148A (en) 1992-10-23 1995-11-07 Canon Kabushiki Kaisha Apparatus and method for detecting the relative positional deviation between two diffraction gratings
US5416588A (en) 1992-12-21 1995-05-16 The Board Of Regents Of The University Of Nebraska Small modulation ellipsometry
US6278957B1 (en) 1993-01-21 2001-08-21 Nikon Corporation Alignment method and apparatus therefor
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5703685A (en) 1993-03-05 1997-12-30 Kabushiki Kaisha Toshiba Alignment method
US5316984A (en) 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
US5666196A (en) 1993-05-31 1997-09-09 Canon Kabushiki Kaisha Optical detection apparatus for detecting information relating to relative displacement of an object on whch a diffraction grating is formed
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
WO1995002200A1 (en) 1993-07-09 1995-01-19 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5596406A (en) 1993-07-16 1997-01-21 Therma-Wave, Inc. Sample characteristic analysis utilizing multi wavelength and multi angle polarization and magnitude change detection
US5627083A (en) 1993-08-03 1997-05-06 Nec Corporation Method of fabricating semiconductor device including step of forming superposition error measuring patterns
US5388909A (en) 1993-09-16 1995-02-14 Johnson; Shane R. Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
US5355306A (en) 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
US5882980A (en) 1993-10-15 1999-03-16 Hyundai Electronics Industries Co., Ltd. Process of forming bipolar alignment mark for semiconductor
US5525840A (en) 1993-11-18 1996-06-11 Nec Corporation Semiconductor device having an alignment mark
US5665495A (en) 1994-03-10 1997-09-09 Hyundai Electronics Industries Co., Ltd. Method for fabricating a semiconductor with a photomask
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
US5909333A (en) 1994-05-27 1999-06-01 International Business Machines Corporation Servo-writing system for use in a data recording disk drive
US5674650A (en) 1994-08-02 1997-10-07 U.S. Philips Corporation Method of repetitively imaging a mask pattern on a substrate, and apparatus for performing the method
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JPH08116141A (en) 1994-10-18 1996-05-07 Mitsubishi Electric Corp Superposition accuracy measurement mark
US5883710A (en) 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
US5783342A (en) 1994-12-28 1998-07-21 Matsushita Electric Industrial Co., Ltd. Method and system for measurement of resist pattern
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5923041A (en) 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5702567A (en) 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
US6323560B1 (en) 1995-06-27 2001-11-27 Mitsubishi Denki Kabushiki Kaisha Registration accuracy measurement mark, method of repairing defect of the mark, photomask having the mark, method of manufacturing the photo mask and method of exposure thereof
US5596413A (en) 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5766809A (en) 1995-09-27 1998-06-16 Hyundai Electromics Industries Co., Ltd. Method for testing overlay in a semiconductor device utilizing inclined measuring mark
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5801390A (en) 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
US5949145A (en) 1996-02-28 1999-09-07 Nec Corporation Semiconductor device including alignment marks
US5877036A (en) 1996-02-29 1999-03-02 Nec Corporation Overlay measuring method using correlation function
US6165656A (en) 1996-03-08 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Overlay error determination mark considering influence of aberration
US5939226A (en) 1996-03-08 1999-08-17 Mitsubishi Denki Kabushiki Kaisha Aberration estimation reticle for determining overlay error
US6130750A (en) 1996-05-02 2000-10-10 International Business Machines Corporation Optical metrology tool and method of using same
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6081325A (en) 1996-06-04 2000-06-27 Kla-Tencor Corporation Optical scanning system for surface inspection
US5701013A (en) 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US6079256A (en) 1996-07-12 2000-06-27 Kla Instruments Corporation Overlay alignment measurement of wafers
EP0818814A2 (en) 1996-07-12 1998-01-14 Kla Instruments Corp. Overlay alignment measurement of semiconductor wafers
US6046094A (en) 1996-08-02 2000-04-04 Micron Technology, Inc. Method of forming wafer alignment patterns
US5872042A (en) 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
US5966201A (en) 1996-11-07 1999-10-12 Nikon Corporation Mark for position detection, and mark detecting method and apparatus
US6013355A (en) 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US5912983A (en) 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
JPH10213896A (en) 1997-01-30 1998-08-11 Sony Corp Reticle
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5902703A (en) 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US6197679B1 (en) 1997-06-04 2001-03-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method therefor
JPH1167631A (en) 1997-08-18 1999-03-09 Mitsubishi Electric Corp Overlay mark and manufacture of semiconductor device using the same
JPH1186332A (en) 1997-09-01 1999-03-30 Toshiba Corp Optical head device
JPH1187213A (en) 1997-09-04 1999-03-30 Oki Electric Ind Co Ltd Pattern for superposition precision measurement
US20020054290A1 (en) 1997-09-22 2002-05-09 Vurens Gerard H. Optical measurment system using polarized light
US6177330B1 (en) 1997-09-26 2001-01-23 Mitsubishi Denki Kabushiki Kaisha Method for correcting alignment, method for manufacturing a semiconductor device and a semiconductor device
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6421124B1 (en) 1997-12-03 2002-07-16 Canon Kabushiki Kaisha Position detecting system and device manufacturing method using the same
US6160622A (en) 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
WO1999045340A1 (en) 1998-03-06 1999-09-10 Kla-Tencor Corporation Measuring a diffracting structure, broadband, polarized, ellipsometric, and an underlying structure
US6590656B2 (en) 1998-03-06 2003-07-08 Kla-Tencor Corporation Spectroscopic scatterometer system
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
EP0947828A2 (en) 1998-03-31 1999-10-06 Siemens Aktiengesellschaft Method and apparatus for improved inspection measurements
EP0947828B1 (en) 1998-03-31 2006-10-25 Infineon Technologies AG Method and apparatus for improved inspection measurements
JPH11307418A (en) 1998-04-20 1999-11-05 Matsushita Electron Corp Mask alignment mark and mask aligning method
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
WO1999056174A1 (en) 1998-04-30 1999-11-04 Nikon Corporation Alignment simulation
US6385772B1 (en) 1998-04-30 2002-05-07 Texas Instruments Incorporated Monitoring system having wireless remote viewing and control
US6118185A (en) 1998-05-06 2000-09-12 Taiwan Semiconductor Manufacturing Company Segmented box-in-box for improving back end overlay measurement
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6137578A (en) 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6020966A (en) 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6255189B1 (en) 1998-10-20 2001-07-03 U.S. Philips Corporation Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partly recessed oxide pattern
US6037671A (en) 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6146910A (en) 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
US6384899B1 (en) 1999-02-04 2002-05-07 Asm Lithography B.V. Lithographic projection apparatus
US6611330B2 (en) 1999-02-09 2003-08-26 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
US6084679A (en) 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
US6420971B1 (en) 1999-06-23 2002-07-16 Tripseal Limited Electronic seal, methods and security system
US6445453B1 (en) 1999-08-02 2002-09-03 Zetetic Institute Scanning interferometric near-field confocal microscopy
US6342735B1 (en) 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
JP2001093819A (en) 1999-09-24 2001-04-06 Toshiba Corp Method and system for aberation mesurement
US6617080B1 (en) 1999-09-24 2003-09-09 Mitsubishi Denki Kabushiki Kaisha Photomask, semiconductor device, and method for exposing through photomask
US6420791B1 (en) 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001267202A (en) 2000-03-15 2001-09-28 Matsushita Electric Ind Co Ltd Mark and method for overlay measurement and semiconductor device having the mark
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
US20020072001A1 (en) 2000-05-04 2002-06-13 Brown Kyle A. Methods and systems for lithography process control
WO2001084382A1 (en) 2000-05-04 2001-11-08 Kla-Tencor, Inc. Methods and systems for lithography process control
WO2001097279A3 (en) 2000-06-09 2002-07-11 Advanced Micro Devices Inc Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US6580505B1 (en) 2000-06-22 2003-06-17 Kla-Tencor Corporation Overlay alignment mark design
WO2002015238A3 (en) 2000-08-11 2002-10-03 Sensys Instr Corp Device and method for optical inspection of semiconductor wafer
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7177457B2 (en) 2000-08-30 2007-02-13 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6985618B2 (en) 2000-08-30 2006-01-10 Kla-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7181057B2 (en) 2000-08-30 2007-02-20 Kla-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030026471A1 (en) 2000-08-30 2003-02-06 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
JP2004508711A (en) 2000-08-30 2004-03-18 ケーエルエー−テンカー・コーポレーション Registration mark, registration mark design method and registration measurement method
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6921916B2 (en) 2000-08-30 2005-07-26 Kla -Tenocor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20090224413A1 (en) 2000-08-30 2009-09-10 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
WO2002019415A1 (en) 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7355291B2 (en) 2000-08-30 2008-04-08 Kla-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030021465A1 (en) 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030021466A1 (en) 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US7274814B2 (en) 2000-08-30 2007-09-25 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030021467A1 (en) 2000-08-30 2003-01-30 Michael Adel Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317824B2 (en) 2000-08-30 2008-01-08 Kla-Tencor Technologies Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6486954B1 (en) 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
WO2002018871A1 (en) 2000-09-01 2002-03-07 Kla-Tencor Corporation Improved overlay alignment measurement mark
WO2002025723A3 (en) 2000-09-19 2003-02-13 Nova Measuring Instr Ltd Lateral shift measurement using an optical technique
US20030011786A1 (en) 2000-09-20 2003-01-16 Ady Levy Methods and systems for determining overlay and flatness of a specimen
US20020093648A1 (en) 2000-09-20 2002-07-18 Mehrdad Nikoonahad Methods and systems for determining an implant characterstic and a presence of defects on a specimen
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
WO2002035300A3 (en) 2000-10-23 2003-01-16 Advanced Micro Devices Inc Method and apparatus for embedded process control framework in tool systems
US6650424B2 (en) 2000-12-07 2003-11-18 Nova Measuring Instruments Ltd. Method and system for measuring in patterned structures
US6900892B2 (en) 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
WO2002050509A3 (en) 2000-12-20 2002-12-12 Kla Tencor Corp Improved system for measuring periodic structures
US7277185B2 (en) 2000-12-27 2007-10-02 Asml Netherlands B.V. Method of measuring overlay
US20020080364A1 (en) 2000-12-27 2002-06-27 Koninklijke Philips Electronics N.V. Method of measuring overlay
US20020158193A1 (en) 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings
US7042569B2 (en) 2001-02-12 2006-05-09 Tokyo Electron Limited Overlay alignment metrology using diffraction gratings
WO2002065545A3 (en) 2001-02-12 2004-01-22 Sensys Instr Corp Overlay alignment metrology using diffraction gratings
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
WO2002069390A9 (en) 2001-02-27 2003-01-30 Timbre Tech Inc Grating test patterns and methods for overlay metrology
US20020135875A1 (en) 2001-02-27 2002-09-26 Xinhui Niu Grating test patterns and methods for overlay metrology
US20020149782A1 (en) 2001-03-02 2002-10-17 Raymond Christopher J. Line profile asymmetry measurment using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US20050157297A1 (en) 2001-04-10 2005-07-21 Ibrahim Abdulhalim Periodic patterns and technique to control misalignment between two layers
WO2002084213A1 (en) 2001-04-10 2002-10-24 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6522406B1 (en) 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
US7283226B2 (en) 2001-04-26 2007-10-16 Tokyo Electron Limited Measurement system cluster
US20030020184A1 (en) 2001-05-23 2003-01-30 Ballarin Eugenio Guido Substrate provided with an alignment mark, method of designing a mask, computer program, mask for exposing said mark, device manufacturing method, and device manufactured thereby
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
WO2003001297A3 (en) 2001-06-26 2003-03-20 Kla Tencor Corp Method for determining lithographic focus and exposure
US6458605B1 (en) 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US6767680B2 (en) 2001-08-30 2004-07-27 Advanced Micro Devices, Inc. Semiconductor structure and method for determining critical dimensions and overlay error
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6638671B2 (en) 2001-10-15 2003-10-28 International Business Machines Corporation Combined layer-to-layer and within-layer overlay control system
US6867870B1 (en) 2001-11-01 2005-03-15 Therma-Wave, Inc. Digital detector data communication in an optical metrology tool
WO2003042629A1 (en) 2001-11-09 2003-05-22 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
WO2003054475A3 (en) 2001-12-19 2003-12-11 Kla Tencor Corp Parametric profiling using optical spectroscopic systems
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US20030223630A1 (en) 2002-02-15 2003-12-04 Kla-Tencor Corporation Overlay metrology and control method
US20030156276A1 (en) 2002-02-20 2003-08-21 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6985229B2 (en) 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US20050122516A1 (en) 2002-07-03 2005-06-09 Abdurrahman Sezginer Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US20050286051A1 (en) 2002-07-05 2005-12-29 Abdurrahman Sezginer Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US20040066517A1 (en) 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US7112813B2 (en) 2002-09-20 2006-09-26 Asml Netherlands B.V. Device inspection method and apparatus using an asymmetric marker
US20040129900A1 (en) 2002-09-20 2004-07-08 Den Boef Arie Jeffrey Device inspection
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7193715B2 (en) 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US6815232B2 (en) 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2004053426A1 (en) 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20040169861A1 (en) 2002-12-05 2004-09-02 Kla-Tenor Technologies Corporation Apparatus and method for detecting overlay errors using scatterometry
US7433040B2 (en) 2002-12-05 2008-10-07 Kla-Tencor Technologies Corp. Apparatus and methods for detecting overlay errors using scatterometry
US20080094630A1 (en) 2002-12-05 2008-04-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20080049226A1 (en) 2002-12-05 2008-02-28 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20080024766A1 (en) 2002-12-05 2008-01-31 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7301634B2 (en) 2003-02-22 2007-11-27 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7385699B2 (en) 2003-02-22 2008-06-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7280212B2 (en) 2003-02-22 2007-10-09 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20040233442A1 (en) 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20040233443A1 (en) 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7289213B2 (en) 2003-02-22 2007-10-30 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7298481B2 (en) 2003-02-22 2007-11-20 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20040233444A1 (en) 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7379183B2 (en) 2003-02-22 2008-05-27 Kla-Tencor Technologies Corp. Apparatus and methods for detecting overlay errors using scatterometry
US20040233440A1 (en) 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7242477B2 (en) 2003-02-22 2007-07-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2004076963A3 (en) 2003-02-22 2004-11-18 Kla Tencor Tech Corp Apparatus and method for detecting overlay errors using scatterometry
US6888632B2 (en) 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US7080330B1 (en) 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7346878B1 (en) 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US20050012928A1 (en) 2003-07-17 2005-01-20 Abdurrahman Sezginer Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7700247B2 (en) 2003-12-19 2010-04-20 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7065737B2 (en) 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
US20060197950A1 (en) 2005-02-25 2006-09-07 Smith Nigel P Methods and systems for determining overlay error based on target image symmetry
US7477396B2 (en) 2005-02-25 2009-01-13 Nanometrics Incorporated Methods and systems for determining overlay error based on target image symmetry
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method

Non-Patent Citations (115)

* Cited by examiner, † Cited by third party
Title
"U.S. Appl. No. 13/407,124, Non Final Office Action mailed Apr. 1, 2014", 7 pgs.
"U.S. Appl. No. 13/407,124, Notice of Allowance mailed Jan. 9, 2014", 5 pgs.
Auzino, L., (1998) "A New Technique for Multiple Overlay Check", Abstract. First Search: Detailed Record, Terms & Conditions 1992-2003. Copyright., IEEE.
Baumbach, T. et al., "Grazing Incidence Diffraction by Laterally Patterned Semiconductor Nanostructures," Journal: Journal of Physics, vol. 32, No. 6, pp. 726-740.
Bharath Rangarajan, et al., Optimal Sampling Strategies for sub-100 nm Overlay, APD Lithography, Advanced Micro Devices Inc., Sunnyvale, CA, Department of Chemical Engineering, Michigan State University, East Lansing, MI, SPIE vol. 3332.
Bischoff, Jorg et al., "Modeling of Optical Scatterometry with Finite-Number-of-Periods Grating," Journal: Proceedings of the SPIE, vol. 3743, pp. 41-48.
Bishop, et al, "The OMAG3 Reticle Set," Jul. 31, 2003, International SEMATECH, Technology Transfer #3074417A-ENG, pp. 1-26.
Corrected Notice of Allowance dated Dec. 30, 2011 issued in U.S. Appl. No. 12/410,317.
D.G. Papazoglou, et al., (2000) "Photorefractive Optical Properties of Volume Phase Gratings Induced in Sillenite Crystals, When the Grating Vector Lies on the 111 plane," Appl. Phys. B 71. 841-848.
European Examination Report dated Dec. 13, 2007 issued in 04 713 795.5.
European Office Action dated Dec. 13, 2007 issued in 03 796 723.9.
European Supplemental Search Report dated Jul. 26, 2007 issued in 03 796 723.9.
European Supplemental Search Report dated Jul. 26, 2007, issued in 04 713 795.5.
Final Office Action dated Dec. 14, 2009 issued in U.S. Appl. No. 11/830,782.
Final Office Action dated Oct. 6, 2010 for U.S. Appl. No. 11/830,782.
Final Office Action dated Oct. 7, 2010 for U.S. Appl. No. 12/533,295.
Final Office Action of U.S. Appl. No. 10/185,737 mailed Dec. 3, 2003. (6,921,916).
Final Office Action of U.S. Appl. No. 10/186,324 mailed Sep. 6, 2005. (7,181,057).
Final US Office Action dated Apr. 23, 2007 issued in U.S. Appl. No. 10/785,821.
Final US Office Action dated May 4, 2007 issued in U.S. Appl. No. 10/785,731.
H.J. Levinson. et al., "Minimization of Total Overlay Errors on Product Wafers Using an Advanced Optimization Scheme" Abstract. First Search:Detailed Record. Terms & Conditions 1992-2003. Copyright 1998, IEEE.
Hsu et al., "Characterizing lens distortion to overlay accuracy by using fine measurement pattern", Mar. 1999, SPIE vol. 3677.
Ina, Hidecki et al., (Dec. 1999) "Alignment Mark Optimization to Reduce Tool and Wafer-induced Shift for XTRA-1000," Japanese Journal of Applied Physics, vol. 38, No. 12B, pp. 7065-7070.
International Search Report dated Jan. 24, 2002 issued in PCT/US01/41932.
International Search Report dated Jan. 5, 2007 issued in PCT/US06/25836.
International Search Report dated May 26, 2004 issued in PCT/US03/38784.
International Search Report dated Oct. 7, 2004 issued in PCT/US04/05419.
Joseph C. Pellegrini, et al., (Mar. 1999) "Super Sparse Overlay Sampling Plans: An Evaluation of Methods and Algorithms for Optimizing Overlay Quality Control and Metrology Tool Throughput", SPIE vol. 3677-0277-786X.
K. Kodate, et al. "Towards the Optimal Design of Binary Optical Elements with Different Phase Levels Using a Method of Phase Mismatch Correction," Abstract. FirstSearch: Detailed Record. Copyright 2001, IEEE.
Kenneth W. Tobin, et al. "Automatic Classification of Spatial Signatures on Semiconductor Wafermaps," SEMATECH, Austin, Texas. SPIE vol. 3050.
Kim, Young-Chang et al., (Mar. 1999) "Automatic In-Situ Focus Monitor Using Line Shortening Effect," Journal: Proceedings of the SPIE, vol. 3677, pt. 1-2, pp. 184-193.
Klienknecht, H.P., "Diffraction and Interference Optics for Monitoring Fine Dimensions in Device Manufacture", Copyright 1984 The Institute of Physics. Inst. Phys. Conf. Ser. No. 69. Paper presented at ESSDERC/SSSDT 1983, Canterbury Sep. 13-16, 1983.
Levinson, "Lithography Process Control", Tutorial Texts in Optical Engineering, vol. TT28, Chapter 5, pp. 96-107.
Notice of Allowance dated Aug. 16, 2007 for U.S. Appl. No. 11/394,938 7,317,824.
Notice of Allowance dated Aug. 23, 2007 issued in U.S. Appl. No. 10/729,838.
Notice of Allowance dated Dec. 15, 2008 issued in 11/227,764.
Notice of Allowance dated Dec. 15, 2008 issued in U.S. Appl. No. 11/227,764.
Notice of Allowance dated Dec. 31, 2007 issued in U.S. Appl. No. 10/785,731.
Notice of Allowance dated Dec. 4, 2009 issued in U.S. Appl. No. 11/963,730.
Notice of Allowance dated Jul. 20, 2007 issued in U.S. Appl. No. 10/785,821.
Notice of Allowance dated Jun. 13, 2008 issued in U.S. Appl. No. 11/830,798.
Notice of Allowance dated Jun. 26, 2007 issued in U.S. Appl. No. 10/785,732.
Notice of Allowance dated Jun. 5, 2007 issued in U.S. Appl. No. 10/785,395.
Notice of Allowance dated Jun. 5, 2007 issued in U.S. Appl. No. 10/785,723.
Notice of Allowance dated Mar. 17, 2008 issued in U.S. Appl. No. 10/785,396.
Notice of Allowance dated Mar. 9, 2007 issued in U.S. Appl. No. 10/785,430.
Notice of Allowance dated May 18, 2007 for U.S. Appl. No. 11/432,947 7,274,814.
Notice of Allowance dated May 18, 2009 issued in U.S. Appl. No. 11/963,603.
Notice of Allowance dated Nov. 19, 2010 issued in U.S. Appl. No. 12/533,295.
Notice of Allowance dated Nov. 9, 2011 issued in U.S. Appl. No. 12/410,317.
Notice of Allowance dated Sep. 28, 2005 issued in U.S. Appl. No. 09/894,987.
Notice of Allowance Mailed Nov. 19, 2007 from U.S. Appl. No. 11/179,819 7,355,291.
Notice of Allowance of U.S. Appl. No. 09/894,987 mailed Feb. 1, 2006. (7,068,833).
Notice of Allowance of U.S. Appl. No. 10/184,013 mailed Aug. 31, 2005. (6,985,618).
Notice of Allowance of U.S. Appl. No. 10/184,026 mailed Jan. 4, 2006. (7,177,457).
Notice of Allowance of U.S. Appl. No. 10/185,737 mailed Mar. 29, 2005. (6,921,916).
Notice of Allowance of U.S. Appl. No. 10/186,324 dated Nov. 21, 2005. (7,181,057).
Notice of Reason for Refusal, Japanese Patent Application No. 2008-521428, dated May 31, 2011.
Office Acion of U.S. Appl. No. 10/184,013 mailed Aug. 25, 2004. (6,985,618).
Office Action dated Apr. 2, 2010 for U.S. Appl. No. 11/830,782.
Office Action dated Jun. 25, 2010 for U.S. Appl. No. 12/533,295.
Office Action dated Mar. 27, 2009 for U.S. Appl. No. 11/830,782.
Office Action Mailed Aug. 21, 2007 from U.S. Appl. No. 11/179,819 7,355,291.
Office Action Mailed May 5, 2006 from U.S. Appl. No. 11/179,819 7,355,291.
Office Action of U.S. Appl. No. 09/894,987 mailed Jul. 2, 2004. (7,068,833).
Office Action of U.S. Appl. No. 09/894,987 mailed Jun. 22, 2005. (7,068,833).
Office Action of U.S. Appl. No. 10/184,026 mailed Aug. 25, 2004. (7,177,457).
Office Action of U.S. Appl. No. 10/185,737 mailed Jun. 30, 2004. (6,921,916).
Office Action of U.S. Appl. No. 10/185,737 mailed Jun. 5, 2003. (6,921,916).
Office Action of U.S. Appl. No. 10/186,324 mailed Aug. 25, 2004. (7,181,057).
R.C. Herbert, (Apr. 1978) "Width and Overlay Narrow Kerf Test Site", IBM TDB, vol. 20 No. 11A. IBM Corp.
Rivera et al., "Overlay Performance on Tungsten CMP Layers Using the ATHENA Alignment System", 2000, Proceeding of SPIE vol. 3998.
Sang-Man Bae, et al., "Performance of New Overlay Measurement Mark," 424/SPIE vol. 2725.
Sherman, Enrique R., "Characterization and Monitoring of Variable NA and Variable Coherence Capable Photo Steppers Utilizing the Phase Shift Focus Monitor Reticle," Journal: Proceedings of the SPIE, vol. 2439, pp. 61-69.
TBD, (Mar. 1990) "Interferometric Method of Checking the Overlay Accuracy in Photolitho Graphic Exposure Processes." IBM Technical Disclosure Bulletin, pp. 214-217. www.delphion.com.
TDB, (Dec. 1978) "Mask Overlay Determination," IBM Technical Disclosure Bulletin, pp. 2772-2773, www.delphion.com.
TDB, (Feb. 1994) "Interferometric Measurement System for Overlay Measurement in Lithographic Processes", pp. 535-536.
TDB, (Mar. 1990) "Phase-Sensitive Overlay Analysis Spectrometry," IBM Technical Disclosure Bulletin, pp. 170-174. www.delphion.com.
Uchida, Norio et al., (1991) "A Mask to Wafer Alignment and Gap Setting Method for X-Ray Lithography Using Gratings," Journal: Journal of Vacuum Science & Technology B, vol. 9, No. 6, pp. 3202-3206.
US 5,841,144, 11/1998, Cresswell (withdrawn).
US Office Action dated Apr. 15, 2009 issued in U.S. Appl. No. 11/963,730.
US Office Action dated Apr. 9, 2008 issued in U.S. Appl. No. 11/227,764.
US Office Action dated Aug. 19, 2011 issued in U.S. Appl. No. 11/830,782.
US Office Action dated Aug. 8, 2007 issued in U.S. Appl. No. 10/785,731.
US Office Action dated Aug. 9, 2006 issued in U.S. Appl. No. 10/785,723.
US Office Action dated Dec. 18, 2006 issued in U.S. Appl. No. 10/785,723.
US Office Action dated Dec. 21, 2007 issued in U.S. Appl. No. 11/830,798.
US Office Action dated Feb. 1, 2013 issued in U.S. Appl. No. 13/407,124.
US Office Action dated Jan. 4, 2011 issued in U.S. Appl. No. 12/410,317.
US Office Action dated Jan. 6, 2009 issued in U.S. Appl. No. 11/926,603.
US Office Action dated Jul. 14, 2010 issued in U.S. Appl. No. 12/410,317.
US Office Action dated Jun. 11, 2008 issued in U.S. Appl. No. 11/926,603.
US Office Action dated Jun. 14, 2007 issued in U.S. Appl. No. 10/785,396.
US Office Action dated Jun. 17, 2013 issued in U.S. Appl. No. 13/407,124.
US Office Action dated Mar. 2, 2007 issued in U.S. Appl. No. 10/785,396.
US Office Action dated Mar. 2, 2012 issued in U.S. Appl. No. 11/830,782.
US Office Action dated Mar. 8, 2007 issued in U.S. Appl. No. 10/785,395.
US Office Action dated Mar. 9, 2007 issued in U.S. Appl. No. 10/785,732.
US Office Action dated May 18, 2007 issued in U.S. Appl. No. 10/729,838.
US Office Action dated Nov. 13, 2008 issued in U.S. Appl. No. 11/926,603.
US Office Action dated Oct. 17, 2008 issued in U.S. Appl. No. 11/963,730.
US Office Action dated Oct. 20, 2006 issued in U.S. Appl. No. 10/785,396.
US Office Action dated Oct. 20, 2006 issued in U.S. Appl. No. 10/785,821.
US Office Action dated Oct. 3, 2006 issued in U.S. Appl. No. 10/785,430.
US Office Action dated Oct. 30, 2007 issued in U.S. Appl. No. 10/785,396.
US Office Action dated on Oct. 3, 2006 issued in U.S. Appl. No. 10/785,731.
US Office Action dated Sep. 25, 2006 issued in U.S. Appl. No. 10/785,732.
US Office Action dated Sep. 26, 2006 issued in U.S. Appl. No. 10/729,838.
US Office Action dated Sep. 6, 2006 issued in U.S. Appl. No. 10/785,395.
V.1. Arkhipov, "Kinetics of the Diffraction Efficiency of Light-Induced Dynamic Gratings in Layers of Disordered Semiconductors", Moscow Engineering-Physics Institute Submitted Feb. 14, 1992; Quantum Electron Nov. 1993. 1994 American Institute of Physics.
V.C. Jaiprakash and C. J. Gould, (Mar. 1999) Comparison Optical, SEM, and AFM Overlay Measurement, SPIE vol. 3677-0277-786X.
Written Opinion of the International Searching Authority dated Jan. 5, 2007 issued in PCT/US04/05419.
Written Opinion of the International Searching Authority dated Mar. 11, 2002 issued in PCT/US01/41932.
Written Opinion of the International Searching Authority dated Oct. 7, 2004 issued in PCT/US04/05419.
Ya V. Fattakhov, (2000) "Formation of Periodic Diffraction Structures at Semiconductor Surfaces for Studying the Dynamics of Photoinduced Phase Transitions", 0030-400X/00/8901-0136.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US9410902B1 (en) 2015-05-05 2016-08-09 United Microelectronics Corp. Overlay measurement method
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry

Also Published As

Publication number Publication date
US20160313116A1 (en) 2016-10-27
JP2009500863A (en) 2009-01-08
US20160047744A1 (en) 2016-02-18
US9182680B2 (en) 2015-11-10
US20090051917A9 (en) 2009-02-26
US20120153281A1 (en) 2012-06-21
JP4926171B2 (en) 2012-05-09
US20070008533A1 (en) 2007-01-11
US9702693B2 (en) 2017-07-11
WO2007008473A3 (en) 2007-03-01
WO2007008473A2 (en) 2007-01-18
US7541201B2 (en) 2009-06-02
US8138498B2 (en) 2012-03-20
US9347879B2 (en) 2016-05-24
US20090224413A1 (en) 2009-09-10

Similar Documents

Publication Publication Date Title
USRE45245E1 (en) Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7684038B1 (en) Overlay metrology target
US7346878B1 (en) Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7876438B2 (en) Apparatus and methods for determining overlay and uses of same
US8781211B2 (en) Rotational multi-layer overlay marks, apparatus, and methods
US8913237B2 (en) Device-like scatterometry overlay targets
US5696835A (en) Apparatus and method for aligning and measuring misregistration
JP3998334B2 (en) Defect inspection method
US7526749B2 (en) Methods and apparatus for designing and using micro-targets in overlay metrology
US10475179B1 (en) Compensating for reference misalignment during inspection of parts
US6028664A (en) Method and system for establishing a common reference point on a semiconductor wafer inspected by two or more scanning mechanisms
WO2014039689A1 (en) Device correlated metrology (dcm) for ovl with embedded sem structure overlay targets
KR102496148B1 (en) Method for semiconductor wafer inspection and system thereof
JP4597509B2 (en) Pattern inspection apparatus and pattern inspection method
US20020028013A1 (en) Size checking method and apparatus
US7171035B2 (en) Alignment mark for e-beam inspection of a semiconductor wafer
JPH0982612A (en) Inspection method for superpositional deviation
CN116125765B (en) Integrated circuit overlay error assessment method
JP4300802B2 (en) Mark position detection device, mark position detection method, overlay measurement device, and overlay measurement method
KR100356757B1 (en) Method for inspection of scaling & overlay pattern on semiconductor wafer
US6785615B2 (en) Method and structure for detection of electromechanical problems using variance statistics in an E-beam lithography device
KR20020036522A (en) Method for measuring overlay
JPH09283580A (en) Superposition error measuring method

Legal Events

Date Code Title Description
AS Assignment

Owner name: KLA-TENCOR TECHNOLOGIES CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GHINOVKER, MARK;REEL/FRAME:031313/0423

Effective date: 20050809

AS Assignment

Owner name: KLA-TENCOR CORPORATION, CALIFORNIA

Free format text: MERGER;ASSIGNOR:KLA-TENCOR TECHNOLOGIES CORPORATION;REEL/FRAME:031339/0314

Effective date: 20090630

FPAY Fee payment

Year of fee payment: 4

CC Certificate of correction
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY