WO1982003945A1 - Process for manufacturing cmos semiconductor devices - Google Patents

Process for manufacturing cmos semiconductor devices Download PDF

Info

Publication number
WO1982003945A1
WO1982003945A1 PCT/US1982/000547 US8200547W WO8203945A1 WO 1982003945 A1 WO1982003945 A1 WO 1982003945A1 US 8200547 W US8200547 W US 8200547W WO 8203945 A1 WO8203945 A1 WO 8203945A1
Authority
WO
WIPO (PCT)
Prior art keywords
implant
regions
substrate
impurity type
terized
Prior art date
Application number
PCT/US1982/000547
Other languages
French (fr)
Inventor
Corp Ncr
Moran Roberto Romano
Ronald Wayne Brower
Original Assignee
Ncr Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ncr Co filed Critical Ncr Co
Publication of WO1982003945A1 publication Critical patent/WO1982003945A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • H01L21/2652Through-implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout

Definitions

  • This invention relates to processes of the
  • the invention has a particular application to the manufacture of CMOS semiconductor devices.
  • CMOS integrated circuits require both p-channel and n-channel FETs on the same 15 semiconductor chip.
  • islands or wells are formed in the semiconductor substrate and are doped to have a conductivity opposite that of the substrate.
  • Contemporary preference is to utilize an n-type sub-
  • the co- 0 planar process is particularly suited for fabricating ICs with high component density levels by utilizing self-aligned gate electrodes and field guard rings to suppress parasitic devices.
  • the field guard rings are the regions of 5 greater impurity density underlying the field oxide.
  • the source and drain regions of the individual FETs must also shrink. This reduction of the S/D (source and drain) regions is generally accomplished by using refined ion implant techniques to dope the S/D regions with p and n impurities.
  • S/D regions With a judicious choice of impurity types and annealing conditions, relatively small and shallow, but heavily doped, S/D regions can be formed in the chip.
  • the demand for higher dopant concentrations at shallow depths are not limited to the S/D regions of the CMOS ICs, since the increase in density also dictates that the electrical interconnects be formed with dif ⁇ fusion lines in the substrate * Like the S/D regions, the diffusion lines must be shallow, yet low in resis ⁇ tivity.
  • Photoresist masks may remain viable at high implant rates, but only if extraordinary measures are taken to cool the wafer during the implant process. If such precautions are not incorporated into the process, and high dose rates and energy levels are implemented, the photoresist burns or flows, with a consequential defor ⁇ mation of the photolithographic pattern, non-uniform implant resistivity and likely contamination of the implant chamber. With conventional photoresist materials and implant apparatus, process integrity limits the wafer temperature to about 16Q°C. Consequently, one now recognizes the need for specialized cooling or process refinements if high ion implant dose rates and energy levels are to be utilized with masks of photoresist material.
  • a process of the kind specified characterized by the steps of: covering said first and second regions with a layer of a protective material having a thickness substantially permeable to implants of said first and second impurity type dopants; depositing a first implant mask substantially impermeable to an implant of said impurity type dopant, leaving exposed said first region; exposing the masked substrate to an implant of said first impurity type dopant; depositing a second implant
  • OMPI mask substantially impermeable to an implant of said second impurity type dopant, leaving exposed said second region; exposing the masked substrate to an implant of said second impurity type dopant; and re- moving said first and second implant masks from the substrate with an etchant which etches the first and second implant mask materials at a greater rate than said protective material.
  • a process according to the invention has the capability of alleviating the problems arising in using photoresist masks for ion implantation at high ion im ⁇ plant beam currents and energy levels.
  • the first and second implant masks are formed of silicon nitride, a material having a high thermal stability at temperatures produced in the semi ⁇ conductor substrate when using high ion implant currents and energy levels.
  • silicon nitride ion implant -masks in the manufacture of CMOS devices is known per se from German Offenlegungsschrift No. 2,930 flash 630.
  • first and second regions have gate structures projecting above said substrate, including gate oxides and electrodes
  • a further feature of the process according to the invention resides in the step of covering the sidewails of the electrodes with the layer of protective material. This has the advantage of protecting the exposed vertical walls of the electrodes from process ambients.
  • the present invention is generally directed to a process for fabricating semiconductor devices having p-type and n-type doped regions in a common substrate.
  • CMOS complementary metal oxide semiconductor
  • FETs field effect transistors
  • the process lends itself to structures characterized by single dopant type poly- crystalline silicon electrodes and self-aligned gates.
  • S/D source-drain
  • the process departs from the convention at a step in the process after the field oxides are formed and the doped polycrystalline silicon electrodes are deposited and photolithographi- cally defined.
  • the plasma etch associated with the electrode definition only the gate and interconnect electrodes areas, each covered by silicon dioxide, are not etched away.
  • the S/D regions of the substrate are exposed.
  • a nominal thickness of silicon dioxide is grown on the sidewall of each polycrystalline silicon electrode, while a thinner layer of silicon dioxide is simultaneously formed on the exposed substrate in each of the S/D regions.
  • the silicon dioxide formed over each S/D region is by selection sufficiently thin to be substantially permeable to impurity ions during the succeeding implant step,, yet adequately thick to protect the underlying substrate from silicon nitride etchants used subsequently in the process.
  • the wafer is then covered by a deposition of silicon nitride, which is in succession photolitho- graphically defined and dry plasma etched to expose the p-channel FET S/D regions. The regions, however, retain a thin covering of silicon dioxide.
  • the silicon nitride layer covering the n-channel FETs serves as an ion implant mask, readily withstanding the high wafer tem ⁇ peratures encountered during the boron implant into the p-channel S/D regions. Since the silicon nitride is a protective barrier for the n-channel S/D regions, it must be sufficiently thick to be substantially imper ⁇ meable to boron ions.
  • the succeeding steps photolithographically expose the S/D regions of * the n-channel FETs for arsenic ion implanting.
  • the depth of the new layer is by selection just sufficient to protect the complementary FETs during the S/D implant of the n-channel FETs.
  • Figs. 1-13 depict sequential stages in the processing of a complementary pair of CMOS FETs, shown in cross-section.
  • Fig. 1 represents an IC (integrated circuit) at a recognized step in the coplanar process, and shows an n-type substrate 10, a p-type well 12, thick field oxides 14, thin gate oxides 16, and regions 18, 20 for the provision of p-channel and n-channel FETs.
  • Channel stopper implants (not shown) under the field oxides 14 are normally introduced in a prior step. Since the substrate and well designations are well-known, their repeated delineation and dopant marking is dispensed with after Fig. 1.
  • Figs. 1 represents an IC (integrated circuit) at a recognized step in the coplanar process, and shows an n-type substrate 10, a p-type well 12, thick field oxides 14, thin gate oxides 16, and regions 18, 20 for the provision of p-channel and n-channel FETs.
  • Channel stopper implants (not shown) under the field oxides 14 are normally introduced in a prior step. Since the substrate and well designations are well-known, their repeated delineation and dopant marking
  • FIG. 2 illustrates the relative organization of the IC after, a deposition of phosphorus (n-type impurity) doped polycrystalline silicon 22 followed by a covering of chemical vapor deposition (CVD), or thermal ⁇ ly grown, silicon dioxide 24 in the manner of the known art.
  • CVD chemical vapor deposition
  • a photo ⁇ resist (PR) mask 26 is deposited and photolithograph- ically delineated in Fig. 3.
  • the CVD silicon dioxide 24 is then selectively removed with a wet etch and followed in sequence by a dry plasma etch through the polycrystal- line silicon layer 22, using the photoresist 26 as a mask.
  • Fig. 4 depicts the general structure which results from the steps in the preceding figures, in ⁇ cluding an n-channel gate area, 1, a p-channel gate area, 2, and a doped polycrystalline silicon interconnect area, 3.
  • the depth of the CVD silicon dioxide covering the polycrystalline silicon is preferably about 3,000 Angstroms. This depth is suited to a boron implant performed at an energy of approximately 30 KeV.
  • a brief wet etch step is first used to remove the exposed areas of the gate oxides 16.
  • the field oxides 14 and CVD oxides 24 over the polycrystalline silicon electrodes 22 remain by virtue of their significantly greater thickness.
  • the bare sidewails 28 of the polycrystalline silicon electrodes 22 and monocrystalline silicon S/D regions 30 of the substrate are thermally oxidized to form a covering 32, 32A of sili ⁇ con dioxide. Refer to Fig. 6.
  • This step protects the exposed vertical walls 28 of the polycrystalline silicon 22 from process ambients, for example the potential sources of auto-doping, while providing a better match in terras of thermal coefficient of expansion with the succeeding layer of silicon nitride.
  • the oxidation conditions and dopant levels in the polycrystalline silicon gate 22 are selected so that the sidewall oxides 32A are grown to a thickness in the range of 1,500-2,500 Angstroms, while the S/D region silicon dioxide 32 levels reach approximately 500 Angstroms.
  • the significant differences in growth rate are primarily due to the differences in dopant levels, but are, nevertheless, influenced to some degree by the polycrystalline char ⁇ acter of the gate electrode 22 in contrast to the monocrystalline character of the S/D region in the substrate 10.
  • top surface of the electrode, covered by the CVD oxide 24, also experiences a growth in oxide depth.
  • the increase in depth is not nearly to the same extent as the side- walls 32A, reaching a level of about 3500 Angstroms for the conditions described above.
  • silicon nitride is to be utilized in this embodiment as the implant barrier, attention must be redirected briefly to consider some constraints intrinsic to the materials and energy levels. Undoubted- ly, any silicon nitride layer used as implant barrier must be thick enough to prevent penetration of the impurity ion utilized. In this context, if one plots
  • P ation ( ) for the Gaussian distribution are functions of the implant energy, the implant species, and the material into which the species are implanted.
  • Table 1 repre ⁇ sents the approximate statistical penetration for boron and arsenic with respective energy levels of 30 KeV and 80 KeV.
  • Fig. 6 The oxidized surface shown in Fig. 6 is now coated with a 2,000 Angstrom layer 34 of silicon nitride in Fig. 7.
  • a photoresist layer 36 is applied over the deposited silicon nitride and delineated to expose the p-channel active area 18.
  • Fig. 8 shows the silicon nitride 34 exposed through the photoresist 36 undergoing a dry plasma etch to remove the region covering the p-channel device.
  • a planar etcher with gases having better selec ⁇ tivity is preferred.
  • CF. plasma used to etch the silicon nitride 34 also attacks the polycrystalline silicon and the monocrystalline substrate, both at a rate many times greater than the silicon nitride etch rate.
  • the etch rate of silicon dioxide is relatively low, allowing the silicon dioxide to serve as a protective buffer.
  • the illustration in Fig. 9 shows that the low temperature photoresist is removed before undertaking the boron ion implant to form the p doped S/D region 38, 40 of the p-channel FET.
  • the n- channel FET region is masked by the combination of the 2,000 Angstrom silicon nitride layer 34 and approxi ⁇ mately 500 Angstroms of silicon dioxide 32 grown over the S/D regions.
  • the self-aligned channel area of the p-channel FET is protected from boron doping by the covering 24 of 3500 Angstroms of silicon dioxide.
  • the S/D regions 38, 40 of the p-channel FET are readily doped through the 50O Angstroms of silicon dioxide 32 when the implant is performed with an energy of 30 KeV. Refer to Table 1. OMPI Figs.
  • FIG. 10 and 11 show the deposition of another silicon nitride layer 42, to a depth of approximately 800 Angstroms, followed by a photolithographic definition in a photoresist layer 44 and a dry plasma etch.
  • CF. again serves as the etchant material, removing the 2800 Angstrom cumulative thickness of silicon nitride over the region 20 of the n-channel FET.
  • arsenic ions n-type dopant
  • the thickness of the silicon dioxide 32 over the S/D regions was limited to approximately 500 Angstroms or less. • Were the gate oxide 16 of approximately 600 Angstroms not removed prior to the growth of the sidewall oxide 32A and the S/D oxide 32 of 500 Angstroms, the combined thickness of the oxide covering the S/D region, approximately 1,100 Angstroms, would exceed the nominal penetration of the proposed arsenic implant. From the foregoing, it is - clear that the various steps are closely related in accomplishing the final objective.
  • the final step in the process leads to the IC con- figuration depicted in Fig. 13. Note that it is neces ⁇ sary to remove a total of 2800 Angstroms of silicon nitride in the field oxide regions, while the p-channel FET is covered by only 800 Angstroms and the n-channel FET lacks any silicon nitride covering. Care must be exercised to avoid etching into the S/D regions and laterally into the polycrystalline silicon electrode walls, while reliably removing the thickest silicon nitride layer. Residuals of silicon nitride have been linked to instabilities during the operation of IC FETs.
  • the present embodiment relies upon the prefer ⁇ ential etch ratio of hot phosphoric acid (H-PO.).
  • H-PO. hot phosphoric acid
  • the 50:1 etch ratio, nitride to oxide, exhibited by hot phosphoric acid removes the approximately 2800 Angstroms of silicon nitride while only dissolving approximately 60 Angstroms of silicon dioxide on a continuously ex ⁇ posed surface, for instance the n-channel FET. Recalling that the thinnest silicon dioxide layer is the 500
  • CMOS fabrication steps following from the structure in Fig. 13 are fairly rudimentary for those skilled in the art. Consequently, they will be described by summary. Namely, a thick isolation oxide is deposited, contact holes are formed therethrough, aluminum inter ⁇ connects are deposited and delineated, and the final passivation layer is deposited and delineated.
  • Test devices fabricated according to the embodied process have exhibited excellent performance characteristics.
  • inverter chains have demonstrated propagation delay times of 1.5 nanoseconds per gate with a 5 volt drain-to-source voltage and channel width to channel length ratios at the mask of 50/6 and 25/5 for n-channel and p-channel devices, respectively.

Abstract

In a process for fabricating CMOS devices having polysilicon gate electrodes on a semiconductor substrate (10), a gate oxide layer (16) is provided on the substrate, and doped polysilicon gate electrodes (22) are defined on the gate oxide layer (16) which is then removed from the source and drain regions. A protective layer (32) of silicon dioxide is then applied over the source and drain regions and over the sidewalls (28) of the gate electrodes (22). A first silicon nitride mask (34) is formed leaving exposed a first region (18) which is then subjected to a boron implant to form the sources and drains of the p-channel devices. A second silicon nitride mask (42) is formed over the substrate (10), and a second region (20) of the substrate is then subject of an arsenic implant to form the sources and drains of the n-channel devices. The remaining silicon nitride is removed using a phosphoric acid etchant, the substrate surface being protected during the etching by the silicon oxide protective layer (32).

Description

PROCESS FOR MANUFACTURING CMOS SEMICONDUCTOR DEVICES
Technical Field
This invention relates to processes of the
5 kind for selectively doping areas in a semiconductor substrate with different impurity type dopants, including the step of defining first and second regions to be subjected to the application of respective first and second impurity type dopants.
10 The invention has a particular application to the manufacture of CMOS semiconductor devices.
Background Art
By definition, CMOS integrated circuits (ICs) require both p-channel and n-channel FETs on the same 15 semiconductor chip. To account for the opposite resis¬ tivity type in the two channels, islands or wells are formed in the semiconductor substrate and are doped to have a conductivity opposite that of the substrate. Contemporary preference is to utilize an n-type sub-
20. strate, for the p-channel FETs, and diffused p-type wells for the n-channel FETs, though substrates and wells of the opposite dopant are being considered for the future. Undoubtedly, one recognizes that the present invention, though embodied in one form, is amenable to 5 fabrication having either configuration.
In the pursuit of higher component densities in CMOS ICs, scaling techniques and processing steps have been conceived and refined to produce active circuit devices with exceptionally small dimensions. The co- 0 planar process, often referred to as the LOCOS process, is particularly suited for fabricating ICs with high component density levels by utilizing self-aligned gate electrodes and field guard rings to suppress parasitic devices. The field guard rings are the regions of 5 greater impurity density underlying the field oxide. As the vertical dimensions of such IC devices deer-ease further, the source and drain regions of the individual FETs must also shrink. This reduction of the S/D (source and drain) regions is generally accomplished by using refined ion implant techniques to dope the S/D regions with p and n impurities. With a judicious choice of impurity types and annealing conditions, relatively small and shallow, but heavily doped, S/D regions can be formed in the chip. The demand for higher dopant concentrations at shallow depths are not limited to the S/D regions of the CMOS ICs, since the increase in density also dictates that the electrical interconnects be formed with dif¬ fusion lines in the substrate* Like the S/D regions, the diffusion lines must be shallow, yet low in resis¬ tivity.
Given the pervasive need for higher dopant concentrations with relatively shallow penetration depths, one is confronted by the inherent limitations of conventional processes~ Generally, contemporary manufac¬ turing processes prescribe ion implanting as the method for selectively doping substrate regions. If low or medium ion energy and implant dose rates are used, the concentration and depth requirements for shallow S/D regions cannot be satisfied. Furthermore, high doses, for instance, 10 15-1016 ion/centimeters squared im¬ planted with energy levels of 20-200 KeV, still require high ion implant beam currents if the processes are to be completed within a reasonable period of fabrication time. Note, however, that combinations of high currents and energy levels inherently raise the wafers to temper¬ atures significantly greater than normally experienced during fabrication. The implications of such elevated temperatures will be described hereinafter. Generally, during the fabrication of the S/D regions of the p-channel FETs and p-type interconnects. the S/D regions and interconnects of the n-type areas are somehow protected from the p-type boron implant ions. Conversely, the n-type areas are implanted with phosphorus, arsenic or antimony ions while the p-type areas are protected. In the general practice of the prior art, photoresist materials served adequately as the ion barrier. However, photoresist masks are suited only for moderate temperature environments, represented by processes in which the ion implant dose rates and energy levels are low or medium in relative amplitude. Photoresist masks may remain viable at high implant rates, but only if extraordinary measures are taken to cool the wafer during the implant process. If such precautions are not incorporated into the process, and high dose rates and energy levels are implemented, the photoresist burns or flows, with a consequential defor¬ mation of the photolithographic pattern, non-uniform implant resistivity and likely contamination of the implant chamber. With conventional photoresist materials and implant apparatus, process integrity limits the wafer temperature to about 16Q°C. Consequently, one now recognizes the need for specialized cooling or process refinements if high ion implant dose rates and energy levels are to be utilized with masks of photoresist material.
Disclosure of the Invention
According to the present invention, there is provided a process of the kind specified, characterized by the steps of: covering said first and second regions with a layer of a protective material having a thickness substantially permeable to implants of said first and second impurity type dopants; depositing a first implant mask substantially impermeable to an implant of said impurity type dopant, leaving exposed said first region; exposing the masked substrate to an implant of said first impurity type dopant; depositing a second implant
OMPI mask substantially impermeable to an implant of said second impurity type dopant, leaving exposed said second region; exposing the masked substrate to an implant of said second impurity type dopant; and re- moving said first and second implant masks from the substrate with an etchant which etches the first and second implant mask materials at a greater rate than said protective material.
A process according to the invention has the capability of alleviating the problems arising in using photoresist masks for ion implantation at high ion im¬ plant beam currents and energy levels.
Preferably, the first and second implant masks are formed of silicon nitride, a material having a high thermal stability at temperatures produced in the semi¬ conductor substrate when using high ion implant currents and energy levels. In this connection it is to be noted that the use of silicon nitride ion implant -masks in the manufacture of CMOS devices is known per se from German Offenlegungsschrift No. 2,930„ 630.
Where the first and second regions have gate structures projecting above said substrate, including gate oxides and electrodes, a further feature of the process according to the invention resides in the step of covering the sidewails of the electrodes with the layer of protective material. This has the advantage of protecting the exposed vertical walls of the electrodes from process ambients.
In summary, the present invention is generally directed to a process for fabricating semiconductor devices having p-type and n-type doped regions in a common substrate. In a preferred form, it relates to a refined process for forming complementary metal oxide semiconductor (CMOS) type field effect transistors (FETs) by altering the generally-known coplanar process. In the manner practiced, the process lends itself to structures characterized by single dopant type poly- crystalline silicon electrodes and self-aligned gates.
Figure imgf000006_0001
The ion implants of the source-drain (S/D) regions are performed at relatively high implant energy levels and beam currents. Consequently, shallow, but high impurity density, S/D junctions are formed in CMOS structures having self-aligned gates and doped polyerystalline silicon electrodes.
With more particularity, the process departs from the convention at a step in the process after the field oxides are formed and the doped polycrystalline silicon electrodes are deposited and photolithographi- cally defined. During the plasma etch associated with the electrode definition, only the gate and interconnect electrodes areas, each covered by silicon dioxide, are not etched away. The S/D regions of the substrate are exposed. Thereafter, a nominal thickness of silicon dioxide is grown on the sidewall of each polycrystalline silicon electrode, while a thinner layer of silicon dioxide is simultaneously formed on the exposed substrate in each of the S/D regions. The silicon dioxide formed over each S/D region is by selection sufficiently thin to be substantially permeable to impurity ions during the succeeding implant step,, yet adequately thick to protect the underlying substrate from silicon nitride etchants used subsequently in the process. The wafer is then covered by a deposition of silicon nitride, which is in succession photolitho- graphically defined and dry plasma etched to expose the p-channel FET S/D regions. The regions, however, retain a thin covering of silicon dioxide. The silicon nitride layer covering the n-channel FETs serves as an ion implant mask, readily withstanding the high wafer tem¬ peratures encountered during the boron implant into the p-channel S/D regions. Since the silicon nitride is a protective barrier for the n-channel S/D regions, it must be sufficiently thick to be substantially imper¬ meable to boron ions.
After the first ion implant is completed, another silicon nitride layer is deposited over the
Figure imgf000007_0001
OMPI surface of the wafer. In this case, the succeeding steps photolithographically expose the S/D regions of * the n-channel FETs for arsenic ion implanting. As was true for the previous silicon nitride layer, the depth of the new layer is by selection just sufficient to protect the complementary FETs during the S/D implant of the n-channel FETs.
At the conclusion of the n-channel implant, all remaining silicon nitride is removed using an etchant having a very high preferential etch ratio between silicon nitride and silicon dioxide. Thereby, the thin silicon dioxide layers covering the various exposed surfaces protect the underlying polycrystalline silicon electrodes and onocrystalline silicon S/D regions of the substrate-
Thus, it will be appreciated that the bene¬ ficial aspects of coplanar processing, with polycry¬ stalline silicon and self-aligned gates, single impurity type polycrystalline interconnects, minimal mask align- ent constraints and few process masks, are retained, while gaining the ability to create shallow regions with high dopant concentrations.
Brief Description of the Drawings
One embodiment of the invention will now be described by way of example with reference to the accompanying drawings in which:
Figs. 1-13 depict sequential stages in the processing of a complementary pair of CMOS FETs, shown in cross-section.
Best Mode for Carrying Out the Invention
Fig. 1 represents an IC (integrated circuit) at a recognized step in the coplanar process, and shows an n-type substrate 10, a p-type well 12, thick field oxides 14, thin gate oxides 16, and regions 18, 20 for the provision of p-channel and n-channel FETs. Channel stopper implants (not shown) under the field oxides 14 are normally introduced in a prior step. Since the substrate and well designations are well-known, their repeated delineation and dopant marking is dispensed with after Fig. 1. Figs. 2 illustrates the relative organization of the IC after, a deposition of phosphorus (n-type impurity) doped polycrystalline silicon 22 followed by a covering of chemical vapor deposition (CVD), or thermal¬ ly grown, silicon dioxide 24 in the manner of the known art. In a similarly-known manner of the art, a photo¬ resist (PR) mask 26 is deposited and photolithograph- ically delineated in Fig. 3. The CVD silicon dioxide 24 is then selectively removed with a wet etch and followed in sequence by a dry plasma etch through the polycrystal- line silicon layer 22, using the photoresist 26 as a mask.
Fig. 4 depicts the general structure which results from the steps in the preceding figures, in¬ cluding an n-channel gate area, 1, a p-channel gate area, 2, and a doped polycrystalline silicon interconnect area, 3. Given the structural organization depicted, and the intended utilization of the gate electrodes as the implant masks, the depth of the CVD silicon dioxide covering the polycrystalline silicon is preferably about 3,000 Angstroms. This depth is suited to a boron implant performed at an energy of approximately 30 KeV. Though thinner layers of silicon dioxide are feasible when the polycrystalline silicon is heavily doped with an impurity of phosphorus (n-type dopant), care must still be exercised to prevent significant boron accumulation in the polycrystalline silicon lest it subsequently migrate through the gate oxide to contaminate the channel region in the substrate layer.
It is with Fig. 4 that the present process departs significantly from the general prior art and embarks upon procedural steps unique to the invention. In one form of practicing the invention, a brief wet etch step is first used to remove the exposed areas of the gate oxides 16. The field oxides 14 and CVD oxides 24 over the polycrystalline silicon electrodes 22 remain by virtue of their significantly greater thickness. Thereafter the bare sidewails 28 of the polycrystalline silicon electrodes 22 and monocrystalline silicon S/D regions 30 of the substrate, as shown in Fig. 5, are thermally oxidized to form a covering 32, 32A of sili¬ con dioxide. Refer to Fig. 6. This step protects the exposed vertical walls 28 of the polycrystalline silicon 22 from process ambients, for example the potential sources of auto-doping, while providing a better match in terras of thermal coefficient of expansion with the succeeding layer of silicon nitride. The oxidation conditions and dopant levels in the polycrystalline silicon gate 22 are selected so that the sidewall oxides 32A are grown to a thickness in the range of 1,500-2,500 Angstroms, while the S/D region silicon dioxide 32 levels reach approximately 500 Angstroms. The significant differences in growth rate are primarily due to the differences in dopant levels, but are, nevertheless, influenced to some degree by the polycrystalline char¬ acter of the gate electrode 22 in contrast to the monocrystalline character of the S/D region in the substrate 10. It should not be overlooked that the top surface of the electrode, covered by the CVD oxide 24, also experiences a growth in oxide depth. The increase in depth is not nearly to the same extent as the side- walls 32A, reaching a level of about 3500 Angstroms for the conditions described above.
Given that silicon nitride is to be utilized in this embodiment as the implant barrier, attention must be redirected briefly to consider some constraints intrinsic to the materials and energy levels. Undoubted- ly, any silicon nitride layer used as implant barrier must be thick enough to prevent penetration of the impurity ion utilized. In this context, if one plots
Figure imgf000010_0001
_9_
the concentration of the implant species versus depth of penetration, the plot obtained is approximately Gaussian in its distribution. Use R to represent the range, defined as the depth at which the concentration reaches its Gaussian peak. Reference to empirical and statis¬ tical data will show that both R„ and the standard devi-
P ation ( ) for the Gaussian distribution are functions of the implant energy, the implant species, and the material into which the species are implanted. For silicon nitride as the implant recipient. Table 1 repre¬ sents the approximate statistical penetration for boron and arsenic with respective energy levels of 30 KeV and 80 KeV.
TABLE 1
30 KeV 80 KeV
Boron Implant Arsenic Imp!ant
Figure imgf000011_0001
5 1350 1710 490 630
V5 2070 2260 790 1010
A conservative description of a process relying on the data in the Table would establish that the implant is sufficiently blocked if only an extreme tail of the Gaussian distribution, at least 5 from the peak, penetrates the blocking layer. With this barrier depth, the implanted impurity concentration penetrating is approximately 10 —5 lower than the peak concentration n the barrier. Referring to the Table for a depth of
R + 5 , one extracts that a silicon nitride layer of
2,100 Angstroms is suitable during the 30 KeV boron implant, and 800 Angstroms during the 80 KeV arsenic implant. It should not be overlooked that these silicon nitride masking layers are exemplary for the impurity
-^ REΛT
OMPI species and energy levels of the present embodiment. Accordingly, refinements will be necessary as materials, energy levels and process tolerances dictate.
With an understanding of some of the underlying constraints at hand, attention is again drawn to the figures illustrating the process steps. The oxidized surface shown in Fig. 6 is now coated with a 2,000 Angstrom layer 34 of silicon nitride in Fig. 7. As em¬ bodied, a photoresist layer 36 is applied over the deposited silicon nitride and delineated to expose the p-channel active area 18. Fig. 8 shows the silicon nitride 34 exposed through the photoresist 36 undergoing a dry plasma etch to remove the region covering the p-channel device. Though the invention was practiced with a plasma containing CF^ and oxygen in a barrel etcher, a planar etcher with gases having better selec¬ tivity is preferred. One reason for the sidewall oxide 32A is now recognized. The CF. plasma used to etch the silicon nitride 34 also attacks the polycrystalline silicon and the monocrystalline substrate, both at a rate many times greater than the silicon nitride etch rate. In contrast, the etch rate of silicon dioxide is relatively low, allowing the silicon dioxide to serve as a protective buffer. The illustration in Fig. 9 shows that the low temperature photoresist is removed before undertaking the boron ion implant to form the p doped S/D region 38, 40 of the p-channel FET. During the implant, the n- channel FET region is masked by the combination of the 2,000 Angstrom silicon nitride layer 34 and approxi¬ mately 500 Angstroms of silicon dioxide 32 grown over the S/D regions. The self-aligned channel area of the p-channel FET is protected from boron doping by the covering 24 of 3500 Angstroms of silicon dioxide. In contrast, the S/D regions 38, 40 of the p-channel FET are readily doped through the 50O Angstroms of silicon dioxide 32 when the implant is performed with an energy of 30 KeV. Refer to Table 1. OMPI Figs. 10 and 11 show the deposition of another silicon nitride layer 42, to a depth of approximately 800 Angstroms, followed by a photolithographic definition in a photoresist layer 44 and a dry plasma etch. CF. again serves as the etchant material, removing the 2800 Angstrom cumulative thickness of silicon nitride over the region 20 of the n-channel FET. Following the re¬ moval of the photoresist 44, arsenic ions (n-type dopant) are implanted, as schematically depicted in Fig. 12, by penetration through the approximately 500 Angstroms of oxide over the S/D regions 46, 48. Again, referring back to Table 1, it is evident that the 800 Angstroms of silicon nitride 42 prevents arsenic ion penetration into the p-channel FET when the arsenic implant energy is 80 KeV. Likewise, the 3500 Angstroms of silicon dioxide 24 protects and self-aligns the n-type channel.
It now becomes apparent why the thickness of the silicon dioxide 32 over the S/D regions was limited to approximately 500 Angstroms or less. Were the gate oxide 16 of approximately 600 Angstroms not removed prior to the growth of the sidewall oxide 32A and the S/D oxide 32 of 500 Angstroms, the combined thickness of the oxide covering the S/D region, approximately 1,100 Angstroms, would exceed the nominal penetration of the proposed arsenic implant. From the foregoing, it is - clear that the various steps are closely related in accomplishing the final objective.
The final step in the process, removing the residual silicon nitride 34, 42, leads to the IC con- figuration depicted in Fig. 13. Note that it is neces¬ sary to remove a total of 2800 Angstroms of silicon nitride in the field oxide regions, while the p-channel FET is covered by only 800 Angstroms and the n-channel FET lacks any silicon nitride covering. Care must be exercised to avoid etching into the S/D regions and laterally into the polycrystalline silicon electrode walls, while reliably removing the thickest silicon nitride layer. Residuals of silicon nitride have been linked to instabilities during the operation of IC FETs. The present embodiment relies upon the prefer¬ ential etch ratio of hot phosphoric acid (H-PO.). The 50:1 etch ratio, nitride to oxide, exhibited by hot phosphoric acid removes the approximately 2800 Angstroms of silicon nitride while only dissolving approximately 60 Angstroms of silicon dioxide on a continuously ex¬ posed surface, for instance the n-channel FET. Recalling that the thinnest silicon dioxide layer is the 500
Angstroms over the S/D region of the n-channel FET, it is apparent that the embodying process provides an adequate margin for fabrication. Furthermore, recent advances in dry etching suggest that new materials, exhibiting acceptable preferential etch ratios, may be available in the foreseeable future as substitutes for this wet acid etchant. A preferential etch ratio greater than 20:1 would be sufficient.
The CMOS fabrication steps following from the structure in Fig. 13 are fairly rudimentary for those skilled in the art. Consequently, they will be described by summary. Namely, a thick isolation oxide is deposited, contact holes are formed therethrough, aluminum inter¬ connects are deposited and delineated, and the final passivation layer is deposited and delineated.
Test devices fabricated according to the embodied process have exhibited excellent performance characteristics. For example, inverter chains have demonstrated propagation delay times of 1.5 nanoseconds per gate with a 5 volt drain-to-source voltage and channel width to channel length ratios at the mask of 50/6 and 25/5 for n-channel and p-channel devices, respectively.

Claims

CLAIMS :
1. A process for selectively doping areas in a semiconductor substrate with different impurity type dopants, including the step of defining first and second regions (18, 20) to be subjected to the appli- cation of respective first and second impurity type dopants, characterized by the steps of: covering said first and second regions (18, 20) with a layer of a protective material (32) having a thickness substan¬ tially permeable to implants of said first and second impurity type dopants; depositing a first implant mask (34) substantially impermeable to an implant of said impurity type dopant, leaving exposed said first region (18); exposing the masked substrate (10) to an implant of said first impurity type dopant; depositing a second implant mask (42) substantially impermeable to an implant of said second impurity type dopant, leaving exposed said second region (20); exposing the masked substrate (10) to an implant of said second impurity type dopant; and removing said first and second implant masks (34, 42) from the substrate with an etchant which etches the first and second implant mask materials at a greater rate than said protective material.
2. A process according to claim 1, wherein said first and second regions (18, 20) have gate struc¬ tures (1, 2) projecting above said substrate (10), including gate oxides (16) and electrodes (22), charac- terized by the step of covering the sidewails (28) of said electrodes (22) with said layer of protective material (32).
3. A process according to claim 2, charac¬ terized by the step of providing a gate oxide layer (16) in said first and second regions (18, 20) and removing said gate oxide layer (16) from said first and second 3. (concluded) regions (18, 20) except in the region of said gate struc¬ tures (1, 2), prior to said step of covering with said layer of protective material (32).
4. A process according to claim 3, charac¬ terized in that said protective material (32) is silicon dioxide and in that said first and second im¬ plant masks (34, 42) are formed of silicon nitride.
5. A process according to claim 4, charac¬ terized in that said etchant contains phosphoric acid.
6. A process according to claim 5, charac¬ terized in that said etchant has a preferential etch ratio exceeding 20:1.
7. A process according to claim 1, charac¬ terized by the step of removing the first implant mask material (34) in said second region (20) after said step of depositing said second implant mask (42) and prior to said step of exposing to an implant of said second impurity type dopant.
IJUREA
OMPI
PCT/US1982/000547 1981-04-27 1982-04-26 Process for manufacturing cmos semiconductor devices WO1982003945A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/258,189 US4382827A (en) 1981-04-27 1981-04-27 Silicon nitride S/D ion implant mask in CMOS device fabrication
US258189810427 1981-04-27

Publications (1)

Publication Number Publication Date
WO1982003945A1 true WO1982003945A1 (en) 1982-11-11

Family

ID=22979485

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1982/000547 WO1982003945A1 (en) 1981-04-27 1982-04-26 Process for manufacturing cmos semiconductor devices

Country Status (3)

Country Link
US (1) US4382827A (en)
EP (1) EP0077387A1 (en)
WO (1) WO1982003945A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1984004204A1 (en) * 1983-04-18 1984-10-25 Ncr Co Method of manufacturing a semiconductor device having small dimensions
EP0183138A1 (en) * 1984-11-29 1986-06-04 Siemens Aktiengesellschaft Method of producing highly integrated circuits of MOS transistors
GB2237445A (en) * 1989-10-04 1991-05-01 Seagate Microelectron Ltd "semiconductor device fabrication using masks"

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3133468A1 (en) * 1981-08-25 1983-03-17 Siemens AG, 1000 Berlin und 8000 München METHOD FOR PRODUCING HIGHLY INTEGRATED COMPLEMENTARY MOS FIELD EFFECT TRANSISTOR CIRCUITS IN SILICON GATE TECHNOLOGY
US5141890A (en) * 1982-02-01 1992-08-25 Texas Instruments Incorporated CMOS sidewall oxide-lightly doped drain process
US4450021A (en) * 1982-02-22 1984-05-22 American Microsystems, Incorporated Mask diffusion process for forming Zener diode or complementary field effect transistors
US4412375A (en) * 1982-06-10 1983-11-01 Intel Corporation Method for fabricating CMOS devices with guardband
US4566175A (en) * 1982-08-30 1986-01-28 Texas Instruments Incorporated Method of making insulated gate field effect transistor with a lightly doped drain using oxide sidewall spacer and double implantations
JPS5955054A (en) * 1982-09-24 1984-03-29 Hitachi Ltd Manufacture of semiconductor device
US4480375A (en) * 1982-12-09 1984-11-06 International Business Machines Corporation Simple process for making complementary transistors
US4470191A (en) * 1982-12-09 1984-09-11 International Business Machines Corporation Process for making complementary transistors by sequential implantations using oxidation barrier masking layer
US4509991A (en) * 1983-10-06 1985-04-09 International Business Machines Corporation Single mask process for fabricating CMOS structure
US4567640A (en) * 1984-05-22 1986-02-04 Data General Corporation Method of fabricating high density CMOS devices
US4663645A (en) * 1984-05-23 1987-05-05 Hitachi, Ltd. Semiconductor device of an LDD structure having a floating gate
US5352620A (en) * 1984-05-23 1994-10-04 Hitachi, Ltd. Method of making semiconductor device with memory cells and peripheral transistors
JPS61139058A (en) * 1984-12-11 1986-06-26 Seiko Epson Corp Production apparatus for semiconductor
US5190886A (en) * 1984-12-11 1993-03-02 Seiko Epson Corporation Semiconductor device and method of production
KR890004962B1 (en) * 1985-02-08 1989-12-02 가부시끼가이샤 도오시바 Semiconductor device manufacturing method
US4713329A (en) * 1985-07-22 1987-12-15 Data General Corporation Well mask for CMOS process
KR900000065B1 (en) * 1985-08-13 1990-01-19 가부시끼가이샤 도오시바 Semiconductor manufacturing method and memory device
US4701423A (en) * 1985-12-20 1987-10-20 Ncr Corporation Totally self-aligned CMOS process
EP0257328B1 (en) * 1986-08-11 1991-10-23 Siemens Aktiengesellschaft Method of producing pn junctions
US4753898A (en) * 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4933297A (en) * 1989-10-12 1990-06-12 At&T Bell Laboratories Method for etching windows having different depths
US4935376A (en) * 1989-10-12 1990-06-19 At&T Bell Laboratories Making silicide gate level runners
EP0456318B1 (en) * 1990-05-11 2001-08-22 Koninklijke Philips Electronics N.V. CMOS process utilizing disposable silicon nitride spacers for making lightly doped drain transistors
US5045486A (en) * 1990-06-26 1991-09-03 At&T Bell Laboratories Transistor fabrication method
WO1993016494A1 (en) * 1992-01-31 1993-08-19 Analog Devices, Inc. Complementary bipolar polysilicon emitter devices
JP3395263B2 (en) * 1992-07-31 2003-04-07 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof
US5439847A (en) * 1993-11-05 1995-08-08 At&T Corp. Integrated circuit fabrication with a raised feature as mask
US5439834A (en) * 1994-11-15 1995-08-08 Winbond Electronics Corp. Method for fabricating a CMOS device with reduced number of photolithography steps
US5858844A (en) * 1995-06-07 1999-01-12 Advanced Micro Devices, Inc. Method for construction and fabrication of submicron field-effect transistors by optimization of poly oxide process
US6297096B1 (en) * 1997-06-11 2001-10-02 Saifun Semiconductors Ltd. NROM fabrication method
US6768165B1 (en) * 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6331468B1 (en) * 1998-05-11 2001-12-18 Lsi Logic Corporation Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
WO2000039858A2 (en) 1998-12-28 2000-07-06 Fairchild Semiconductor Corporation Metal gate double diffusion mosfet with improved switching speed and reduced gate tunnel leakage
US7078296B2 (en) 2002-01-16 2006-07-18 Fairchild Semiconductor Corporation Self-aligned trench MOSFETs and methods for making the same
US7326601B2 (en) * 2005-09-26 2008-02-05 Advanced Micro Devices, Inc. Methods for fabrication of a stressed MOS device
US7541234B2 (en) * 2005-11-03 2009-06-02 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas
JP2008300420A (en) * 2007-05-29 2008-12-11 Nec Electronics Corp Semiconductor device, and method of manufacturing semiconductor device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4046606A (en) * 1976-05-10 1977-09-06 Rca Corporation Simultaneous location of areas having different conductivities
US4110899A (en) * 1976-01-12 1978-09-05 Hitachi, Ltd. Method for manufacturing complementary insulated gate field effect transistors
US4221045A (en) * 1978-06-06 1980-09-09 Rockwell International Corporation Self-aligned contacts in an ion implanted VLSI circuit
US4244752A (en) * 1979-03-06 1981-01-13 Burroughs Corporation Single mask method of fabricating complementary integrated circuits
US4268321A (en) * 1978-08-23 1981-05-19 Hitachi, Ltd. Method of fabricating a semiconductor device having channel stoppers
US4272308A (en) * 1979-10-10 1981-06-09 Varshney Ramesh C Method of forming recessed isolation oxide layers
US4280272A (en) * 1977-07-04 1981-07-28 Tokyo Shibaura Denki Kabushiki Kaisha Method for preparing complementary semiconductor device
US4306916A (en) * 1979-09-20 1981-12-22 American Microsystems, Inc. CMOS P-Well selective implant method
US4306915A (en) * 1978-04-21 1981-12-22 Nippon Electric Co., Ltd. Method of making electrode wiring regions and impurity doped regions self-aligned therefrom
US4314857A (en) * 1979-07-31 1982-02-09 Mitel Corporation Method of making integrated CMOS and CTD by selective implantation
US4329186A (en) * 1979-12-20 1982-05-11 Ibm Corporation Simultaneously forming fully implanted DMOS together with enhancement and depletion mode MOSFET devices

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4043025A (en) * 1975-05-08 1977-08-23 National Semiconductor Corporation Self-aligned CMOS process for bulk silicon and insulating substrate device
JPS5519857A (en) 1978-07-28 1980-02-12 Nec Corp Semiconductor

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4110899A (en) * 1976-01-12 1978-09-05 Hitachi, Ltd. Method for manufacturing complementary insulated gate field effect transistors
US4046606A (en) * 1976-05-10 1977-09-06 Rca Corporation Simultaneous location of areas having different conductivities
US4280272A (en) * 1977-07-04 1981-07-28 Tokyo Shibaura Denki Kabushiki Kaisha Method for preparing complementary semiconductor device
US4306915A (en) * 1978-04-21 1981-12-22 Nippon Electric Co., Ltd. Method of making electrode wiring regions and impurity doped regions self-aligned therefrom
US4221045A (en) * 1978-06-06 1980-09-09 Rockwell International Corporation Self-aligned contacts in an ion implanted VLSI circuit
US4268321A (en) * 1978-08-23 1981-05-19 Hitachi, Ltd. Method of fabricating a semiconductor device having channel stoppers
US4244752A (en) * 1979-03-06 1981-01-13 Burroughs Corporation Single mask method of fabricating complementary integrated circuits
US4314857A (en) * 1979-07-31 1982-02-09 Mitel Corporation Method of making integrated CMOS and CTD by selective implantation
US4306916A (en) * 1979-09-20 1981-12-22 American Microsystems, Inc. CMOS P-Well selective implant method
US4272308A (en) * 1979-10-10 1981-06-09 Varshney Ramesh C Method of forming recessed isolation oxide layers
US4329186A (en) * 1979-12-20 1982-05-11 Ibm Corporation Simultaneously forming fully implanted DMOS together with enhancement and depletion mode MOSFET devices

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1984004204A1 (en) * 1983-04-18 1984-10-25 Ncr Co Method of manufacturing a semiconductor device having small dimensions
EP0183138A1 (en) * 1984-11-29 1986-06-04 Siemens Aktiengesellschaft Method of producing highly integrated circuits of MOS transistors
US4658496A (en) * 1984-11-29 1987-04-21 Siemens Aktiengesellschaft Method for manufacturing VLSI MOS-transistor circuits
GB2237445A (en) * 1989-10-04 1991-05-01 Seagate Microelectron Ltd "semiconductor device fabrication using masks"
GB2237445B (en) * 1989-10-04 1994-01-12 Seagate Microelectron Ltd A semiconductor device fabrication process
US5679586A (en) * 1989-10-04 1997-10-21 Seagate Technology, Inc. Composite mask process for semiconductor fabrication

Also Published As

Publication number Publication date
EP0077387A1 (en) 1983-04-27
US4382827A (en) 1983-05-10

Similar Documents

Publication Publication Date Title
US4382827A (en) Silicon nitride S/D ion implant mask in CMOS device fabrication
US4330931A (en) Process for forming metal plated regions and lines in MOS circuits
US5326722A (en) Polysilicon contact
US4272880A (en) MOS/SOS Process
US5234850A (en) Method of fabricating a nitride capped MOSFET for integrated circuits
US4078947A (en) Method for forming a narrow channel length MOS field effect transistor
US4113515A (en) Semiconductor manufacturing method using buried nitride formed by a nitridation treatment in the presence of active nitrogen
US4422885A (en) Polysilicon-doped-first CMOS process
EP0192668B1 (en) Semiconductor integrated circuits gettered with phosphorus
US4512073A (en) Method of forming self-aligned contact openings
US4103415A (en) Insulated-gate field-effect transistor with self-aligned contact hole to source or drain
US5393693A (en) "Bird-beak-less" field isolation method
US4170492A (en) Method of selective oxidation in manufacture of semiconductor devices
US4891328A (en) Method of manufacturing field effect transistors and lateral bipolar transistors on the same substrate
JPH05347383A (en) Manufacture of integrated circuit
JPH0697665B2 (en) Method of manufacturing integrated circuit structure
US5895252A (en) Field oxidation by implanted oxygen (FIMOX)
KR0130376B1 (en) Fabrication method of semiconductor device
KR100525216B1 (en) Nitrogen implantation using a shadow effect to control gate oxide thickness in sti dram semiconductors
EP0771021A2 (en) Transistor fabrication method
US5225357A (en) Low P+ contact resistance formation by double implant
US4416050A (en) Method of fabrication of dielectrically isolated CMOS devices
US4169270A (en) Insulated-gate field-effect transistor with self-aligned contact hole to source or drain
US4621413A (en) Fabricating a semiconductor device with reduced gate leakage
US5106768A (en) Method for the manufacture of CMOS FET by P+ maskless technique

Legal Events

Date Code Title Description
AK Designated states

Designated state(s): JP

AL Designated countries for regional patents

Designated state(s): DE GB NL