WO1992016053A1 - Programmable application specific integrated circuit and logic cell therefor - Google Patents

Programmable application specific integrated circuit and logic cell therefor Download PDF

Info

Publication number
WO1992016053A1
WO1992016053A1 PCT/US1992/001765 US9201765W WO9216053A1 WO 1992016053 A1 WO1992016053 A1 WO 1992016053A1 US 9201765 W US9201765 W US 9201765W WO 9216053 A1 WO9216053 A1 WO 9216053A1
Authority
WO
WIPO (PCT)
Prior art keywords
conductors
input
logic
output
logic gate
Prior art date
Application number
PCT/US1992/001765
Other languages
French (fr)
Inventor
Andrew K. Chan
John M. Birkner
Hua-Thye Chua
Original Assignee
Quicklogic Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Quicklogic Corporation filed Critical Quicklogic Corporation
Priority to JP50827392A priority Critical patent/JP3302014B2/en
Publication of WO1992016053A1 publication Critical patent/WO1992016053A1/en

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17736Structural details of routing resources
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/1733Controllable logic circuits
    • H03K19/1737Controllable logic circuits using multiplexers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17704Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form the logic functions being realised by the interconnection of rows and columns
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17724Structural details of logic blocks
    • H03K19/17728Reconfigurable logic blocks, e.g. lookup tables
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17736Structural details of routing resources
    • H03K19/1774Structural details of routing resources for global signals, e.g. clock, reset
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • H03K19/17792Structural details for adapting physical parameters for operating speed

Definitions

  • the present invention relates to application specific integrated circuits, and more specifically to field programmable gate arrays and logic cells therefor.
  • ASIC Application specific integrated circuits
  • PLD programmable logic array devices
  • FPLA field programmable logic array devices
  • a FPLA typically contains a programmable AND array and a programmable OR array
  • a PLD typically contains a programmable AND array and a fixed OR array.
  • the programmable elements in a programmable array are constructed of active devices such as diodes or transistors connected to a fusible link, ultraviolet erasable floating gate devices, and electrically erasable floating gate devices. Generally, such devices are resistive and high in parasitic capacitance, and consume a relatively large silicon area. Since generally each product term consumes a certain amount of power, as the array size increases, the power dissipation increases.
  • the device consists of a plurality of "macrocells," each including a programmable AND array, an OR/NOR array, a feedback row driver, and an I/O driver and input circuit.
  • the macrocells are driven from two common buses, one carrying input signals and the other carrying feedback signals. This device achieves a logic complexity of 300 2-input NAND gates.
  • a plurality of functional units are arranged in a matrix of rows and columns. Each functional unit has 12 inputs and 9 outputs, although the number may vary. Each functional unit performs one or more logical functions. Vertical lines are permanently connected to the outputs of the functional units, while horizontal lines are permanently connected to the inputs of the functional units. Each of the horizontal and vertical lines is a collection of conductive lines whose number matches the number of inputs and outputs of one of the functional units. The horizontal and vertical lines cross at various areas of the device to form programmable interconnection matrices. Programming may be by mask, conductive fuses, or EPROM/EEPROM switching transistors.
  • Each functional unit is a relatively small programmable logic array which is mask programmable, fuse programmable, or switch programmable. Other types of functional units such as random access memories and arithmetic logic units may be present. Moreover, additional specialized logic at the output of the sum terms may be present.
  • the chip has a channeled gate array architecture consisting of configurable logic modules organized in rows and columns and separated by wiring channels.
  • the wiring channels contain predefined segmented metal tracks of different segment length to accommodate the routing requirements.
  • Antifuse elements are located at the intersection of the horizontal and vertical wire segments, and also between wire segments in association with isolation transistors. Circuit connections and module configuration are established by programming the appropriate antifuse elements, which then forms a low impedance connection as required between metal segments.
  • the logic module is configurable, as are the I/O buffers.
  • El-Ayat et al. discloses a configurable logic module having eight inputs and one output, which is claimed to efficiently implement both combinatorial and sequential circuits and to be optimally utilize routing resources.
  • the module implements a 4:1 multiplexer function with inputs A-D; select inputs SA, SB, SO and SI; and output Y.
  • the module is configured as the desired acrocell by programming the appropriate antifuses at its input terminals to connect the inputs to the required nets and to VDD and VSS.
  • the present invention in one embodiment generally comprises a programmable routing network, a programmable configuration network integrated with the programmable routing network; and a logic cell integrated with the programmable configuration network.
  • an application specific integrated circuit comprises a plurality of generally parallel first conductors and a plurality of generally parallel second conductors, the first and second conductors crossing in a first crossover region and being generally insulated from one another therein.
  • First programmable links are present in the first crossover region, disposed between preselected crossings of the first and second conductors.
  • a logic cell having inputs and outputs comprising a plurality of generally parallel third conductors is also present, the second and third conductors crossing in a second crossover region and being generally insulated from one another therein.
  • a plurality of second programmable links are present in the second crossover region, disposed between preselected crossings of the second conductors and said third conductors.
  • a logic cell for a programmable application specific integrated circuit comprises first, second and third logic gates and a first multiplexer, one data input thereof being from an output of the first logic gate, and another data input thereof being from an output of the second logic gate.
  • the select input of the first multiplexer is from an output of the third logic gate.
  • the embodiment further comprises fourth and fifth logic gates and a second multiplexer, one data input thereof being from an output of the fourth logic gate, and the other data input thereof being from an output of the fifth logic gate.
  • the select input of the second multiplexer is from an output of the third logic gate.
  • a third multiplexer having one data input from an output of the first multiplexer and another data input from an output of the second multiplexer receives an select input from a sixth logic gate, and furnishes its output to a flipflop.
  • the output of the flipflop is the output of the logic cell.
  • a one micron dual metal field programmable gate array in accordance with the present invention generally exhibits high flipflop toggle rates over 125 MHz, four bit and eight bit counter speeds over 100 MHz, and input to output delays of under 15 ns.
  • Field programmable gate arrays having from 48 to 384 logic cells in accordance with the present invention are substantially equivalent to 500 to 4000 "gate array" gates of the prior art.
  • Field programmable gate arrays in accordance with the present invention exhibit a low stand-by power dissipation of generally about 2 mA, and low operating power dissipation.
  • a 16 bit counter running at 100 MHz consumes under 45 mA.
  • a logic cell structure in accordance with the present invention supports various applications, including high speed arithmetic units, counters, data paths, state machines, and glue logic applications.
  • Figure 1 is a schematic block diagram showing a 2x2 field programmable gate array of logic cells, in accordance with the present invention
  • Figure 2 is a schematic diagram of a routing network and a configuration network associated with a logic cell and useful in the field programmable gate array of Figure i;
  • Figure 3 is a schematic diagram of an input/output cell useful in the field programmable gate array of Figure i;
  • Figure 4 is a schematic diagram of an input cell useful in the field programmable gate array of Figure 1;
  • Figure 5 is a schematic block diagram of a logic cell useful in the field programmable gate array of Figure 1;
  • Figure 6 is a gate level schematic diagram of a macrocell realizable with the logic cell of Figure 5;
  • Figure 7 is a schematic circuit level diagram of a two input AND gate useful in the logic cell of Figure 5;
  • Figure 8 is a schematic circuit level diagram of an inverter useful in the logic cell of Figure 5
  • Figure 9 is a schematic circuit level diagram of a six input AND gate useful in the logic cell of Figure 5;
  • Figure 10 is a schematic gate level diagram of a multiplexer group useful in the logic cell of Figure 5;
  • Figure 11 is a schematic block level diagram of a register or delay flipflop useful in the logic cell of Figure 5;
  • Figure 12 is a schematic circuit level diagram of a NAND gate useful in the register of Figure 11.
  • FIG. 1 The routing and logic elements of an illustrative field programmable gate array having four logic cells is illustrated in Figure 1.
  • the field programmable gate array of Figure l is configured as a 2x2 array of logic cells. A larger array may be achieved merely by extending the architectural features of the 2x2 array 1 either horizontally or vertically, as desired.
  • the array size of a practical field programmable gate array generally ranges from about 32 logic cells to about 1000 logic cells, depending on the application and the process technology used in fabrication.
  • logic cells 2, 4, 6, and 8 A number of basic architectural features are illustrated, including logic cells 2, 4, 6, and 8; vertical wire segments 10, vertical express lines 11, and power lines 7 associated with a column of logic cells 2 and 6; vertical wire segments 12, vertical express lines 13, and power lines 9 associated with a column of logic cells 4 and 8; horizontal wire segments 14 and 16 and horizontal express lines 15 and 17 associated with a row of logic cells 2 and 4; horizontal wire segments 18 and 20 and horizontal express lines 19 and 21 associated with a row of logic cells 6 and 8; input/output (“10") cells 22- 29; input driver (“I”) cells 30-33, power (“VCC”) pins 34 and 35; and ground (“GND”) pins 36 and 37.
  • I input driver
  • VCC power
  • GND ground
  • pass links are provided between contiguous segments of horizontal and vertical wires, and cross links 5 are provided where horizontal and vertical segments cross.
  • Figure 1 is a replicating architecture, one may conveniently view sections of cross links and pass links as associated with a particular logic cell.
  • each of the four quadrants of Figure 1 10 may conveniently be represented by the arrangement of Figure 2, which shows the illustrative logic cell 100 associated with a routing network and a cell configuration network.
  • the cell configuration network generally comprises illustrative track and logic cell link section 15 80.
  • the routing network generally comprises illustrative substantially identical switch sections 82 and 84, illustrative substantially identical vertical pass link sections 90 and 92, and illustrative substantially identical horizontal pass link sections 94 and 96.
  • each crossing of a vertical wire such as 41 and a horizontal wire such as 125 from an input or output of the logic cell 100 is linked by cross links such as cross link 81.
  • cross links (not referenced) at the crossing 5 of vertical wire groups 40, 45, 46, 47 and 50 (wires 51 and 52 only) with horizontal input wire groups 103, 105, 107, 109, 111, and 113; with horizontal input wires 122, 123 and 124; and with horizontal output wires 115, 117, 119, 121, and 125.
  • each crossing of a vertical wire such as 41 and a horizontal wire such as 66 is linked by a cross link such as 83.
  • the vertical wires 53 and 54 of wire group 50 and the vertical wires 56 and 57 of the wire group 55 are not provided with cross links to all of the horizontal wires.
  • Vertical wire 56 is a power bus and vertical wire 57 is a ground bus.
  • no cross links are provided in the track and logic cell link section 80 between the vertical wires 56 and 57 and the output wires 115, 117, 119, 121 and 125.
  • no cross links are provided in the switch sections 82 and 84 between the vertical wires 56 and 57 and the horizontal wire groups 60 and 70 and the horizontal express lines 67 and 77.
  • Vertical wires 53 and 54 of wire group 50 are particularly suitable for certain widely distributed signals such as set, reset, and clock. Accordingly, cross links are provided between vertical wires 53 and 54 and the following horizontal wires: clock wire 122, set wire 123, reset wire 124, and express wires 68, 69, 78 and 79. In order to avoid unnecessarily loading these lines with the small but finite leakage and capacitance of additional cross links, no cross links are provided at the other wire crossings in track and logic cell link section 80 or in the switch sections 82 and 84.
  • cross links such as 81 of track and logic cell link section 80, and 83 of switch section 82 are programmable. In the unprogrammed state, the cross links provide an open circuit.
  • a particularly suitable type of cross link is the plasma-enhanced chemical vapor deposited ("PECVD") amorphous silicon antifuse disclosed in copending United States Patent Application Serial Number 447,969 entitled “Method for Fabrication of Programmable Interconnect Structure,” filed December 8, 1989 by Whitten et al., which hereby is incorporated herein by reference.
  • PECVD plasma-enhanced chemical vapor deposited
  • Other types of cross links may be suitable as well, however.
  • wires of the field programmable gate array of Figure 1 are wires for global connections. Most of these are segmented, with pass links being provided at opposing ends of contiguous segments. As shown in Figure 2, for example, the vertical wires of wire groups 40, 45, 46 and 47 are segmented with pass 5 links being indicated generally at 90 and 92; horizontal wires 63, 64, 65 and 66 of wire group 60 are segmented with respective pass links indicated generally at 94; and horizontal wires 73, 74, 75 and 76 of wire group 70 are segmented with respective pass links indicated generally 10 at 96. Some wires are not segmented.
  • Unsegmented wires include the vertical wires of wire groups 50 and 55; horizontal wires 61 and 62 of the wire group 60; horizontal wires 68 and 69 of wire group 67; horizontal wires 71 and 72 of wire group 70; and 20 horizontal wires 78 and 79 of wire group 77.
  • wires are used for local purposes and are not segmented. Such wires include the horizontal wires of wire groups 103, 105, 107, 109, 111, and 113; and the horizontal wires 115, 117, 119, 121, 122, 123, 124 and 25 125.
  • pass link is the PECVD amorphous silicon antifuse disclosed in the aforementioned copending United States Patent Application of Whitten et al. , which is incorporated herein by reference.
  • Other 0 types of pass links may be suitable as well, however.
  • 10 cell 500 is shown in Figure 3.
  • the 10 cell 500 is suitable for input only or output only, or for bidirectional signaling with a tristate enable control.
  • 10 cell 500 includes input/output pin 502.
  • the 5 input circuit comprises pin 502 connected to the input of a driver 504.
  • the output of driver 504 is supplied to a vertical wire or a horizontal wire, as desired.
  • the output circuit comprises OR gate 506 having one true input and one complement input. Output polarity is determined by whether the true or complement input to the OR gate 506 is used.
  • the output of OR gate 506 is supplied to a tristate driver 508, the control terminal 509 of which is connected to a vertical or horizontal wire, as desired.
  • the output of the tristate driver 508 is connected to pin 502.
  • I cell 510 An illustrative input or I cell 510 is shown in Figure 4.
  • I cell 510 includes an input pin 512 connected to a double driver buffer 514.
  • Driver 514 is suitable for use in driving high fanout networks such as clock distribution network, set networks, and reset networks.
  • the driver 514 has a true output and a complement output, which are supplied to respective vertical or horizontal wires, as desired.
  • VCC pins 34 and 35 are connected to a power distribution bus which extends around the periphery of the field programmable gate array of Figure 1 and which includes vertical power buses associated with respective columns of logic cells such as a first column comprising logic cells 2 and 6, and a second column comprising logic cells 4 and 8.
  • GND pins 36 and 37 are connected to a ground distribution bus which extends around the periphery of the field programmable gate array of Figure 1 and which includes vertical ground buses associated with respective columns of logic cells such as a first column comprising logic cells 2 and 6, and a second column comprising logic cells 4 and 8.
  • An illustrative logic cell 100 is shown in Figure 5.
  • Logic cell 100 includes four two input AND gates 104, 106, 108 and 110, each of which has one true input and one complement input.
  • Gate 104 receives a true input 105.1 and a complement input 105.2, and furnishes its output to a data input of 2:1 multiplexer 114.
  • AND gate 106 receives a true input 107.1 and a complement input 107.2, and furnishes its output to the other data input of 2:1 multiplexer 114.
  • AND gate 108 receives a true input 109.1 and a complement input 109.2, and furnishes its output to a data input of 2:1 multiplexer 116.
  • AND gate 110 receives a true input 111.1 and a complement input 111.2, and furnishes its output to the other data input of 2:1 multiplexer 116.
  • the select terminals of both multiplexer 114 and multiplexer 116 are driven by the output of six input AND gate 112, which receives true inputs on lines 113.1, 113.3 and 113.5, and complement inputs on lines 113.2, 113.4 and 113.6.
  • the output of AND gate 112 is also furnished as an output 115 of the logic cell 100.
  • the output of multiplexer 114 is furnished to one data input of the 2:1 multiplexer 118, and the output of multiplexer 116 is furnished to the other data input of 2:1 multiplexer 118.
  • the output of multiplexer 116 is also furnished as an output 117 of the logic cell 100.
  • the select terminal of multiplexer 118 is driven by the output of AND gate 102, which receives true inputs on lines 103.1, 103.3 and 103.5, and complement inputs on lines 103.2, 103.4 and 103.6.
  • the output of AND gate 102 is also furnished as an output 119 of the logic cell 100.
  • the output of multiplexer 118 is furnished to the input of a delay or "D" flipflop 120, the output of which is furnished as an output 121 of the logic cell 100.
  • Inputs to the D flipflop 120 include set terminal S and reset terminal R, which are accessible from input lines 123 and 124 respectively, and clock terminal CLK, which is accessible from input line 122.
  • Other outputs of the logic cell 100 include the output of AND gate 102, the output of AND gate 112, the output of multiplexer 116, and the output of multiplexer 118.
  • Logic cell 100 is a powerful general purpose universal logic building block suitable for implementing most TTL and gate array macrolibrary functions.
  • the complexity of logic cell is moderate, varying between ten equivalent 2- input NAND gates to twenty-five equivalent 2-input NAND gates depending on user programming.
  • Combinational logic functions as wide as thirteen inputs are realizable with one cell delay.
  • All boolean transfer functions are realizable for up to three inputs.
  • Sequential flipflop functions such as T, JK and count with carry-in are realized with the use of a high performance D flipflop 120, which is initializable with the asynchronous set and reset inputs.
  • MUX XxY means a multiplexer having X inputs with the inputs at positions corresponding to a 1 in the binary equivalent of Y being complemented and the other inputs being true; and in which "MUX XDxY” means dual multiplexers, each having X inputs with the inputs at positions corresponding to a 1 in the binary equivalent of Y being complemented and the other inputs being true.
  • MUX XDxY means dual multiplexers, each having X inputs with the inputs at positions corresponding to a 1 in the binary equivalent of Y being complemented and the other inputs being true.
  • DEC2t4 means a two to four decoder.
  • HADDA means a half adder with inputs A and B -end outputs sum and carry
  • FADD1 means a full adder with -inputs A, B and carry and outputs sum and carry
  • CLAADD3 means a three bit carry look ahead adder
  • CLAGEN3 means a three bit carry look ahead generator with enable.
  • DLA means a D latch
  • DLAC means a D latch with clear
  • DLAMUX means a D latch with 2:1 multiplexer
  • DLAD means a dual D latch
  • DLAE means a D latch with enable
  • DLAEC means a D latch with enable and clear
  • DLAEMUX means a D latch with enable and a 2:1 multiplexer
  • DLADE means a dual D latch with enable
  • DLAiE means a D latch with inverted enable
  • DLAiEC means a D latch with inverted enable and clear
  • DLAiEMUX means a D latch with inverted enable and a 2:1 multiplexer
  • DLADiE means a dual D latch with inverted enable.
  • DFF means a delay flipflop
  • TFF means a trigger flipflop
  • JKFF means a JK flipflop
  • the suffix E means "with enable”
  • the suffix iE means “with inverted enable”
  • the suffix P means "with preset”
  • the suffix C means "with clear.”
  • the counter C0UNT1 realizable listed in Table 1 is a simple counter having a count-in input, Q and count-out outputs, and preset, clear, and clock inputs.
  • the logic blocks of the logic cell 100 may be implemented in a number of suitable ways.
  • One suitable implementation of the two input AND gates 104, 106, 108 and 110 ( Figure 5) is shown in the gate 200 Figure 7.
  • 5 Input 202 corresponding to the operand A, and controls the gate of p-channel MOSFET 204.
  • the drains of transistors 212 and 214 are connected to a common circuit node, to which the 15 gates of p-channel MOSFET 216 and n-channel MOSFET 220 are connected. Accordingly, transistor 216 is OFF and transistor 220 is ON.
  • the inverter 222 may be implemented in any suitable manner, an example of which is shown in Figure 8.
  • the inverter 230 of Figure 8 includes a p-channel MOSFET 232 with its source connected to VCC, and a n-channel MOSFET 30 234 with its source connected to GND.
  • the drains of transistors 232 and 234 are connected to a common output node 236, and the gates of transistors 232 and 234 are connected to a common input node 238.
  • Transistor 232 conducts when input node 238 is LOW, driving the output 5 node 236 HIGH.
  • Transistor 234 conducts when input node 238 is HIGH, driving the output node 236 LOW.
  • circuit 250 input terminals 252, 254 and 256 with terminal 202; transistors 258, 260 and 262 with transistor 204; output terminal 264 with terminal 208; input terminals 266, 268 and 270 with terminal 210; transistors 272, 274 and 276 with transistor 212; transistors 278, 280 and 282 with transistor 214; transistor 284 with transistor 216; transistor 286 with transistor 220; transistors 288, 290 and 292 with transistor 218 and inverter 263 with inverter 222.
  • circuit 300 of Figure 5 One suitable implementation of the multiplexers 114, 116 and 118 (Figure 5) is shown as circuit 300 of Figure
  • Multiplexer 114 ( Figure 5) includes n-channel MOSFET 314, the gate of which is connected to input 115; and n- channel MOSFET 316, the gate of which is connected to the output of inverter 322 and thereby controlled by the complement of input 115. Accordingly, only one of pass transistors 314 and 316 is selected at any given time in accordance with the logical state of input 115.
  • Multiplexer 116 ( Figure 5) includes n-channel MOSFET 318, the gate of which is connected to input 115; and n-channel MOSFET 320, the gate of which is connected to the output of inverter 322 and thereby controlled by the complement of input 115. Accordingly, only one of pass transistors 318 and 320 is selected at any given time in accordance with the logical state of input 115.
  • Multiplexer 118 includes n-channel MOSFET 330, the gate of which is connected to input 102; and n-channel MOSFET 332, the gate of which is connected to the output of inverter 326 and thereby controlled by the complement of input 102. Accordingly, either pass transistor 330, which receives the output of multiplexer 114 from transistor 314 or 316, or pass transistor 332, which receives the output of multiplexer 116 from transistor 318 or 320, is selected at any given time in accordance with the logical state of input 102. The logical level passed 5 by the selected one of the pass transistors 330 and 332 is furnished to an inverter 338, and supplied on output terminal 344. Inverter 338 is any suitable inverter, such as the inverter 230 of Figure 8.
  • the master stage 430 of flipflip 400 comprises NAND gates 420 and 422 and transmission gates 412 and 414.
  • the slave stage 432 of flipflop 400 comprises NAND gates 424 and 426 and transmission gates 416 and 418.
  • a typical 15 transmission gate comprises a p-channel MOSFET and an n- channel MOSFET having their respective sources and drains connected to each other, and their respective gates controlled by the control signal and its complement.
  • the control signal for the transmission gates 20412, 414, 416 and 418 is signal CLK buffered by inverters 406 and 408, with the complement CLK ⁇ being obtained from inverter 410.
  • the flipflop 400 is reset when signal RT is HIGH (signal ST remains LOW) , since the outputs of both NAND gates 422 and 426 are driven HIGH and furnished to 5 output Q through buffer 428 through either transmission gate 416 or gate 418 depending on the state of signal CLK.
  • Buffer 428 comprises two series-connected inverters.
  • the flipflop 400 is set when signal ST is HIGH (signal RT remains LOW) , since the outputs of both NAND gates 420 and 0 424 are driven HIGH, whereby the outputs of both NAND gates 422 and 426 are driven LOW and furnished to output Q through buffer 428 through either transmission gate 416 or gate 418 depending on the state of signal CLK.
  • the 5 output of flipflop 120 is determined by the logical state of the clocked input D.
  • Transmission gate 412 is closed so that the master stage 430 is responsive to input D. Specifically, gate 412 passes the signal D to an input of NAND gate 420 (the other input being HIGH due to the inversion of signal ST by inverter 404) .
  • NAND gate 420 The output of NAND gate 420 is furnished to an input of NAND gate 422 (the other input being HIGH due to the inversion of signal RT by inverter 402), which causes D to appear at the output of NAND gate 422. Accordingly, the master stage 430 is driven into a particular state depending on the logical state of D. The output of NAND gate 422 and hence the master section 430 is isolated, however, as transmission gates 414 and 416 are open.
  • Transmission gate 418 of the slave stage 432 passes the state of the output of NAND gate 426 to an input of NAND gate 424 (the other input being HIGH due to the inversion of signal ST by inverter 404) , the output of which is furnished to an input of the NAND gate 426 (the other input being HIGH due to the inversion of signal RT by inverter 402) . Accordingly, the state of the slave stage 432 is latched, and furnished as output Q through buffer 428. The state of the slave stage 432 is the previous state of the master stage 430.
  • Transmission gates 412 and 418 are open and transmission gates 414 and 416 are closed. As gate 412 is open, input D is isolated. Transmission gate 414 of the master stage 430 passes the state of the output of NAND gate 422 to an input of NAND gate 420 (the other input being HIGH due to the inversion of signal ST by inverter 404) , the output of which is furnished to an input of the NAND gate 422 (the other input being HIGH due to the inversion of signal RT by inverter 402) . Accordingly, the state of the master stage 432 is latched.
  • the latched state of the master stage 432 is furnished as output Q through transmission gate 416 and buffer 428, and also applied to an input of NAND gate 424 (the other input being HIGH due to the inversion of signal ST by inverter 404) through transmission gate 416.
  • the output of NAND gate 424 is applied to an input of NAND gate 426, which drives the slave stage 432 into a particular state depending on the output of the master stage.
  • the output of NAND gate 426 and hence the slave section 432 is isolated, however, as transmission gate 418 is open.
  • a suitable implementation of the inverter gates is the circuit of Figure 8.
  • a suitable implementation of the NAND gates is the circuit 440 of Figure 12, in which the input to inverter 450 is raised to VCC through either p-channel MOSFET 442 or p-channel MOSFET 444 when either input A or input B respectively is LOW, and is lowered to GND through n-channel MOSFETs 446 and 448 only when both inputs A and B are HIGH.
  • Inverters 450 and 452 are provided for purposes of driving the following device, and may be omitted if such drive is not required.
  • a variety of techniques may be used to program the pass links and cross links of the field programmed gate array 100.
  • programming transistors are provided in conjunction with each pass link, in conjunction with adjacent horizontal logic cell input wire segments (generally without pass links) , and at edges of cell array, operatively connected to the horizontal and vertical wires.
  • a programming voltage of, for example, 12 volts and a ground potential are selectively applied to horizontal and vertical wires in order to close certain antifuses, while a voltage of one-half the programming voltage is applied to other selected wires to prevent closing of other antifuses.
  • the programming transistors are selectively driven ON in order to direct the programming voltages through the network of wires. Once the desired antifuses are closed, the programming transistors are no longer used.
  • a variety of suitable technologies are available for fabricating the field programmable gate array 100.
  • One suitable process is a one micron, two layer metal CMOS process.
  • the configuration wires such as horizontal input wire groups 103, 105, 107, 109, 111 and 113, horizontal input wires 122, 123 and 124, and horizontal output wires 115, 117, 119, 121 and 125 preferably are fabricated in first metal;
  • the vertical routing wires such as wire groups 40, 45, 46, 47, 50 and 55 ( Figure 2) are fabricated generally in second metal; and horizontal routing wires such as wire groups 60, 67, 70 and 77 ( Figure 2) are fabricated generally in first metal.
  • pass links such as indicated generally at 90, 92, 94 and 96 ( Figure 2) generally are fabricated using a combination of first and second metal, as more fully disclosed in the above- referenced application of Whitten et al., which is incorporated herein by reference.

Abstract

A field programmable gate array includes a programmable routing network (82, 84, 90, 92, 94, 96), a programmable configuration network (80) integrated with the programmable routing network; and a logic cell (100) integrated with the programmable configuration network. The logic cell includes four two-input AND gates (104, 106, 108, 110), two six-input AND gates (102, 112), three multiplexers (114, 116, 118), and a delay flipflop (120). The logic cell is a powerful general purpose universal logic building block suitable for implementing most TTL and gate array macrolibrary functions. A considerable variety of functions are realizable with one cell delay, including combinational logic functions as wide as thirteen inputs, all boolean transfer functions for up to three inputs, and sequential flipflop functions such as T, JK and count with carry-in.

Description

PROGRAMMABLE APPLICATION SPECIFIC INTEGRATED CIRCUIT AND LOGIC CELL THEREFOR
BACKGROUND OF THE INVENTION Field of the Invention
The present invention relates to application specific integrated circuits, and more specifically to field programmable gate arrays and logic cells therefor.
Description of Related Art
Application specific integrated circuits ("ASIC") provide their users the ability to manufacture products having a proprietary design without having to begin the design at the device level. Many different ASIC technologies are available, including gate array, standard cell, full custom design, and programmable logic devices. The gate array, standard cell, and full custom technologies offer high performance and flexibility, although at the expense of a long development cycle and a high non-recurring engineering cost. Programmable logic devices, which include programmable logic array devices ("PLD") and field programmable logic array devices ("FPLA"), are useful in a variety of applications such as glue logic and simple integrated circuit designs. Their utility has been limited in general due to a relatively low number of available usable gates, poor flexibility in implementing the required logic functions, low speed of operation, and high power consumption. The reason for the limited utility of FPLA and PLD devices is generally due to the exponential increase in the size of the array as the number of logic elements increase. A FPLA typically contains a programmable AND array and a programmable OR array, while a PLD typically contains a programmable AND array and a fixed OR array. The programmable elements in a programmable array are constructed of active devices such as diodes or transistors connected to a fusible link, ultraviolet erasable floating gate devices, and electrically erasable floating gate devices. Generally, such devices are resistive and high in parasitic capacitance, and consume a relatively large silicon area. Since generally each product term consumes a certain amount of power, as the array size increases, the power dissipation increases. Moreover, the speed decreases with increasing array size, due to the increasingly parasitic capacitance of the array. Hence, for a given technology, the size of the array is restricted by practical considerations. The utility of PLDs and FPLAs has been further extended by the technique of a programmable interconnect network for modules consisting of small PLDs or FPLAs. While some improvement in maximum practical size is realized, the degree of improvement is limited by the same problems afflicting PLDs and FPLAs; specifically, speed deterioration and power dissipation.
One device of the programmable interconnect small array type is disclosed in United States Patent No. 4,774,421, issued September 22, 1988 to Hartmann et al. The device consists of a plurality of "macrocells," each including a programmable AND array, an OR/NOR array, a feedback row driver, and an I/O driver and input circuit. The macrocells are driven from two common buses, one carrying input signals and the other carrying feedback signals. This device achieves a logic complexity of 300 2-input NAND gates.
Another device of the programmable interconnect small array type is disclosed in United States Patent No. 4,847,612, issued July 11, 1989. A plurality of functional units are arranged in a matrix of rows and columns. Each functional unit has 12 inputs and 9 outputs, although the number may vary. Each functional unit performs one or more logical functions. Vertical lines are permanently connected to the outputs of the functional units, while horizontal lines are permanently connected to the inputs of the functional units. Each of the horizontal and vertical lines is a collection of conductive lines whose number matches the number of inputs and outputs of one of the functional units. The horizontal and vertical lines cross at various areas of the device to form programmable interconnection matrices. Programming may be by mask, conductive fuses, or EPROM/EEPROM switching transistors.
Each functional unit is a relatively small programmable logic array which is mask programmable, fuse programmable, or switch programmable. Other types of functional units such as random access memories and arithmetic logic units may be present. Moreover, additional specialized logic at the output of the sum terms may be present.
Electrically configurable gate arrays have been developed to overcome the gate density limitations of programmable array logic devices and field programmable logic arrays. One such device is disclosed in United States Patent No. 4,758,745, issued July 19, 1988 to Elgamal et al. In the Elgamal et al. patent, a universal logic module having 3 input terminals and 2 output terminals and functioning as a 2:1 multiplexer is shown. Different types of array modules as well as combinations of two or more types may be used, as well as RAM arrays, ROM arrays, multipliers, and ALUs optimized to implement certain functions. The five terminals of the logic cell are hardwired to five separate vertical wiring channels. Wire channels are segmented and offset.
A similar device to that disclosed in the aforementioned Elgalmal et al. patent is disclosed in an article by K.A. El-Ayat et al., "A CMOS electrically configurable gate array," IEEE Journal of Solid State Circuits, Vol. 24, No. 3, June 1989, pp. 752-61. The chip has a channeled gate array architecture consisting of configurable logic modules organized in rows and columns and separated by wiring channels. The wiring channels contain predefined segmented metal tracks of different segment length to accommodate the routing requirements. Antifuse elements are located at the intersection of the horizontal and vertical wire segments, and also between wire segments in association with isolation transistors. Circuit connections and module configuration are established by programming the appropriate antifuse elements, which then forms a low impedance connection as required between metal segments. The logic module is configurable, as are the I/O buffers.
El-Ayat et al. discloses a configurable logic module having eight inputs and one output, which is claimed to efficiently implement both combinatorial and sequential circuits and to be optimally utilize routing resources. The module implements a 4:1 multiplexer function with inputs A-D; select inputs SA, SB, SO and SI; and output Y. To implement the required logic function, the module is configured as the desired acrocell by programming the appropriate antifuses at its input terminals to connect the inputs to the required nets and to VDD and VSS. Despite many improvements having been made in increasing usable gate density while reducing power dissipation, a need exists for a field programmable gate array device having even higher speed, higher density, lower power dissipation, and a more flexible architecture.
SUMMARY OF THE INVENTION These and other disadvantages of the prior art are overcome in the present invention, which in one embodiment generally comprises a programmable routing network, a programmable configuration network integrated with the programmable routing network; and a logic cell integrated with the programmable configuration network.
In another embodiment of the present invention, an application specific integrated circuit comprises a plurality of generally parallel first conductors and a plurality of generally parallel second conductors, the first and second conductors crossing in a first crossover region and being generally insulated from one another therein. First programmable links are present in the first crossover region, disposed between preselected crossings of the first and second conductors. A logic cell having inputs and outputs comprising a plurality of generally parallel third conductors is also present, the second and third conductors crossing in a second crossover region and being generally insulated from one another therein. A plurality of second programmable links are present in the second crossover region, disposed between preselected crossings of the second conductors and said third conductors.
In another embodiment of the present cell, a logic cell for a programmable application specific integrated circuit comprises first, second and third logic gates and a first multiplexer, one data input thereof being from an output of the first logic gate, and another data input thereof being from an output of the second logic gate. The select input of the first multiplexer is from an output of the third logic gate. The embodiment further comprises fourth and fifth logic gates and a second multiplexer, one data input thereof being from an output of the fourth logic gate, and the other data input thereof being from an output of the fifth logic gate. The select input of the second multiplexer is from an output of the third logic gate. A third multiplexer having one data input from an output of the first multiplexer and another data input from an output of the second multiplexer receives an select input from a sixth logic gate, and furnishes its output to a flipflop. The output of the flipflop is the output of the logic cell.
The present invention is advantageous in many respects. For example, a one micron dual metal field programmable gate array in accordance with the present invention generally exhibits high flipflop toggle rates over 125 MHz, four bit and eight bit counter speeds over 100 MHz, and input to output delays of under 15 ns. Field programmable gate arrays having from 48 to 384 logic cells in accordance with the present invention are substantially equivalent to 500 to 4000 "gate array" gates of the prior art. Field programmable gate arrays in accordance with the present invention exhibit a low stand-by power dissipation of generally about 2 mA, and low operating power dissipation. For example, a 16 bit counter running at 100 MHz consumes under 45 mA. A logic cell structure in accordance with the present invention supports various applications, including high speed arithmetic units, counters, data paths, state machines, and glue logic applications.
BRIEF DESCRIPTION OF THE DRAWINGS
In the Figures, where like reference numerals indicate like parts, Figure 1 is a schematic block diagram showing a 2x2 field programmable gate array of logic cells, in accordance with the present invention;
Figure 2 is a schematic diagram of a routing network and a configuration network associated with a logic cell and useful in the field programmable gate array of Figure i;
Figure 3 is a schematic diagram of an input/output cell useful in the field programmable gate array of Figure i;
Figure 4 is a schematic diagram of an input cell useful in the field programmable gate array of Figure 1;
Figure 5 is a schematic block diagram of a logic cell useful in the field programmable gate array of Figure 1; Figure 6 is a gate level schematic diagram of a macrocell realizable with the logic cell of Figure 5; Figure 7 is a schematic circuit level diagram of a two input AND gate useful in the logic cell of Figure 5;
Figure 8 is a schematic circuit level diagram of an inverter useful in the logic cell of Figure 5; Figure 9 is a schematic circuit level diagram of a six input AND gate useful in the logic cell of Figure 5;
Figure 10 is a schematic gate level diagram of a multiplexer group useful in the logic cell of Figure 5;
Figure 11 is a schematic block level diagram of a register or delay flipflop useful in the logic cell of Figure 5; and
Figure 12 is a schematic circuit level diagram of a NAND gate useful in the register of Figure 11.
DESCRIPTION OF THE PREFERRED AND OTHER EMBODIMENTS The routing and logic elements of an illustrative field programmable gate array having four logic cells is illustrated in Figure 1. The field programmable gate array of Figure l is configured as a 2x2 array of logic cells. A larger array may be achieved merely by extending the architectural features of the 2x2 array 1 either horizontally or vertically, as desired. The array size of a practical field programmable gate array generally ranges from about 32 logic cells to about 1000 logic cells, depending on the application and the process technology used in fabrication.
A number of basic architectural features are illustrated, including logic cells 2, 4, 6, and 8; vertical wire segments 10, vertical express lines 11, and power lines 7 associated with a column of logic cells 2 and 6; vertical wire segments 12, vertical express lines 13, and power lines 9 associated with a column of logic cells 4 and 8; horizontal wire segments 14 and 16 and horizontal express lines 15 and 17 associated with a row of logic cells 2 and 4; horizontal wire segments 18 and 20 and horizontal express lines 19 and 21 associated with a row of logic cells 6 and 8; input/output ("10") cells 22- 29; input driver ("I") cells 30-33, power ("VCC") pins 34 and 35; and ground ("GND") pins 36 and 37.
Generally, pass links are provided between contiguous segments of horizontal and vertical wires, and cross links 5 are provided where horizontal and vertical segments cross. As the architecture of Figure 1 is a replicating architecture, one may conveniently view sections of cross links and pass links as associated with a particular logic cell. For example, each of the four quadrants of Figure 1 10 may conveniently be represented by the arrangement of Figure 2, which shows the illustrative logic cell 100 associated with a routing network and a cell configuration network. The cell configuration network generally comprises illustrative track and logic cell link section 15 80. The routing network generally comprises illustrative substantially identical switch sections 82 and 84, illustrative substantially identical vertical pass link sections 90 and 92, and illustrative substantially identical horizontal pass link sections 94 and 96. 0 In the track and logic cell link section 80, generally each crossing of a vertical wire such as 41 and a horizontal wire such as 125 from an input or output of the logic cell 100 is linked by cross links such as cross link 81. Note the cross links (not referenced) at the crossing 5 of vertical wire groups 40, 45, 46, 47 and 50 (wires 51 and 52 only) with horizontal input wire groups 103, 105, 107, 109, 111, and 113; with horizontal input wires 122, 123 and 124; and with horizontal output wires 115, 117, 119, 121, and 125. 0 In the illustrative switch sections 82 and 84, generally each crossing of a vertical wire such as 41 and a horizontal wire such as 66 is linked by a cross link such as 83. Note the cross links (not referenced) at the crossing of vertical wire groups 40, 45, 46, 47 and 50 5 (wires 51 and 52 only) with horizontal wire groups 60, 67, 70 and 77.
Contrary to the general arrangement of cross links, the vertical wires 53 and 54 of wire group 50 and the vertical wires 56 and 57 of the wire group 55 are not provided with cross links to all of the horizontal wires. Vertical wire 56 is a power bus and vertical wire 57 is a ground bus. As ground and power are not applied to the output nodes of the logic cell, no cross links are provided in the track and logic cell link section 80 between the vertical wires 56 and 57 and the output wires 115, 117, 119, 121 and 125. Similarly, no cross links are provided in the switch sections 82 and 84 between the vertical wires 56 and 57 and the horizontal wire groups 60 and 70 and the horizontal express lines 67 and 77. Vertical wires 53 and 54 of wire group 50 are particularly suitable for certain widely distributed signals such as set, reset, and clock. Accordingly, cross links are provided between vertical wires 53 and 54 and the following horizontal wires: clock wire 122, set wire 123, reset wire 124, and express wires 68, 69, 78 and 79. In order to avoid unnecessarily loading these lines with the small but finite leakage and capacitance of additional cross links, no cross links are provided at the other wire crossings in track and logic cell link section 80 or in the switch sections 82 and 84.
As a general rule, all cross links such as 81 of track and logic cell link section 80, and 83 of switch section 82 are programmable. In the unprogrammed state, the cross links provide an open circuit. A particularly suitable type of cross link is the plasma-enhanced chemical vapor deposited ("PECVD") amorphous silicon antifuse disclosed in copending United States Patent Application Serial Number 447,969 entitled "Method for Fabrication of Programmable Interconnect Structure," filed December 8, 1989 by Whitten et al., which hereby is incorporated herein by reference. Other types of cross links may be suitable as well, however.
Many of the horizontal and vertical wires of the field programmable gate array of Figure 1 are wires for global connections. Most of these are segmented, with pass links being provided at opposing ends of contiguous segments. As shown in Figure 2, for example, the vertical wires of wire groups 40, 45, 46 and 47 are segmented with pass 5 links being indicated generally at 90 and 92; horizontal wires 63, 64, 65 and 66 of wire group 60 are segmented with respective pass links indicated generally at 94; and horizontal wires 73, 74, 75 and 76 of wire group 70 are segmented with respective pass links indicated generally 10 at 96. Some wires are not segmented. These are generally known as "express" wires and are particularly useful for routing such signals as clock, set, and reset, as well as other signals that must be widely distributed about the chip without unnecessarily loading the drivers with the 15 small but finite leakage and capacitance of the pass links. Unsegmented wires include the vertical wires of wire groups 50 and 55; horizontal wires 61 and 62 of the wire group 60; horizontal wires 68 and 69 of wire group 67; horizontal wires 71 and 72 of wire group 70; and 20 horizontal wires 78 and 79 of wire group 77.
Other wires are used for local purposes and are not segmented. Such wires include the horizontal wires of wire groups 103, 105, 107, 109, 111, and 113; and the horizontal wires 115, 117, 119, 121, 122, 123, 124 and 25 125.
A particularly suitable type of pass link is the PECVD amorphous silicon antifuse disclosed in the aforementioned copending United States Patent Application of Whitten et al. , which is incorporated herein by reference. Other 0 types of pass links may be suitable as well, however.
An illustrative 10 cell 500 is shown in Figure 3. The 10 cell 500 is suitable for input only or output only, or for bidirectional signaling with a tristate enable control. 10 cell 500 includes input/output pin 502. The 5 input circuit comprises pin 502 connected to the input of a driver 504. The output of driver 504 is supplied to a vertical wire or a horizontal wire, as desired. The output circuit comprises OR gate 506 having one true input and one complement input. Output polarity is determined by whether the true or complement input to the OR gate 506 is used. The output of OR gate 506 is supplied to a tristate driver 508, the control terminal 509 of which is connected to a vertical or horizontal wire, as desired. The output of the tristate driver 508 is connected to pin 502.
An illustrative input or I cell 510 is shown in Figure 4. I cell 510 includes an input pin 512 connected to a double driver buffer 514. Driver 514 is suitable for use in driving high fanout networks such as clock distribution network, set networks, and reset networks. The driver 514 has a true output and a complement output, which are supplied to respective vertical or horizontal wires, as desired.
VCC pins 34 and 35 are connected to a power distribution bus which extends around the periphery of the field programmable gate array of Figure 1 and which includes vertical power buses associated with respective columns of logic cells such as a first column comprising logic cells 2 and 6, and a second column comprising logic cells 4 and 8. Similarly, GND pins 36 and 37 are connected to a ground distribution bus which extends around the periphery of the field programmable gate array of Figure 1 and which includes vertical ground buses associated with respective columns of logic cells such as a first column comprising logic cells 2 and 6, and a second column comprising logic cells 4 and 8. An illustrative logic cell 100 is shown in Figure 5. Logic cell 100 includes four two input AND gates 104, 106, 108 and 110, each of which has one true input and one complement input. Gate 104 receives a true input 105.1 and a complement input 105.2, and furnishes its output to a data input of 2:1 multiplexer 114. AND gate 106 receives a true input 107.1 and a complement input 107.2, and furnishes its output to the other data input of 2:1 multiplexer 114. Similarly, AND gate 108 receives a true input 109.1 and a complement input 109.2, and furnishes its output to a data input of 2:1 multiplexer 116. AND gate 110 receives a true input 111.1 and a complement input 111.2, and furnishes its output to the other data input of 2:1 multiplexer 116.
The select terminals of both multiplexer 114 and multiplexer 116 are driven by the output of six input AND gate 112, which receives true inputs on lines 113.1, 113.3 and 113.5, and complement inputs on lines 113.2, 113.4 and 113.6. The output of AND gate 112 is also furnished as an output 115 of the logic cell 100.
The output of multiplexer 114 is furnished to one data input of the 2:1 multiplexer 118, and the output of multiplexer 116 is furnished to the other data input of 2:1 multiplexer 118. The output of multiplexer 116 is also furnished as an output 117 of the logic cell 100. The select terminal of multiplexer 118 is driven by the output of AND gate 102, which receives true inputs on lines 103.1, 103.3 and 103.5, and complement inputs on lines 103.2, 103.4 and 103.6. The output of AND gate 102 is also furnished as an output 119 of the logic cell 100.
The output of multiplexer 118 is furnished to the input of a delay or "D" flipflop 120, the output of which is furnished as an output 121 of the logic cell 100. Inputs to the D flipflop 120 include set terminal S and reset terminal R, which are accessible from input lines 123 and 124 respectively, and clock terminal CLK, which is accessible from input line 122. Other outputs of the logic cell 100 include the output of AND gate 102, the output of AND gate 112, the output of multiplexer 116, and the output of multiplexer 118.
Logic cell 100 is a powerful general purpose universal logic building block suitable for implementing most TTL and gate array macrolibrary functions. The complexity of logic cell is moderate, varying between ten equivalent 2- input NAND gates to twenty-five equivalent 2-input NAND gates depending on user programming. Combinational logic functions as wide as thirteen inputs are realizable with one cell delay. All boolean transfer functions are realizable for up to three inputs. Sequential flipflop functions such as T, JK and count with carry-in are realized with the use of a high performance D flipflop 120, which is initializable with the asynchronous set and reset inputs.
Many of the functions realizable with the logic cell 100 are listed in Tables 1. Various realizable AND gates, NAND gates, OR gates, NOR gates, XOR gates, XNOR gates, and MAJ ("majority") gates are listed in Table 1, in which the designation "XiY" following the gate name means a gate of the designated type with X inputs, Y of which are complement and X-Y of which are true. Various realizable buffers and inverters are listed in Table 1, in which BUFF means a buffer, the designation "INVa" means an inverter with its output inverted, and the designation "INVb" means an inverter with its input inverted. The designation S0P14i7 ("sum of products") means the gate shown in Figure 6. Various realizable multiplexers are listed in Table 1, in which "MUX XxY" means a multiplexer having X inputs with the inputs at positions corresponding to a 1 in the binary equivalent of Y being complemented and the other inputs being true; and in which "MUX XDxY" means dual multiplexers, each having X inputs with the inputs at positions corresponding to a 1 in the binary equivalent of Y being complemented and the other inputs being true. Various realizable decoders gates are listed i-n Table 1, in which DEC2t4 means a two to four decoder. 'Various realizable adders gates are listed in Table 1, in which HADDA means a half adder with inputs A and B -end outputs sum and carry; FADD1 means a full adder with -inputs A, B and carry and outputs sum and carry; CLAADD3•means a three bit carry look ahead adder, and CLAGEN3 means a three bit carry look ahead generator with enable. Various realizable latches are listed in Table 1, in *which DLA means a D latch, DLAC means a D latch with clear, DLAMUX means a D latch with 2:1 multiplexer, DLAD means a dual D latch, DLAE means a D latch with enable, DLAEC means a D latch with enable and clear, DLAEMUX means a D latch with enable and a 2:1 multiplexer, DLADE means a dual D latch with enable, DLAiE means a D latch with inverted enable, DLAiEC means a D latch with inverted enable and clear, DLAiEMUX means a D latch with inverted enable and a 2:1 multiplexer, and DLADiE means a dual D latch with inverted enable. Various realizable flipflops are listed in Table 1, in which DFF means a delay flipflop, TFF means a trigger flipflop, and JKFF means a JK flipflop, while the suffix E means "with enable," the suffix iE means "with inverted enable," the suffix P means "with preset," and the suffix C means "with clear." The counter C0UNT1 realizable listed in Table 1 is a simple counter having a count-in input, Q and count-out outputs, and preset, clear, and clock inputs.
Figure imgf000017_0001
The logic blocks of the logic cell 100 may be implemented in a number of suitable ways. One suitable implementation of the two input AND gates 104, 106, 108 and 110 (Figure 5) is shown in the gate 200 Figure 7. 5 Input 202 corresponding to the operand A, and controls the gate of p-channel MOSFET 204. When A=0, transistor 204 is ON, thereby presenting a logical ONE to the input of inverter 222 and resulting in a logical ZERO at the output 208. When A=l transistor 204 is OFF and n-channel 10 transistor 218 is ON, so that the output 208 is controlled by the state of input 210 which corresponds to the operand B. When B=0, p-channel MOSFET 212 turns ON and n-channel MOSFET 214 turns OFF. The drains of transistors 212 and 214 are connected to a common circuit node, to which the 15 gates of p-channel MOSFET 216 and n-channel MOSFET 220 are connected. Accordingly, transistor 216 is OFF and transistor 220 is ON. As transistor 218 is ON due to A=l, the input of inverter 222 is brought to about ground potential or logical ZERO through transistors 218 and 220, 20 which results in a logical ONE at output 208. When B=l, p-channel MOSFET 212 turns OFF and n-channel MOSFET 214 turns ON. Accordingly, transistor 216 is turned ON and transistor 220 is turned OFF, thereby presenting a logical ONE at the input of inverter 222 and resulting in a 25 logical ZERO at output 208.
The inverter 222 may be implemented in any suitable manner, an example of which is shown in Figure 8. The inverter 230 of Figure 8 includes a p-channel MOSFET 232 with its source connected to VCC, and a n-channel MOSFET 30 234 with its source connected to GND. The drains of transistors 232 and 234 are connected to a common output node 236, and the gates of transistors 232 and 234 are connected to a common input node 238. Transistor 232 conducts when input node 238 is LOW, driving the output 5 node 236 HIGH. Transistor 234 conducts when input node 238 is HIGH, driving the output node 236 LOW.
One suitable implementation of the six input AND gates 102 and 112 (Figure 5) is shown in the gate 250 of Figure
9. Note the following general functional correspondence of components of circuit 250 with components of circuit 200: input terminals 252, 254 and 256 with terminal 202; transistors 258, 260 and 262 with transistor 204; output terminal 264 with terminal 208; input terminals 266, 268 and 270 with terminal 210; transistors 272, 274 and 276 with transistor 212; transistors 278, 280 and 282 with transistor 214; transistor 284 with transistor 216; transistor 286 with transistor 220; transistors 288, 290 and 292 with transistor 218 and inverter 263 with inverter 222. As the operation of circuit 250 is apparent from the previous description of the operation of circuit 200, no further description of the operation of circuit 250 is recited.
One suitable implementation of the multiplexers 114, 116 and 118 (Figure 5) is shown as circuit 300 of Figure
10. Multiplexer 114 (Figure 5) includes n-channel MOSFET 314, the gate of which is connected to input 115; and n- channel MOSFET 316, the gate of which is connected to the output of inverter 322 and thereby controlled by the complement of input 115. Accordingly, only one of pass transistors 314 and 316 is selected at any given time in accordance with the logical state of input 115. Multiplexer 116 (Figure 5) includes n-channel MOSFET 318, the gate of which is connected to input 115; and n-channel MOSFET 320, the gate of which is connected to the output of inverter 322 and thereby controlled by the complement of input 115. Accordingly, only one of pass transistors 318 and 320 is selected at any given time in accordance with the logical state of input 115.
Multiplexer 118 includes n-channel MOSFET 330, the gate of which is connected to input 102; and n-channel MOSFET 332, the gate of which is connected to the output of inverter 326 and thereby controlled by the complement of input 102. Accordingly, either pass transistor 330, which receives the output of multiplexer 114 from transistor 314 or 316, or pass transistor 332, which receives the output of multiplexer 116 from transistor 318 or 320, is selected at any given time in accordance with the logical state of input 102. The logical level passed 5 by the selected one of the pass transistors 330 and 332 is furnished to an inverter 338, and supplied on output terminal 344. Inverter 338 is any suitable inverter, such as the inverter 230 of Figure 8.
One suitable implementation of the flipflop 120 10 (Figure 5) is shown as circuit 400 in Figure 11. The master stage 430 of flipflip 400 comprises NAND gates 420 and 422 and transmission gates 412 and 414. The slave stage 432 of flipflop 400 comprises NAND gates 424 and 426 and transmission gates 416 and 418. Note that a typical 15 transmission gate comprises a p-channel MOSFET and an n- channel MOSFET having their respective sources and drains connected to each other, and their respective gates controlled by the control signal and its complement. In Figure 11, the control signal for the transmission gates 20412, 414, 416 and 418 is signal CLK buffered by inverters 406 and 408, with the complement CLK\ being obtained from inverter 410. The flipflop 400 is reset when signal RT is HIGH (signal ST remains LOW) , since the outputs of both NAND gates 422 and 426 are driven HIGH and furnished to 5 output Q through buffer 428 through either transmission gate 416 or gate 418 depending on the state of signal CLK. Buffer 428 comprises two series-connected inverters. The flipflop 400 is set when signal ST is HIGH (signal RT remains LOW) , since the outputs of both NAND gates 420 and 0 424 are driven HIGH, whereby the outputs of both NAND gates 422 and 426 are driven LOW and furnished to output Q through buffer 428 through either transmission gate 416 or gate 418 depending on the state of signal CLK.
When the direct inputs RT and ST are both LOW, the 5 output of flipflop 120 is determined by the logical state of the clocked input D. Consider first the operation of the circuit 400 when the clock signal CLK is LOW, during which event the state of the flipflop 400 is latched in the slave stage 432 while the master stage 430 responds to the new state presented on input D. Transmission gate 412 is closed so that the master stage 430 is responsive to input D. Specifically, gate 412 passes the signal D to an input of NAND gate 420 (the other input being HIGH due to the inversion of signal ST by inverter 404) . The output of NAND gate 420 is furnished to an input of NAND gate 422 (the other input being HIGH due to the inversion of signal RT by inverter 402), which causes D to appear at the output of NAND gate 422. Accordingly, the master stage 430 is driven into a particular state depending on the logical state of D. The output of NAND gate 422 and hence the master section 430 is isolated, however, as transmission gates 414 and 416 are open. Transmission gate 418 of the slave stage 432 passes the state of the output of NAND gate 426 to an input of NAND gate 424 (the other input being HIGH due to the inversion of signal ST by inverter 404) , the output of which is furnished to an input of the NAND gate 426 (the other input being HIGH due to the inversion of signal RT by inverter 402) . Accordingly, the state of the slave stage 432 is latched, and furnished as output Q through buffer 428. The state of the slave stage 432 is the previous state of the master stage 430.
Consider the operation of the circuit 400 when the clock signal CLK is HIGH, during which event the master stage 430 latches the prior state of input D and furnishes the state to output Q and the slave stage 432. Transmission gates 412 and 418 are open and transmission gates 414 and 416 are closed. As gate 412 is open, input D is isolated. Transmission gate 414 of the master stage 430 passes the state of the output of NAND gate 422 to an input of NAND gate 420 (the other input being HIGH due to the inversion of signal ST by inverter 404) , the output of which is furnished to an input of the NAND gate 422 (the other input being HIGH due to the inversion of signal RT by inverter 402) . Accordingly, the state of the master stage 432 is latched. The latched state of the master stage 432 is furnished as output Q through transmission gate 416 and buffer 428, and also applied to an input of NAND gate 424 (the other input being HIGH due to the inversion of signal ST by inverter 404) through transmission gate 416. The output of NAND gate 424 is applied to an input of NAND gate 426, which drives the slave stage 432 into a particular state depending on the output of the master stage. The output of NAND gate 426 and hence the slave section 432 is isolated, however, as transmission gate 418 is open.
Note that the various gates of Figure 11 may be implemented in any suitable manner. A suitable implementation of the inverter gates is the circuit of Figure 8. A suitable implementation of the NAND gates is the circuit 440 of Figure 12, in which the input to inverter 450 is raised to VCC through either p-channel MOSFET 442 or p-channel MOSFET 444 when either input A or input B respectively is LOW, and is lowered to GND through n-channel MOSFETs 446 and 448 only when both inputs A and B are HIGH. Inverters 450 and 452 are provided for purposes of driving the following device, and may be omitted if such drive is not required. A variety of techniques may be used to program the pass links and cross links of the field programmed gate array 100. For example, in one suitable technique, programming transistors are provided in conjunction with each pass link, in conjunction with adjacent horizontal logic cell input wire segments (generally without pass links) , and at edges of cell array, operatively connected to the horizontal and vertical wires. A programming voltage of, for example, 12 volts and a ground potential are selectively applied to horizontal and vertical wires in order to close certain antifuses, while a voltage of one-half the programming voltage is applied to other selected wires to prevent closing of other antifuses. The programming transistors are selectively driven ON in order to direct the programming voltages through the network of wires. Once the desired antifuses are closed, the programming transistors are no longer used. A variety of suitable technologies are available for fabricating the field programmable gate array 100. One suitable process is a one micron, two layer metal CMOS process. In using such a process for the fabrication of field programmable gate array circuit 100, the configuration wires such as horizontal input wire groups 103, 105, 107, 109, 111 and 113, horizontal input wires 122, 123 and 124, and horizontal output wires 115, 117, 119, 121 and 125 preferably are fabricated in first metal; the vertical routing wires such as wire groups 40, 45, 46, 47, 50 and 55 (Figure 2) are fabricated generally in second metal; and horizontal routing wires such as wire groups 60, 67, 70 and 77 (Figure 2) are fabricated generally in first metal. Note that pass links such as indicated generally at 90, 92, 94 and 96 (Figure 2) generally are fabricated using a combination of first and second metal, as more fully disclosed in the above- referenced application of Whitten et al., which is incorporated herein by reference.
While our invention has been described with respect to certain embodiments, the scope of the present invention is defined by the appended claims and is not necessarily limited to the embodiments described herein, which are merely illustrative. For example, the fabrication technology, the programming technique, the number and routing of the wires such as the various vertical wires and the various horizontal wires, and the precise composition of the logic cell are illustrative. Accordingly, other embodiments and variations not described herein may be within the scope of our invention, as defined by the appended claims.

Claims

WHAT IS CLAIMED IS:
1. An application specific integrated circuit comprising: a plurality of generally parallel first conductors; a plurality of generally parallel second conductors, said first and second conductors crossing in a first crossover region and being generally insulated from one another in said first crossover region; a plurality of first programmable links disposed in said first crossover region, preselected crossings of said first conductors and said second conductors having disposed therebetween respective first programmable links; a logic cell having inputs and outputs comprising a plurality of generally parallel third conductors, said second and third conductors crossing in a second crossover region and being generally insulated from one another in said second crossover region; and a plurality of second programmable links disposed in said second crossover region, preselected crossings of said second conductors and said third conductors having disposed therebetween respective second programmable links.
2. An apparatus as in claim 1, wherein said first crossover region is a switch section and said second crossover region is a track and logic cell link section.
3. An apparatus as in claim 2, wherein programmable links are disposed at every crossing of a subset of said second conductors and a subset of said third conductors in said track and logic cell link section.
4. An apparatus as in claim l, wherein: said second conductors include one or more voltage supply conductors; said third conductors include one or more cell input conductors and one or more cell output conductors; programmable links are disposed between crossings of said voltage supply conductors and said cell input conductors; and programmable links are absent between crossings of said voltage supply conductors and said cell output conductors.
5. An apparatus as in claim 4 wherein said voltage supply conductor is a VCC conductor.
6. An apparatus as in claim 4 wherein said voltage supply conductor is a ground conductor.
7. An apparatus as in claim 1, wherein: said second conductors include one or more express conductors; said third conductors include one or more cell control conductors; and programmable links are disposed between crossings of said express conductors and said third conductors only at crossings of said express conductors and said control conductors.
8. An apparatus as in claim 7, wherein said control conductors include a set conductor.
9. An apparatus as in claim 7, wherein said control conductors include a reset conductor.
10. An apparatus as in claim 7, wherein said control conductors include a clock conductor.
11. An apparatus as in claim 1, wherein said programmable links are antifuses.
12. A logic cell for a programmable application specific integrated circuit, comprising: a first logic gate; a second logic gate; a third logic gate; a first multiplexer having one data input from an output of said first logic gate, another data input from an output of said second logic gate, and an select input from an output of said third logic gate; a fourth logic gate; a fifth logic gate; a second multiplexer having one data input from an output of said fourth logic gate, another data input from an output of said fifth logic gate, and an select input from an output of said third logic gate; a sixth logic gate; a third multiplexer having one data input from an output of said first multiplexer, another data input from an output of said second multiplexer, and an select input from said sixth logic gate; and a flipflop having an input from an output of said third multiplexer.
13. An apparatus as in claim 12, wherein: said first, second, fourth and fifth logic gates are AND gates; said third and sixth logic gates are AND gates; said first, second and third multiplexers are 2:1 multiplexers; and said flipflop is a delay flipflop.
14. An apparatus as in claim 12, wherein: said first, second, fourth and fifth logic gates are two input AND gates having one true and one complement input; and said third and sixth logic gates are six input AND gates having three true and three complement inputs.
15. A field programmable gate array comprising: a programmable routing network; a programmable configuration network integrated with said programmable routing network; and a logic cell integrated with said programmable configuration network.
16. An apparatus as in claim 15, wherein: said array further comprises array I/O; said routing network comprises a switch section; and said configuration network comprises a track and logic cell link section; said switch section and said track and logic cell link section having a first set of conductors common thereto, said switch section having a second set of conductors in common with said array I/O, and said track and logic cell link section having a third set of conductors in common with said logic cell.
17. An apparatus as in claim 15, wherein said configuration network comprises a track and logic cell link section having a set of conductors in common with said logic cell, said set of conductors comprising: a first group of input conductors corresponding to operandi for a logice function fl; a second group of input conductors corresponding to operandi for a logice function f2; a third group of input conductors corresponding to operandi for a logic function f3; a fourth group of input conductors corresponding to operandi for a logice function f4; a fifth group of input conductors corresponding to operandi for a logic function f5, wherein a first intermediate result comprising one of the group fl and f3 and the group f2 and f4 is selected in accordance with f5; a sixth group of input conductors corresponding to operandi for a logic function f6, wherein a second intermediate result comprising a function selected from said first intermediate result is selected in accordance with 6; and an output conductor corresponding to the output of a delay flipflop receiving said second intermediate result as input.
18. An apparatus as in claim 17, wherein said set of conductors further comprises output conductors corresponding to respectively the result of f5, the result of f6, one of the functions of said first intermediate result, and said second intermediate result.
19. An apparatus as in claim 17, wherein fl, f2, f3 and f4 correspond to a two input AND logical function; and f5 and f6 correspond to a six input AND logical function.
20. An apparatus as in claim 17, wherein said set of conductors further comprises an input conductor corresponding to a clock parameter.
21. An apparatus as in claim 20, wherein said set of conductors further comprises: an input conductor corresponding to a set parameter; and an input conductor corresponding to a reset parameter.
22. An application specific integrated circuit comprising: a plurality of generally parallel first horizontal conductors; a plurality of generally parallel vertical conductors, said first horizontal conductors and said vertical conductors crossing in a switch region and being generally insulated from one another in said switch region; a plurality of first antifuses disposed in said switch region, preselected crossings of said first horizontal conductors and said vertical conductors having disposed therebetween respective first antifuses; a logic cell comprising: a first logic gate; a second logic gate; a third logic gate; a first multiplexer having one data input from an output of said first logic gate, another data input from an output of said second logic gate, and an select input from an output of said third logic gate; a fourth logic gate; a fifth logic gate; a second multiplexer having one data input from an output of said fourth logic gate, another data input from an output of said fifth logic gate, and an select input from an output of said third logic gate; a sixth logic gate; a third multiplexer having one data input from an output of said first multiplexer, another data input from an output of said second multiplexer, and an select input from said sixth logic gate; and a flipflop having an input from an output of said third multiplexer; said logic cell further having a plurality of generally parallel second horizontal conductors respectively connected to inputs of said first, second, third, fourth, fifth, and sixth logic gates, and to outputs of said second multiplexer, said third multiplexer, and said flipflop, said vertical conductors and said second horizontal conductors crossing in a track and logic cell link region and being generally insulated from one another in said track and logic cell link region; and a plurality of second antifuses disposed in said track and logic cell link region, preselected crossings of said vertical conductors and second conductors having disposed therebetween respective second antifuses.
23. An apparatus as in claim 22, wherein: said first, second, fourth and fifth logic gates are two input AND gates having one true and one complement input; and said third and sixth logic gates are six input AND gates having three true and three complement inputs; and said flipflop is a delay flipflop.
24. An apparatus as in claim 22 further comprising a plurality of input drivers and a plurality of output drivers, wherein preselected ones of said vertical conductors are respectively connected to said input drivers, and preselected ones of said vertical conductors are connected to said output drivers.
25. An apparatus as in claim 22 further comprising a plurality of input/output drivers, wherein preselected ones of said first horizontal conductors are respectively connected to said input/output drivers.
PCT/US1992/001765 1991-03-06 1992-03-02 Programmable application specific integrated circuit and logic cell therefor WO1992016053A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP50827392A JP3302014B2 (en) 1991-03-06 1992-03-02 Programmable application-specific integrated circuits and logic cells

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/665,103 US5122685A (en) 1991-03-06 1991-03-06 Programmable application specific integrated circuit and logic cell therefor
US665,103 1991-03-06

Publications (1)

Publication Number Publication Date
WO1992016053A1 true WO1992016053A1 (en) 1992-09-17

Family

ID=24668717

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1992/001765 WO1992016053A1 (en) 1991-03-06 1992-03-02 Programmable application specific integrated circuit and logic cell therefor

Country Status (3)

Country Link
US (7) US5122685A (en)
JP (1) JP3302014B2 (en)
WO (1) WO1992016053A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0690579A3 (en) * 1994-06-30 1997-11-26 Texas Instruments Incorporated Field programmable gate arrays

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6556044B2 (en) 2001-09-18 2003-04-29 Altera Corporation Programmable logic device including multipliers and configurations thereof to reduce resource utilization
US5367208A (en) 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US5451887A (en) * 1986-09-19 1995-09-19 Actel Corporation Programmable logic module and architecture for field programmable gate array device
US5477165A (en) * 1986-09-19 1995-12-19 Actel Corporation Programmable logic module and architecture for field programmable gate array device
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5185539A (en) * 1990-08-31 1993-02-09 Motorola, Inc. Programmable logic device address buffer/multiplexer/driver
US5245227A (en) * 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
US5416367A (en) * 1991-03-06 1995-05-16 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5122685A (en) * 1991-03-06 1992-06-16 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5371422A (en) * 1991-09-03 1994-12-06 Altera Corporation Programmable logic device having multiplexers and demultiplexers randomly connected to global conductors for interconnections between logic elements
US5436575A (en) * 1991-09-03 1995-07-25 Altera Corporation Programmable logic array integrated circuits
US5260611A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic array having local and long distance conductors
US5260610A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5550782A (en) * 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5883850A (en) * 1991-09-03 1999-03-16 Altera Corporation Programmable logic array integrated circuits
US6759870B2 (en) 1991-09-03 2004-07-06 Altera Corporation Programmable logic array integrated circuits
US20020130681A1 (en) * 1991-09-03 2002-09-19 Cliff Richard G. Programmable logic array integrated circuits
US5254886A (en) * 1992-06-19 1993-10-19 Actel Corporation Clock distribution scheme for user-programmable logic array architecture
US5317698A (en) * 1992-08-18 1994-05-31 Actel Corporation FPGA architecture including direct logic function circuit to I/O interconnections
KR960003373B1 (en) * 1992-09-29 1996-03-09 후지쓰 가부시키가이샤 Programmable logic circuit
US5384497A (en) * 1992-11-04 1995-01-24 At&T Corp. Low-skew signal routing in a programmable array
GB9223226D0 (en) * 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5357153A (en) * 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
US5483178A (en) * 1993-03-29 1996-01-09 Altera Corporation Programmable logic device with logic block outputs coupled to adjacent logic block output multiplexers
US5537607A (en) * 1993-04-28 1996-07-16 International Business Machines Corporation Field programmable general purpose interface adapter for connecting peripheral devices within a computer system
US5631935A (en) * 1993-05-06 1997-05-20 Run-Rad Unlimited Networking, Ltd. Method and apparatus for governing information transfer using an efficient transport protocol
US5381058A (en) * 1993-05-21 1995-01-10 At&T Corp. FPGA having PFU with programmable output driver inputs
US5438295A (en) * 1993-06-11 1995-08-01 Altera Corporation Look-up table using multi-level decode
US5815024A (en) * 1993-06-11 1998-09-29 Altera Corporation Look-up table using multi-level decode
GB2280293B (en) * 1993-07-19 1997-12-10 Hewlett Packard Co Architecture for programmable logic
US5457410A (en) * 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US6462578B2 (en) 1993-08-03 2002-10-08 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US6154053A (en) * 1993-09-02 2000-11-28 Xilinx, Inc. Look-ahead carry structure with homogeneous CLB structure and pitch larger than CLB pitch
US6288570B1 (en) 1993-09-02 2001-09-11 Xilinx, Inc. Logic structure and circuit for fast carry
US5546018A (en) * 1993-09-02 1996-08-13 Xilinx, Inc. Fast carry structure with synchronous input
US5898319A (en) * 1993-09-02 1999-04-27 Xilinx, Inc. Method and structure for providing fast conditional sum in a field programmable gate array
US5440182A (en) * 1993-10-22 1995-08-08 The Board Of Trustees Of The Leland Stanford Junior University Dynamic logic interconnect speed-up circuit
US5448185A (en) * 1993-10-27 1995-09-05 Actel Corporation Programmable dedicated FPGA functional blocks for multiple wide-input functions
JP3144967B2 (en) * 1993-11-08 2001-03-12 株式会社日立製作所 Semiconductor integrated circuit and method of manufacturing the same
KR960002333B1 (en) * 1993-12-23 1996-02-16 재단법인한국전자통신연구소 Logic integrated circuit module
US5469078A (en) * 1994-01-06 1995-11-21 Texas Instruments Incorporated Programmable logic device routing architecture
US5682107A (en) * 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5426378A (en) * 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
CN1086815C (en) * 1994-05-04 2002-06-26 爱特梅尔股份有限公司 Programmable logic device with regional and universal signal routing
US5689195A (en) * 1995-05-17 1997-11-18 Altera Corporation Programmable logic array integrated circuit devices
US5424655A (en) * 1994-05-20 1995-06-13 Quicklogic Corporation Programmable application specific integrated circuit employing antifuses and methods therefor
US6043676A (en) * 1994-11-04 2000-03-28 Altera Corporation Wide exclusive or and wide-input and for PLDS
US5488315A (en) * 1995-01-05 1996-01-30 Texas Instruments Incorporated Adder-based base cell for field programmable gate arrays
US5633601A (en) * 1995-03-10 1997-05-27 Texas Instruments Incorporated Field programmable gate array logic module configurable as combinational or sequential circuits
US6049223A (en) * 1995-03-22 2000-04-11 Altera Corporation Programmable logic array integrated circuit with general-purpose memory configurable as a random access or FIFO memory
US5543731A (en) * 1995-03-31 1996-08-06 International Business Machines Corporation Dynamic and preset static multiplexer in front of latch circuit for use in static circuits
US5751162A (en) * 1995-04-06 1998-05-12 Texas Instruments Incorporated Field programmable gate array logic module configurable as combinational or sequential circuits
US5594363A (en) * 1995-04-07 1997-01-14 Zycad Corporation Logic cell and routing architecture in a field programmable gate array
US5528169A (en) * 1995-04-26 1996-06-18 Xilinx, Inc. Method and structure for providing a flip flop circuit with a configurable data input path
US5909126A (en) * 1995-05-17 1999-06-01 Altera Corporation Programmable logic array integrated circuit devices with interleaved logic array blocks
US5963049A (en) 1995-05-17 1999-10-05 Altera Corporation Programmable logic array integrated circuit architectures
US5625301A (en) * 1995-05-18 1997-04-29 Actel Corporation Flexible FPGA input/output architecture
US5652529A (en) * 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US20020088822A1 (en) * 1995-08-14 2002-07-11 Dresser, Inc. Multiproduct fuel dispenser using a common meter
US5684412A (en) * 1995-08-18 1997-11-04 Chip Express (Israel) Ltd. Cell forming part of a customizable logic array
US5751165A (en) * 1995-08-18 1998-05-12 Chip Express (Israel) Ltd. High speed customizable logic array device
US5675502A (en) * 1995-08-22 1997-10-07 Quicklogic Corporation Estimating propagation delays in a programmable device
US6097221A (en) 1995-12-11 2000-08-01 Kawasaki Steel Corporation Semiconductor integrated circuit capable of realizing logic functions
US5731712A (en) * 1995-11-09 1998-03-24 Welch; John T. Programmable gate array for relay ladder logic
US5773994A (en) * 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JP2806335B2 (en) * 1996-01-17 1998-09-30 日本電気株式会社 Logic circuit and semiconductor integrated circuit using the same
US5744980A (en) * 1996-02-16 1998-04-28 Actel Corporation Flexible, high-performance static RAM architecture for field-programmable gate arrays
US6570404B1 (en) 1996-03-29 2003-05-27 Altera Corporation High-performance programmable logic architecture
US5835998A (en) * 1996-04-04 1998-11-10 Altera Corporation Logic cell for programmable logic devices
US5977791A (en) 1996-04-15 1999-11-02 Altera Corporation Embedded memory block with FIFO mode for programmable logic device
US5703500A (en) * 1996-05-15 1997-12-30 Micron Technology, Inc. Threshold voltage scalable buffer with reference level
US5952847A (en) * 1996-06-25 1999-09-14 Actel Corporation Multiple logic family compatible output driver
US5963051A (en) * 1996-07-09 1999-10-05 Altera Corporation Segmented localized conductors for programmable logic devices
US5880597A (en) * 1996-09-18 1999-03-09 Altera Corporation Interleaved interconnect for programmable logic array devices
US5805477A (en) * 1996-09-26 1998-09-08 Hewlett-Packard Company Arithmetic cell for field programmable devices
US5977793A (en) * 1996-10-10 1999-11-02 Altera Corporation Programmable logic device with hierarchical interconnection resources
US5999016A (en) * 1996-10-10 1999-12-07 Altera Corporation Architectures for programmable logic devices
US6300794B1 (en) 1996-10-10 2001-10-09 Altera Corporation Programmable logic device with hierarchical interconnection resources
US5867037A (en) * 1996-10-24 1999-02-02 International Business Machines Corporation Method and apparatus of programming FPGA devices through ASIC devices
JP2859234B2 (en) * 1996-12-26 1999-02-17 日本電気アイシーマイコンシステム株式会社 Semiconductor integrated circuit device
US5936426A (en) 1997-02-03 1999-08-10 Actel Corporation Logic function module for field programmable array
US5999015A (en) * 1997-02-20 1999-12-07 Altera Corporation Logic region resources for programmable logic devices
US5982195A (en) * 1997-02-20 1999-11-09 Altera Corporation Programmable logic device architectures
US7148722B1 (en) 1997-02-20 2006-12-12 Altera Corporation PCI-compatible programmable logic devices
US6127844A (en) * 1997-02-20 2000-10-03 Altera Corporation PCI-compatible programmable logic devices
US6201410B1 (en) 1997-02-26 2001-03-13 Xilinx, Inc. Wide logic gate implemented in an FPGA configurable logic element
US6204689B1 (en) 1997-02-26 2001-03-20 Xilinx, Inc. Input/output interconnect circuit for FPGAs
US5920202A (en) * 1997-02-26 1999-07-06 Xilinx, Inc. Configurable logic element with ability to evaluate five and six input functions
US5914616A (en) * 1997-02-26 1999-06-22 Xilinx, Inc. FPGA repeatable interconnect structure with hierarchical interconnect lines
US5942913A (en) * 1997-03-20 1999-08-24 Xilinx, Inc. FPGA repeatable interconnect structure with bidirectional and unidirectional interconnect lines
US5963050A (en) 1997-02-26 1999-10-05 Xilinx, Inc. Configurable logic element with fast feedback paths
US5889411A (en) * 1997-02-26 1999-03-30 Xilinx, Inc. FPGA having logic element carry chains capable of generating wide XOR functions
US6184710B1 (en) 1997-03-20 2001-02-06 Altera Corporation Programmable logic array devices with enhanced interconnectivity between adjacent logic regions
US6006321A (en) * 1997-06-13 1999-12-21 Malleable Technologies, Inc. Programmable logic datapath that may be used in a field programmable device
US6011744A (en) 1997-07-16 2000-01-04 Altera Corporation Programmable logic device with multi-port memory
US6034857A (en) * 1997-07-16 2000-03-07 Altera Corporation Input/output buffer with overcurrent protection circuit
US6020760A (en) * 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
US6359466B1 (en) * 1997-09-16 2002-03-19 Vantis Corporation Circuitry to provide fast carry
US6069487A (en) * 1997-10-14 2000-05-30 Altera Corporation Programmable logic device circuitry for improving multiplier speed and/or efficiency
US6107825A (en) * 1997-10-16 2000-08-22 Altera Corporation Input/output circuitry for programmable logic devices
US6084427A (en) 1998-05-19 2000-07-04 Altera Corporation Programmable logic devices with enhanced multiplexing capabilities
US6121790A (en) 1997-10-16 2000-09-19 Altera Corporation Programmable logic device with enhanced multiplexing capabilities in interconnect resources
US6107824A (en) * 1997-10-16 2000-08-22 Altera Corporation Circuitry and methods for internal interconnection of programmable logic devices
US6289494B1 (en) 1997-11-12 2001-09-11 Quickturn Design Systems, Inc. Optimized emulation and prototyping architecture
US6209118B1 (en) 1998-01-21 2001-03-27 Micron Technology, Inc. Method for modifying an integrated circuit
US6075381A (en) * 1998-01-21 2000-06-13 Micron Electronics, Inc. Programmable logic block in an integrated circuit
US6477143B1 (en) 1998-01-25 2002-11-05 Dror Ginossar Method and apparatus for packet network congestion avoidance and control
US6289400B1 (en) * 1998-04-15 2001-09-11 Infineon Technologies Ag Electrical control device with configurable control modules
US6140837A (en) * 1998-05-11 2000-10-31 Quicklogic Corporation Charge pumps of antifuse programming circuitry powered from high voltage compatibility terminal
US6157207A (en) * 1998-05-11 2000-12-05 Quicklogic Corporation Protection of logic modules in a field programmable gate array during antifuse programming
US6127845A (en) * 1998-05-11 2000-10-03 Quicklogic Corporation Field programmable gate array having internal logic transistors with two different gate insulator thicknesses
US6467017B1 (en) 1998-06-23 2002-10-15 Altera Corporation Programmable logic device having embedded dual-port random access memory configurable as single-port memory
US5955751A (en) * 1998-08-13 1999-09-21 Quicklogic Corporation Programmable device having antifuses without programmable material edges and/or corners underneath metal
US6107165A (en) 1998-08-13 2000-08-22 Quicklogic Corporation Metal-to-metal antifuse having improved barrier layer
JP2000068488A (en) * 1998-08-20 2000-03-03 Oki Electric Ind Co Ltd Semiconductor integrated circuit layout method
US6169416B1 (en) 1998-09-01 2001-01-02 Quicklogic Corporation Programming architecture for field programmable gate array
US6507216B1 (en) 1998-11-18 2003-01-14 Altera Corporation Efficient arrangement of interconnection resources on programmable logic devices
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
US6262933B1 (en) 1999-01-29 2001-07-17 Altera Corporation High speed programmable address decoder
US6407576B1 (en) * 1999-03-04 2002-06-18 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
EP1076931A1 (en) 1999-03-04 2001-02-21 Altera Corporation Programmable logic device with carry-select addition
US6323680B1 (en) 1999-03-04 2001-11-27 Altera Corporation Programmable logic device configured to accommodate multiplication
JP3608970B2 (en) * 1999-03-16 2005-01-12 富士通株式会社 Logic circuit
US6557092B1 (en) 1999-03-29 2003-04-29 Greg S. Callen Programmable ALU
US6486702B1 (en) 1999-07-02 2002-11-26 Altera Corporation Embedded memory blocks for programmable logic
US6252426B1 (en) * 2000-03-07 2001-06-26 Honeywell International Inc. High speed logic family
US6661812B1 (en) * 2000-04-05 2003-12-09 Triscend Corporation Bidirectional bus for use as an interconnect routing resource
US6480990B1 (en) 2000-05-01 2002-11-12 Hewlett-Packard Company Application specific integrated circuit with spaced spare logic gate subgroups and method of fabrication
US6697957B1 (en) 2000-05-11 2004-02-24 Quickturn Design Systems, Inc. Emulation circuit with a hold time algorithm, logic analyzer and shadow memory
US6798343B2 (en) 2000-05-19 2004-09-28 Carba Fire Technologies Inc Mobile emergency response platform
US7119576B1 (en) 2000-09-18 2006-10-10 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6628140B2 (en) * 2000-09-18 2003-09-30 Altera Corporation Programmable logic devices with function-specific blocks
WO2002033504A2 (en) * 2000-10-02 2002-04-25 Altera Corporation Programmable logic integrated circuit devices including dedicated processor components
US6691689B2 (en) * 2000-10-13 2004-02-17 Prüfrex-Elektro-Apparatebau, Inh. Helga Müller. Geb Dutschke Rotation direction detector in ignition equipment of an internal combustion engine
US6982589B2 (en) * 2001-02-28 2006-01-03 Intel Corporation Multi-stage multiplexer
US6978287B1 (en) * 2001-04-04 2005-12-20 Altera Corporation DSP processor architecture with write datapath word conditioning and analysis
US6720796B1 (en) 2001-05-06 2004-04-13 Altera Corporation Multiple size memories in a programmable logic device
US6586966B1 (en) 2001-09-13 2003-07-01 Altera Corporation Data latch with low-power bypass mode
US6566906B1 (en) 2001-09-18 2003-05-20 Altera Corporation Specialized programmable logic region with low-power mode
US6937062B1 (en) 2001-09-18 2005-08-30 Altera Corporation Specialized programmable logic region with low-power mode
US7376811B2 (en) * 2001-11-06 2008-05-20 Netxen, Inc. Method and apparatus for performing computations and operations on data using data steering
US7321603B1 (en) * 2002-04-03 2008-01-22 Inphi Corp. Method and system for reducing bit error rate in a high-speed four to one time domain multiplexer
US7142011B1 (en) 2002-04-24 2006-11-28 Altera Corporation Programmable logic device with routing channels
US6781408B1 (en) 2002-04-24 2004-08-24 Altera Corporation Programmable logic device with routing channels
US6774667B1 (en) 2002-05-09 2004-08-10 Actel Corporation Method and apparatus for a flexible chargepump scheme for field-programmable gate arrays
US7378867B1 (en) 2002-06-04 2008-05-27 Actel Corporation Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers
US6891394B1 (en) 2002-06-04 2005-05-10 Actel Corporation Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers
US6810513B1 (en) * 2002-06-19 2004-10-26 Altera Corporation Method and apparatus of programmable interconnect array with configurable multiplexer
US6873185B2 (en) * 2002-06-19 2005-03-29 Viasic, Inc. Logic array devices having complex macro-cell architecture and methods facilitating use of same
US6731138B2 (en) * 2002-07-31 2004-05-04 Intel Corporatioin Circuits and methods for selectively latching the output of an adder
US6765427B1 (en) 2002-08-08 2004-07-20 Actel Corporation Method and apparatus for bootstrapping a programmable antifuse circuit
US7434080B1 (en) 2002-09-03 2008-10-07 Actel Corporation Apparatus for interfacing and testing a phase locked loop in a field programmable gate array
US6750674B1 (en) 2002-10-02 2004-06-15 Actel Corporation Carry chain for use between logic modules in a field programmable gate array
US6885218B1 (en) 2002-10-08 2005-04-26 Actel Corporation Parallel programmable antifuse field programmable gate array device (FPGA) and a method for programming and testing an antifuse FPGA
US7269814B1 (en) 2002-10-08 2007-09-11 Actel Corporation Parallel programmable antifuse field programmable gate array device (FPGA) and a method for programming and testing an antifuse FPGA
US7191745B2 (en) * 2002-10-18 2007-03-20 Maclean-Fogg Company Valve operating assembly
US6727726B1 (en) 2002-11-12 2004-04-27 Actel Corporation Field programmable gate array architecture including a buffer module and a method of distributing buffer modules in a field programmable gate array
US7111110B1 (en) 2002-12-10 2006-09-19 Altera Corporation Versatile RAM for programmable logic device
US6946871B1 (en) * 2002-12-18 2005-09-20 Actel Corporation Multi-level routing architecture in a field programmable gate array having transmitters and receivers
US6891396B1 (en) 2002-12-27 2005-05-10 Actel Corporation Repeatable block producing a non-uniform routing architecture in a field programmable gate array having segmented tracks
US7385420B1 (en) 2002-12-27 2008-06-10 Actel Corporation Repeatable block producing a non-uniform routing architecture in a field programmable gate array having segmented tracks
US6831481B1 (en) * 2003-03-14 2004-12-14 Xilinx, Inc. Power-up and enable control circuits for interconnection arrays in programmable logic devices
US7255437B2 (en) * 2003-10-09 2007-08-14 Howell Thomas A Eyeglasses with activity monitoring
US6996785B1 (en) 2003-04-25 2006-02-07 Universal Network Machines, Inc . On-chip packet-based interconnections using repeaters/routers
US7377390B2 (en) * 2003-05-27 2008-05-27 Mattel, Inc. Stand for doll attachment
US6838902B1 (en) 2003-05-28 2005-01-04 Actel Corporation Synchronous first-in/first-out block memory for a field programmable gate array
US6825690B1 (en) 2003-05-28 2004-11-30 Actel Corporation Clock tree network in a field programmable gate array
US7375553B1 (en) 2003-05-28 2008-05-20 Actel Corporation Clock tree network in a field programmable gate array
US7385419B1 (en) * 2003-05-30 2008-06-10 Actel Corporation Dedicated input/output first in/first out module for a field programmable gate array
US6867615B1 (en) * 2003-05-30 2005-03-15 Actel Corporation Dedicated input/output first in/first out module for a field programmable gate array
US7082592B1 (en) 2003-06-16 2006-07-25 Altera Corporation Method for programming programmable logic device having specialized functional blocks
US7440964B2 (en) * 2003-08-29 2008-10-21 Vortaloptics, Inc. Method, device and software for querying and presenting search results
US7698358B1 (en) 2003-12-24 2010-04-13 Altera Corporation Programmable logic device with specialized functional block
US6975139B2 (en) * 2004-03-30 2005-12-13 Advantage Logic, Inc. Scalable non-blocking switching network for programmable logic
US7243329B2 (en) * 2004-07-02 2007-07-10 Altera Corporation Application-specific integrated circuit equivalents of programmable logic and associated methods
US7460529B2 (en) * 2004-07-29 2008-12-02 Advantage Logic, Inc. Interconnection fabric using switching networks in hierarchy
US7743085B2 (en) * 2004-11-08 2010-06-22 Tabula, Inc. Configurable IC with large carry chains
US7224182B1 (en) * 2005-03-15 2007-05-29 Brad Hutchings Hybrid configurable circuit for a configurable IC
US7825684B2 (en) * 2005-03-15 2010-11-02 Tabula, Inc. Variable width management for a memory of a configurable IC
US7310003B2 (en) * 2005-03-15 2007-12-18 Tabula, Inc. Configurable IC with interconnect circuits that have select lines driven by user signals
US7530033B2 (en) 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US7298169B2 (en) * 2005-03-15 2007-11-20 Tabula, Inc Hybrid logic/interconnect circuit in a configurable IC
US7256612B1 (en) 2005-06-14 2007-08-14 Xilinx, Inc. Programmable logic block providing carry chain with programmable initialization values
US7265576B1 (en) 2005-06-14 2007-09-04 Xilinx, Inc. Programmable lookup table with dual input and output terminals in RAM mode
US7268587B1 (en) 2005-06-14 2007-09-11 Xilinx, Inc. Programmable logic block with carry chains providing lookahead functions of different lengths
US7804719B1 (en) 2005-06-14 2010-09-28 Xilinx, Inc. Programmable logic block having reduced output delay during RAM write processes when programmed to function in RAM mode
US7274214B1 (en) 2005-06-14 2007-09-25 Xilinx, Inc. Efficient tile layout for a programmable logic device
US7253658B1 (en) * 2005-06-14 2007-08-07 Xilinx, Inc. Integrated circuit providing direct access to multi-directional interconnect lines in a general interconnect structure
US7375552B1 (en) 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
US7276934B1 (en) 2005-06-14 2007-10-02 Xilinx, Inc. Integrated circuit with programmable routing structure including diagonal interconnect lines
US7230451B1 (en) 2005-08-22 2007-06-12 Altera Corporation Programmable logic device with routing channels
US8620980B1 (en) 2005-09-27 2013-12-31 Altera Corporation Programmable device with specialized multiplier blocks
US7590676B1 (en) 2005-09-27 2009-09-15 Altera Corporation Programmable logic device with specialized multiplier blocks
US7818361B1 (en) 2005-11-07 2010-10-19 Tabula, Inc. Method and apparatus for performing two's complement multiplication
US7765249B1 (en) 2005-11-07 2010-07-27 Tabula, Inc. Use of hybrid interconnect/logic circuits for multiplication
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US7423453B1 (en) 2006-01-20 2008-09-09 Advantage Logic, Inc. Efficient integrated circuit layout scheme to implement a scalable switching network used in interconnection fabric
US8301681B1 (en) 2006-02-09 2012-10-30 Altera Corporation Specialized processing block for programmable logic device
US8266198B2 (en) 2006-02-09 2012-09-11 Altera Corporation Specialized processing block for programmable logic device
US8041759B1 (en) 2006-02-09 2011-10-18 Altera Corporation Specialized processing block for programmable logic device
US8266199B2 (en) 2006-02-09 2012-09-11 Altera Corporation Specialized processing block for programmable logic device
US7694083B1 (en) * 2006-03-08 2010-04-06 Tabula, Inc. System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7609085B1 (en) 2006-03-08 2009-10-27 Tabula, Inc. Configurable integrated circuit with a 4-to-1 multiplexer
US7797497B1 (en) 2006-03-08 2010-09-14 Tabula, Inc. System and method for providing more logical memory ports than physical memory ports
US7836117B1 (en) 2006-04-07 2010-11-16 Altera Corporation Specialized processing block for programmable logic device
US7822799B1 (en) 2006-06-26 2010-10-26 Altera Corporation Adder-rounder circuitry for specialized processing block in programmable logic device
US7411427B1 (en) * 2006-07-28 2008-08-12 Zilog, Inc. Clock input filter circuit
US7378874B2 (en) * 2006-08-31 2008-05-27 Viasic, Inc. Creating high-drive logic devices from standard gates with minimal use of custom masks
US8386550B1 (en) 2006-09-20 2013-02-26 Altera Corporation Method for configuring a finite impulse response filter in a programmable logic device
US8386553B1 (en) 2006-12-05 2013-02-26 Altera Corporation Large multiplier for programmable logic device
US7930336B2 (en) 2006-12-05 2011-04-19 Altera Corporation Large multiplier for programmable logic device
US7930666B1 (en) 2006-12-12 2011-04-19 Tabula, Inc. System and method of providing a memory hierarchy
US7814137B1 (en) 2007-01-09 2010-10-12 Altera Corporation Combined interpolation and decimation filter for programmable logic device
US7865541B1 (en) 2007-01-22 2011-01-04 Altera Corporation Configuring floating point operations in a programmable logic device
US8650231B1 (en) 2007-01-22 2014-02-11 Altera Corporation Configuring floating point operations in a programmable device
US8645450B1 (en) 2007-03-02 2014-02-04 Altera Corporation Multiplier-accumulator circuitry and methods
US7949699B1 (en) 2007-08-30 2011-05-24 Altera Corporation Implementation of decimation filter in integrated circuit device using ram-based data storage
US7692309B2 (en) * 2007-09-06 2010-04-06 Viasic, Inc. Configuring structured ASIC fabric using two non-adjacent via layers
US7772093B2 (en) * 2007-10-26 2010-08-10 Xilinx, Inc. Method of and circuit for protecting a transistor formed on a die
US7940082B1 (en) * 2007-12-28 2011-05-10 Altera Corporation Circuits and method for bypassing a static configuration in a programmable logic device to implement a dynamic multiplexer
US8959137B1 (en) 2008-02-20 2015-02-17 Altera Corporation Implementing large multipliers in a programmable integrated circuit device
US8244789B1 (en) 2008-03-14 2012-08-14 Altera Corporation Normalization of floating point operations in a programmable integrated circuit device
US8626815B1 (en) 2008-07-14 2014-01-07 Altera Corporation Configuring a programmable integrated circuit device to perform matrix multiplication
US8255448B1 (en) 2008-10-02 2012-08-28 Altera Corporation Implementing division in a programmable integrated circuit device
US8307023B1 (en) 2008-10-10 2012-11-06 Altera Corporation DSP block for implementing large multiplier on a programmable integrated circuit device
US8886696B1 (en) 2009-03-03 2014-11-11 Altera Corporation Digital signal processing circuitry with redundancy and ability to support larger multipliers
US8645449B1 (en) 2009-03-03 2014-02-04 Altera Corporation Combined floating point adder and subtractor
US8549055B2 (en) * 2009-03-03 2013-10-01 Altera Corporation Modular digital signal processing circuitry with optionally usable, dedicated connections between modules of the circuitry
US8706790B1 (en) 2009-03-03 2014-04-22 Altera Corporation Implementing mixed-precision floating-point operations in a programmable integrated circuit device
US8805916B2 (en) * 2009-03-03 2014-08-12 Altera Corporation Digital signal processing circuitry with redundancy and bidirectional data paths
US8468192B1 (en) 2009-03-03 2013-06-18 Altera Corporation Implementing multipliers in a programmable integrated circuit device
US7999570B2 (en) * 2009-06-24 2011-08-16 Advantage Logic, Inc. Enhanced permutable switching network with multicasting signals for interconnection fabric
US8650236B1 (en) 2009-08-04 2014-02-11 Altera Corporation High-rate interpolation or decimation filter in integrated circuit device
US8396914B1 (en) 2009-09-11 2013-03-12 Altera Corporation Matrix decomposition in an integrated circuit device
US8412756B1 (en) 2009-09-11 2013-04-02 Altera Corporation Multi-operand floating point operations in a programmable integrated circuit device
US8539016B1 (en) 2010-02-09 2013-09-17 Altera Corporation QR decomposition in an integrated circuit device
US7948267B1 (en) 2010-02-09 2011-05-24 Altera Corporation Efficient rounding circuits and methods in configurable integrated circuit devices
US8601044B2 (en) 2010-03-02 2013-12-03 Altera Corporation Discrete Fourier Transform in an integrated circuit device
US8458243B1 (en) 2010-03-03 2013-06-04 Altera Corporation Digital signal processing circuit blocks with support for systolic finite-impulse-response digital filtering
US8484265B1 (en) 2010-03-04 2013-07-09 Altera Corporation Angular range reduction in an integrated circuit device
US8510354B1 (en) 2010-03-12 2013-08-13 Altera Corporation Calculation of trigonometric functions in an integrated circuit device
US8539014B2 (en) 2010-03-25 2013-09-17 Altera Corporation Solving linear matrices in an integrated circuit device
US8862650B2 (en) 2010-06-25 2014-10-14 Altera Corporation Calculation of trigonometric functions in an integrated circuit device
US8589463B2 (en) 2010-06-25 2013-11-19 Altera Corporation Calculation of trigonometric functions in an integrated circuit device
US8577951B1 (en) 2010-08-19 2013-11-05 Altera Corporation Matrix operations in an integrated circuit device
US8645451B2 (en) 2011-03-10 2014-02-04 Altera Corporation Double-clocked specialized processing block in an integrated circuit device
US9600278B1 (en) 2011-05-09 2017-03-21 Altera Corporation Programmable device using fixed and configurable logic to implement recursive trees
US8812576B1 (en) 2011-09-12 2014-08-19 Altera Corporation QR decomposition in an integrated circuit device
US8949298B1 (en) 2011-09-16 2015-02-03 Altera Corporation Computing floating-point polynomials in an integrated circuit device
US9053045B1 (en) 2011-09-16 2015-06-09 Altera Corporation Computing floating-point polynomials in an integrated circuit device
US8762443B1 (en) 2011-11-15 2014-06-24 Altera Corporation Matrix operations in an integrated circuit device
US8543634B1 (en) 2012-03-30 2013-09-24 Altera Corporation Specialized processing block for programmable integrated circuit device
US9098332B1 (en) 2012-06-01 2015-08-04 Altera Corporation Specialized processing block with fixed- and floating-point structures
US8996600B1 (en) 2012-08-03 2015-03-31 Altera Corporation Specialized processing block for implementing floating-point multiplier with subnormal operation support
US9553590B1 (en) 2012-10-29 2017-01-24 Altera Corporation Configuring programmable integrated circuit device resources as processing elements
US9207909B1 (en) 2012-11-26 2015-12-08 Altera Corporation Polynomial calculations optimized for programmable integrated circuit device structures
US9189200B1 (en) 2013-03-14 2015-11-17 Altera Corporation Multiple-precision processing block in a programmable integrated circuit device
US9348795B1 (en) 2013-07-03 2016-05-24 Altera Corporation Programmable device using fixed and configurable logic to implement floating-point rounding
US9698795B1 (en) * 2013-07-03 2017-07-04 Altera Corporation Supporting pseudo open drain input/output standards in a programmable logic device
US9379687B1 (en) 2014-01-14 2016-06-28 Altera Corporation Pipelined systolic finite impulse response filter
US9287868B1 (en) 2014-08-27 2016-03-15 Quicklogic Corporation Logic cell for programmable logic device
US10452392B1 (en) 2015-01-20 2019-10-22 Altera Corporation Configuring programmable integrated circuit device resources as processors
US9684488B2 (en) 2015-03-26 2017-06-20 Altera Corporation Combined adder and pre-adder for high-radix multiplier circuit
US9628083B1 (en) * 2015-10-01 2017-04-18 Quicklogic Corporation Local routing network with selective fast paths for programmable logic device
JP2017169118A (en) 2016-03-17 2017-09-21 株式会社東芝 Integrated circuit and electronic equipment
US10110233B2 (en) 2016-06-23 2018-10-23 Altera Corporation Methods for specifying processor architectures for programmable integrated circuits
US10942706B2 (en) 2017-05-05 2021-03-09 Intel Corporation Implementation of floating-point trigonometric functions in an integrated circuit device
US10853542B1 (en) * 2019-06-14 2020-12-01 QUALCOMM Incorporated— Fuse-based logic repair

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4786904A (en) * 1986-12-15 1988-11-22 Zoran Corporation Electronically programmable gate array having programmable interconnect lines
US4873459A (en) * 1986-09-19 1989-10-10 Actel Corporation Programmable interconnect architecture
US5055718A (en) * 1990-05-11 1991-10-08 Actel Corporation Logic module with configurable combinational and sequential blocks

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3619583A (en) * 1968-10-11 1971-11-09 Bell Telephone Labor Inc Multiple function programmable arrays
NL7612223A (en) * 1976-11-04 1978-05-08 Philips Nv INTEGRATED CIRCUIT.
US4124899A (en) * 1977-05-23 1978-11-07 Monolithic Memories, Inc. Programmable array logic circuit
JPS5534518A (en) * 1978-09-01 1980-03-11 Nippon Telegr & Teleph Corp <Ntt> Lsi parameter setting system
JPS5637732A (en) * 1979-09-04 1981-04-11 Nippon Telegr & Teleph Corp <Ntt> Lsi parameter setting system
US4354266A (en) * 1979-10-31 1982-10-12 Gte Laboratories Incorporated Multiplexor with decoding
DE3120163A1 (en) * 1981-05-21 1982-12-09 Deutsche Itt Industries Gmbh, 7800 Freiburg CMOS SELECTION CIRCUIT
DE3204900C2 (en) * 1982-02-12 1983-12-15 Siemens AG, 1000 Berlin und 8000 München Coupling arrangement
JPS58184822A (en) * 1982-03-31 1983-10-28 Fujitsu Ltd Input circuit
US4622648A (en) * 1982-05-10 1986-11-11 American Microsystems, Inc. Combinational logic structure using PASS transistors
US4566064A (en) * 1982-05-10 1986-01-21 American Microsystems, Inc. Combinational logic structure using PASS transistors
US4541067A (en) * 1982-05-10 1985-09-10 American Microsystems, Inc. Combinational logic structure using PASS transistors
DE3247834A1 (en) * 1982-12-23 1984-06-28 Siemens AG, 1000 Berlin und 8000 München CIRCUIT BLOCK
JPS59208943A (en) * 1983-05-12 1984-11-27 Matsushita Electric Ind Co Ltd Logical circuit
JPS6018892A (en) * 1983-07-12 1985-01-30 Sharp Corp Semiconductor decoding circuit
JPS6030215A (en) * 1983-07-28 1985-02-15 Toshiba Corp Cmos logical circuit
US4558236A (en) * 1983-10-17 1985-12-10 Sanders Associates, Inc. Universal logic circuit
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4774421A (en) * 1984-05-03 1988-09-27 Altera Corporation Programmable logic array device using EPROM technology
US4617479B1 (en) * 1984-05-03 1993-09-21 Altera Semiconductor Corp. Programmable logic array device using eprom technology
US4609986A (en) * 1984-06-14 1986-09-02 Altera Corporation Programmable logic array device using EPROM technology
JPH0670787B2 (en) * 1984-06-29 1994-09-07 富士通株式会社 Command transfer control system between processors
US4695740A (en) * 1984-09-26 1987-09-22 Xilinx, Inc. Bidirectional buffer amplifier
ATE53152T1 (en) * 1984-09-28 1990-06-15 Siemens Ag CIRCUIT FOR LOGIC GENERATION WITH MULTIPLEXERS.
US4620117A (en) * 1985-01-04 1986-10-28 Advanced Micro Devices, Inc. Balanced CMOS logic circuits
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US4933577A (en) * 1985-03-22 1990-06-12 Advanced Micro Devices, Inc. Output circuit for a programmable logic array
US4684830A (en) * 1985-03-22 1987-08-04 Monolithic Memories, Inc. Output circuit for a programmable logic array
CA1251583A (en) * 1985-03-28 1989-03-21 Yoshiaki Yato Multiplex system
US4764893A (en) * 1985-04-26 1988-08-16 International Business Machines Corporation Noise-immune interrupt level sharing
US4758746A (en) * 1985-08-12 1988-07-19 Monolithic Memories, Inc. Programmable logic array with added array of gates and added output routing flexibility
ATE84165T1 (en) * 1985-10-15 1993-01-15 Sony Corp LOGICAL CIRCUIT WITH LINKED MULTIPORT FLIP FLOPS.
US4771285A (en) * 1985-11-05 1988-09-13 Advanced Micro Devices, Inc. Programmable logic cell with flexible clocking and flexible feedback
US5168177A (en) * 1985-12-06 1992-12-01 Advanced Micro Devices, Inc. Programmable logic device with observability and preloadability for buried state registers
JP2546228B2 (en) * 1985-12-20 1996-10-23 株式会社日立製作所 Selection circuit
US4876640A (en) * 1986-02-07 1989-10-24 Advanced Micro Devices, Inc. Logic controller having programmable logic "and" array using a programmable gray-code counter
US4710649A (en) * 1986-04-11 1987-12-01 Raytheon Company Transmission-gate structured logic circuits
US4789951A (en) * 1986-05-16 1988-12-06 Advanced Micro Devices, Inc. Programmable array logic cell
JPS6365716A (en) * 1986-09-05 1988-03-24 Kazuhiro Horie Constituting method for multi-value logic circuit based on complementary path gate
US4910417A (en) * 1986-09-19 1990-03-20 Actel Corporation Universal logic module comprising multiplexers
JPS6397011A (en) * 1986-10-06 1988-04-27 ゾ−ラン コ−ポレ−シヨン Electrically programmable gate array
US5019736A (en) * 1986-11-07 1991-05-28 Concurrent Logic, Inc. Programmable logic cell and array
US5068603A (en) * 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
DE3875909T2 (en) * 1987-11-20 1993-05-13 Kawasaki Steel Co PROGRAMMABLE LOGICAL DEVICE.
JPH01171321A (en) * 1987-12-25 1989-07-06 Kawasaki Steel Corp Programmable logic element
US4878200A (en) * 1987-12-30 1989-10-31 Intel Corporation Product term sharing/allocation in an EPROM array
US4871930A (en) * 1988-05-05 1989-10-03 Altera Corporation Programmable logic device with array blocks connected via programmable interconnect
KR910006355B1 (en) * 1988-08-18 1991-08-21 한국 전기 통신공사 Multiplexer output enable/disable control device using channel selection control signal
US4879481A (en) * 1988-09-02 1989-11-07 Cypress Semiconductor Corporation Dual I/O macrocell for high speed synchronous state machine
US4912339A (en) * 1988-12-05 1990-03-27 International Business Machines Corporation Pass gate multiplexer
US4914322A (en) * 1988-12-16 1990-04-03 Advanced Micro Devices, Inc. Polarity option control logic for use with a register of a programmable logic array macrocell
US4940908A (en) * 1989-04-27 1990-07-10 Advanced Micro Devices, Inc. Method and apparatus for reducing critical speed path delays
US5185706A (en) * 1989-08-15 1993-02-09 Advanced Micro Devices, Inc. Programmable gate array with logic cells having configurable output enable
US5015883A (en) * 1989-10-10 1991-05-14 Micron Technology, Inc. Compact multifunction logic circuit
US5107146A (en) * 1991-02-13 1992-04-21 Actel Corporation Mixed mode analog/digital programmable interconnect architecture
US5416367A (en) * 1991-03-06 1995-05-16 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5220213A (en) * 1991-03-06 1993-06-15 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5122685A (en) * 1991-03-06 1992-06-16 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
JPH05252025A (en) * 1991-10-28 1993-09-28 Texas Instr Inc <Ti> Logic module and integrated circuit
US5349250A (en) * 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4873459A (en) * 1986-09-19 1989-10-10 Actel Corporation Programmable interconnect architecture
US4873459B1 (en) * 1986-09-19 1995-01-10 Actel Corp Programmable interconnect architecture
US4786904A (en) * 1986-12-15 1988-11-22 Zoran Corporation Electronically programmable gate array having programmable interconnect lines
US5055718A (en) * 1990-05-11 1991-10-08 Actel Corporation Logic module with configurable combinational and sequential blocks

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0690579A3 (en) * 1994-06-30 1997-11-26 Texas Instruments Incorporated Field programmable gate arrays

Also Published As

Publication number Publication date
US5430390A (en) 1995-07-04
US5594364A (en) 1997-01-14
US6078191A (en) 2000-06-20
US5122685A (en) 1992-06-16
US5726586A (en) 1998-03-10
JP3302014B2 (en) 2002-07-15
US5396127A (en) 1995-03-07
JPH06505609A (en) 1994-06-23
US5986468A (en) 1999-11-16

Similar Documents

Publication Publication Date Title
US5122685A (en) Programmable application specific integrated circuit and logic cell therefor
US5220213A (en) Programmable application specific integrated circuit and logic cell therefor
US5416367A (en) Programmable application specific integrated circuit and logic cell therefor
US5371422A (en) Programmable logic device having multiplexers and demultiplexers randomly connected to global conductors for interconnections between logic elements
US7646218B2 (en) Architecture and interconnect scheme for programmable logic circuits
US7061275B2 (en) Field programmable gate array
US5789939A (en) Method for providing a plurality of hierarchical signal paths in a very high-density programmable logic device
US5652529A (en) Programmable array clock/reset resource
US7161384B1 (en) Flexible macrocell interconnect
EP0646298A1 (en) Epld chip with hybrid architecture optimized for both speed and flexibility
JP3325662B2 (en) Integrated circuit
KR100233361B1 (en) Function generator for programmable gate array
WO1998034348A1 (en) Logic function module for field programmable array
US6262595B1 (en) High-speed programmable interconnect
US5973506A (en) Method and apparatus for connecting long lines to form wide busses
Chang et al. Programmable Logic Devices
El-Ayat et al. A high performance, high density sea of modules FPGA architecture

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IT LU MC NL SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase