WO1996002038A1 - Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication - Google Patents

Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication Download PDF

Info

Publication number
WO1996002038A1
WO1996002038A1 PCT/US1995/007206 US9507206W WO9602038A1 WO 1996002038 A1 WO1996002038 A1 WO 1996002038A1 US 9507206 W US9507206 W US 9507206W WO 9602038 A1 WO9602038 A1 WO 9602038A1
Authority
WO
WIPO (PCT)
Prior art keywords
datapath
library
expression
integrated circuit
components
Prior art date
Application number
PCT/US1995/007206
Other languages
French (fr)
Inventor
Balmukund K. Sharma
Mossaddeq Mahmood
Original Assignee
Vlsi Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vlsi Technology, Inc. filed Critical Vlsi Technology, Inc.
Publication of WO1996002038A1 publication Critical patent/WO1996002038A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Definitions

  • the present invention relates generally to synthesis of integrated circuits and more particularly to synthesis of datapaths in integrated circuits.
  • ASICs allow a designer to design a circuit with specifications and functionality closely tailored to their desired product application, without having to conform their product to the feature and performance limits of a standard chip.
  • ASIC production begins with a set of circuit specifications. This design is then written in a hardware description language (HDL), such as VHDL® , the IEEE standard. The description is then "synthesized", i.e., a computer program uses the set of circuit specifications encoded in an
  • HDL HDL to design the circuitry of the IC.
  • the behavior of the circuit is verified and, ultimately, a circuit layout is created. From the layout, a mask is formed and used for the IC chip (ASIC) production.
  • ASIC IC chip
  • a datapath synthesizer converts an HDL circuit specification into a datapath netlist.
  • the behavioral description of the specified circuit is divided into two distinct parts: datapath logic and random (“control") logic.
  • the random logic is implemented using gates from a gate library (for example a standard cell or gate array library) using a logic synthesizer.
  • the datapath logic is optimally synthesized using a datapath synthesizer having a library of datapath components ("cells").
  • the present invention includes a datapath synthesizer which maps a HDL specification to both combinational components and sequential components available in a datapath library. This permits datapaths to be optimized to a greater degree than was previously possible.
  • a counter (sequential logic) was implied by the HDL specification, and a counter component (a sequential component) existed in the library, previous tools were unable to map the counter component. Instead, a counter was built using an adder (or an incrementor) and a flip-flop register. Using the invention described here, a counter component is used instead. This allows for better integrated circuit optimization.
  • a method for fabricating an integrated circuit in accordance with the present invention includes the steps of: (a) developing a set of circuit specifications for an integrated circuit; (b) encoding the circuit specification in a hardware description language (HDL) and inputting the hardware description language into a digital computer; (c) synthesizing a netlist that can include sequential components derived from a datapath library stored on the computer; and
  • HDL hardware description language
  • the synthesization step preferably includes the steps of: (a) parsing the HDL into a controlled data flow graph (CDFG); (b) generating an IC expression tree for each output of the IC in terms of primary inputs and constraints using the CDFGs; (c) partitioning each IC expression tree into a random logic category and a datapath category; (d) synthesizing random logic using random logic synthesis to create a random logic netlist; (e) synthesizing datapath using datapath synthesis to create a datapath netlist including both sequential components and combinational components; and (f) combining the datapath netlist and random logic netlist to develop an IC netlist.
  • CDFG controlled data flow graph
  • a method for datapath synthesis includes the steps of: (a) providing a datapath library including sequential components and combinational components; (b) developing a set of circuit specification for an integrated circuit; (c) encoding the set of circuit specifications in a hardware description language; (d) developing a number of IC expression trees derived from the hardware description language; (e) matching the IC expression trees with library expression trees derived from the datapath library to provide a map of matches; and (f) synthesizing both sequential and combinational components according to the map to create a datapath netlist.
  • the steps of generating a number of IC expression trees includes the steps of parsing the hardware description language into control data flow graphs (CDFGs), and generating an IC expression tree for each output of the IC in terms of primary inputs and constraints.
  • behavior of the sequential components and combinational components of the datapath library are written in the same hardware description language that is used for the IC specifications, and the step of developing the library expression trees includes the steps of parsing the hardware description language of the sequential components and combinational components of the datapath library, and generating an expression tree for each output of each of the sequential components and combinational components in the datapath library.
  • a datapath synthesizer in the present invention includes a digital processor, memory coupled to the digital processor, and a datapath library stored in the memory, where the datapath library includes both sequential components and combinational components.
  • An input device is provided to input the HDL that encodes the circuit specifications for a desired integrated circuit into the memory of the system.
  • An IC expression tree generator develops, using the digital processor, a number of IC expression trees derived from the HDL, and a matcher compares, using the digital processor, the IC expression trees with library expression trees derived from the datapath library to provide a map.
  • a synthesizer creates a datapath netlist using both the sequential datapaths and combinational datapaths according to the map.
  • the method and apparatus of the present invention are therefore advantageous in that a more optimal IC design can be produced. This is because sequential logic can be synthesized in a datapath synthesizer which uses an optimized library of sequential components and optimization criteria designated by a circuit designer. It is therefore possible to design smaller, faster, and less expensive integrated circuits than was possible with previous synthesis techniques.
  • Fig. 1 is a flow diagram illustrating a process for producing an integrated circuit in accordance with the present invention
  • Fig. 2a is a block diagram of a computer system used to implement a portion of the process illustrated in Fig. 1 ;
  • Fig. 2b is a block diagram of a system for producing an integrated circuit in accordance with the process of Fig. 1 ;
  • Fig. 3 is flow diagram illustrating the "Synthesize Including Datapath Structures" step of Fig. 1;
  • Fig. 4 is an example of hardware description language (HDL) used in the present invention.
  • Fig. 5a illustrates the "Generate Expression Tree For Each Output From Each CDFG" step of Fig. 3 as applied to combinational logic;
  • Fig. 5b illustrates the "Generate Expression Tree For Each Output From Each CDFG" step of Fig. 3 as applied to sequential logic;
  • FIG. 6 is an illustration of the datapath library including both sequential components and combinational components
  • Fig. 7 is a flow diagram illustrating the "Synthesize Datapath Using Datapath Synthesis" step of Fig. 3;
  • Fig. 8 is a flow diagram illustrating the "Match Expression Trees." step of Fig. 7;
  • Fig. 9 is an example of an IC expression tree
  • Fig. 10 is an illustration of components within the datapath library including both combinational components and a sequential component
  • Fig. 11 is a table used to describe the operation of the process of Fig. 8 in conjunction with the examples of Figs. 9 and 10;
  • Fig. 12 is a cyclic IC expression tree for an up-down counter
  • Fig. 13a is a library expression tree for a counter component
  • Fig. 13b is a library expression tree for an incrementor-decrementor component
  • Fig. 13c is a library expression tree for an incrementor component
  • Fig. 13d is a library expression tree for a decrementor component
  • Fig. 13e is a library expression tree for a multiplexor component
  • Fig. 13f is a library expression tree for a D Flip-Flop (DFF) component.
  • DFF D Flip-Flop
  • FIG. 1 illustrates a method 10 in accordance with the present invention for producing an integrated circuit (IC) 11.
  • the method begins in step 12 with the development of the circuit specifications to implement a desired design. For example, required inputs and outputs are specified, as are speed and other performance parameters.
  • the process continues with step 14 and a hardware description is written by a circuit designer in a hardware description language (HDL) such as the IEEE Standard VHDL® (VHSIC Hardware Description Language), or Verilog®, a standard from Open Verilog International.
  • HDL hardware description language
  • VHSIC Hardware Description Language Verilog®
  • the functionality may then be verified in step 16 by logic simulators which operate directly on the HDL.
  • the process then proceeds with step 18 with the synthesis of the specified circuit including data path structures from the HDL.
  • the resulting netlist for the circuit is verified for proper behavior and functionality in step 20 by a logic simulator which operates on the netlist.
  • the process continues with layout of the circuit in step 22.
  • the layout could be a gate array layout, a standard cell based layout, or a bit-slice layout.
  • a mask of the layout is created in step 24. This mask is then used to fabricate the integrated circuit (IC) chip 11 in a step 26. Steps 12-16 and 20-26 are well known to those skilled in the art.
  • FIG. 2a is a block diagram of a computer system 28 used to implement at least part of process 10.
  • This system includes a digital processor or central processing unit (CPU) 30, a keyboard input device 32, a monitor output device 34, read-only memory (ROM) 36, random-access memory (RAM) 38, mass storage 40, and removable storage 42.
  • CPU central processing unit
  • ROM read-only memory
  • RAM random-access memory
  • mass storage 40
  • removable storage 42 removable storage 42.
  • memory will refer collectively to any form of memory accessible by the CPU 30, including, but not limited to, ROM 36, RAM 38, mass storage 40, and removable storage 42.
  • Keyboard 32 is a preferred user input device for entering HDL 33 for use by the CPU 30, but other devices and input methods are well known to those skilled in the art.
  • Mass storage 40 is typically a hard disk storage unit capable of storing many megabytes of data in a non-volatile fashion.
  • Removable storage device 42 is typically a floppy disk unit used to transfer data to and from computer system 28.
  • An example of a computer system 28 suitable for use with the present invention is an HP 700 Series workstation, available from Hewlett-Packard, Cupertino, CA or a SUN SPARC workstation available from Sun Microsystems, Inc., of Mountain View, CA.
  • HP 700 Series workstation available from Hewlett-Packard, Cupertino, CA
  • SUN SPARC workstation available from Sun Microsystems, Inc., of Mountain View, CA.
  • other computer systems that are capable of supporting integrated circuit synthesis are also suitable.
  • a blo r 1 diagram of a system 44 for producing an IC 11 in accordance with the present invention is input as HDL 43 into the computer system 28.
  • a mask generator 46 creates a set of IC masks from mask generation data provided by computer system 28.
  • the mask generator 46 can either be implemented as a part of the computer system 28 or as a separate system.
  • An example of a suitable mask generator in machine form is MEBES® available from Mebes, Inc.
  • An example of a mask generator in software form is DRACULA® available from Cadence Design Systems, Inc., of Santa Clara, California.
  • This mask generator 46 fabricates masks which are then used with semiconductor manufacturing equipment 48 during the production of the IC 11.
  • Semiconductor manufacturing equipment 48 typically includes such items as stepper lithography units available from Cannon, Inc. and Nikon, Inc. of Japan, among others, which directly utilize the mask sets for photolithography processes.
  • Coupled logic includes one or more logic elements connected in such a fashion that the output(s) of the combinational logic depend only on the current input(s). Examples of combinational logic include adders, incrementors, etc. Combinational logic implies no internal memory. Components exhibiting combinational logic are known as "combinational components.”
  • sequential logic implies memory.
  • the output(s) of sequential logic depend upon both upon its input(s) and its current state as stored in the memory element(s).
  • Current state implies information about previous states and previous inputs. Examples of sequential logic include counters and accumulators. Components exhibiting sequential logic are referred to as “sequential components.”
  • the memory elements for sequential logic can include a D Flip-Flop (DFF) component or a latch component.
  • DFF D Flip-Flop
  • a DFF has one primary input “d”, one primary output “q”, and a clock input “elk.” It optionally also has synchronous and/or asynchronous reset inputs. At either a rising or falling edge of the clock input (depending upon the type of DFF), the input d is transferred to the output q, creating a "state” of the DFF. The output q remains at that state until the next clock input.
  • a latch component In contrast to a DFF, a latch component has a primary input “d”, a primary output “q”, and an enable input “e.” It optionally also has synchronous and/or asynchronous reset inputs.
  • the enable input e When the enable input e is activated, the input d is passed to the output q. The input d will continue to be passed to the output q until the enable input e is disabled, i.e. the latch is "latched.” At that time, output q will remain steady, creating a "state" of the latch.
  • Sequential logic can be made by combining combinational logic with memory elements, such as DFFs or latches.
  • the "state" of the memory element is used as one of the inputs to the sequential logic such that the output(s) of the sequential logic is dependent upon both its inputs and its current state.
  • a CDFG is a graph with nodes and directed edges. Nodes represent actions or assignments, and the edges represent transfer of control from one node to another. Each edge is associated with a condition under which control passes from one node to another.
  • a reference describing CDFGs is found in "On Optimal Extraction of Combinational Logic and Don't Care Sets from Hardware Description Languages", G.
  • an expression tree is generated for each output from the CDFG.
  • an expression tree has a root node, a set of intermediate nodes, leaf nodes and a set of directed edges that point from one node to another.
  • a leaf node represents a primary input or a constant.
  • An intermediate node represents an operation. The associated operation is applied to the nodes to which edges of the intermediate node are pointing. Examples of such operations in the present invention are "+","-",”*",”AND”,”IF", “DFF” and “LATCH.”
  • the DFF and LATCH nodes are memory nodes that store a state.
  • the methods for generating expression trees from CDFG are well known to those skilled in the art.
  • the expression trees fall into two categories: 1) acyclic expression trees corresponding to combinational logic; and 2) cyclic expression trees corresponding to sequential logic.
  • Acyclic expression trees derive their name from the fact that there are no feed-back loops or "cycles" in the expression tree, as opposed to cyclic expression trees which include such feed-back loops.
  • the cycles in cyclic expression trees always pass through one or more memory nodes (DFFs or latches). Therefore, all feedback occurs in sequential logic in the present implementation.
  • An acyclic expression tree is also referred to in the literature as a directed acyclic graph (DAG).
  • DAG directed acyclic graph
  • the expression tree is partitioned.
  • partitioning it is meant herein that the expression trees are categorized into datapath types and random logic types.
  • the datapath is generally more complex and is composed of operations that are likely to be stored in the datapath library. Examples of datapath types are multi-bit operations (such as 16-bit multiplier, adder, counter, etc.). Generally, optimized datapath cells corresponding to such operations are available in the library. Simpler logic and left-over logic can be categorized as a random logic type which does not require datapath optimization and, therefore, would not be stored within the datapath library as a component.
  • the random logic type expression trees are sent to a random logic synthesizer which uses a gate library to produce random logic. Such synthesis is well known to those skilled in the art.
  • the output of the "Synthesize Random Logic Using Logic Synthesis" step 58 is a random-logic netlist including a specification of gates and their interconnections.
  • Datapath-type expression trees undergo a process 60 which synthesizes the datapath using datapath synthesis techniques.
  • a datapath library is used to match against the IC expression trees and, after optimization, a datapath netlist is produced by this step 60.
  • the random-logic netlist and the datapath netlist are combined in a step 62 to provide the an IC netlist.
  • Process 18 then ends at 64.
  • Fig. 4 an example of a hardware description language representation of a circuit specification is shown.
  • HDL is a relatively high-level language which allows circuit specifications to be defined in terms of input, output, and various parameters such as clock speed, register types, etc.
  • three distinct sections of HDL are shown.
  • a section 68 a definition is made of a part including in inputs "a” and "b", and output "x", and a 16-bit up-down counter having an output "count” dependent upon inputs "Clk” and "increment.”
  • the output x is assigned the value of a or b, which is simply combinational logic.
  • section 72 the 16-bit up-down counter is described in HDL. This up-down counter described in section 72 is a complex sequential logic device.
  • the HDL 66 is parsed in a step 52 into control data flow graphs (CDFGs), again by techniques well known to those skilled in the art. These CDFGs are then converted into expression trees in a step 54 for each output from the CDFG.
  • the expression tree defined by section 70 of Fig. 4 is shown at 74 in Fig. 5a.
  • the expression tree for output x is defined by an OR node. This node had edges that point to leaf nodes "a" and "b.”
  • the expression tree for section 72 of the HDL shown in Fig. 4 as produced by process 54 of Fig. 3 is as illustrated at 76.
  • the expression tree 76 represents sequential logic, it includes a device which stores a current state which is used as a feed-back input to the logic
  • the state-storing device is a D-type Flip-Flop (DFF) which holds a value "count" at its q output.
  • DFF D-type Flip-Flop
  • the DFF is clocked by the signal Clk and has a d input.
  • the d input of the DFF is coupled to a decision (i.e.
  • this expression tree 76 is cyclic since the output "count” is also an input “count” to the expression tree 76 as indicated by the broken line 78. In the past, cyclic expression trees were not optimally mapped. See, for example, “DAGON: Technology Binding and Local Optimization by DAG Matching.”, K. Keutzer. 24th DAC, 1987, pp 341-347. It should also be noted that the expression tree 76 is a sixteen bit expression tree, implying a 16-bit up-down counter.
  • the datapath library includes sequential components including a DFF register, an accumulator, a counter, an incrementor, a decrementor, an incrementor/decrementor, etc. as well as combinational components including an adder, a subtractor, etc.
  • the term "component” as used herein is also referred to as a "cell” in the industry. Therefore “cell” and “component” will be used somewhat interchangeably herein when referring to the entries in the datapath library.
  • HDL hardware description language
  • the datapath netlist can include both sequential and combinational components. This is an important feature of the present invention since, in the past, sequential logic was inefficiently implemented by combining combinational components with memory components (such as DFFs and latches). In the present invention, optimized sequential components can be inferred, which provides a considerable degree of optimization in contrast to implementing sequential logic using combinational components and memory components (DFFs and latches), as in the prior art.
  • DFFs and latches combinational components and memory components
  • step 60 of Fig. 3 the "Synthesize Datapath Using Datapath Synthesis" of step 60 of Fig. 3 is discussed in greater detail.
  • the process 60 begins at 82 and, in a step 84, the HDL of the datapath library is parsed.
  • the datapath library includes both sequential components and combinational components.
  • This parsing step 84 is analogous to the parsing step 52 which is performed on the HDL describing the IC specifications.
  • the output of the parsing step is a number of control data flow graphs (CDFGs) representing the datapath components from the datapath library.
  • CDFGs control data flow graphs
  • step 86 an expression tree is generated for each output of each CDFG produced by step 84.
  • this step is analogous to the step 54 of Fig. 3 where an expression tree is created for each output of each CDFG developed from the HDL used to encode the circuit specifications.
  • steps 84 and 86 can be skipped by simply storing the expression trees for each output of each CDFG of each datapath component in the datapath library. However, this will consume considerable storage space, and therefore is not desirable. Since steps 84 and 86 are not particularly computationally expensive, it has been found that steps 84 and 86 can be repeated once every session without substantially adversely affecting the speed of operation of the system.
  • Process 60 of Fig. 7 continues with step 88 wherein the IC expression trees are matched against the expression trees of the datapath library, and the wherein of a map indicating these matches is developed.
  • a final step in process 60 is a step 90 wherein a netlist is created using the map created by step 88 and optimization criteria.
  • Optimization criteria are well known to those skilled in the art and includes such criteria as circuit area, circuit speed, circuit power, and circuit delay characteristics. In other words, since there are likely multiple maps from the datapath library to the expression trees for the desired integrated circuit, a map is chosen which provides the best compromise to a given set of optimization criteria. Often, the optimization criteria places a high emphasis on minimum circuit area (i.e. the most compact circuit implementation) which meets timing requirements. Therefore, the various maps are examined for those solutions which provide the minimum IC die area. If the timing of the selected map meets the timing requirements, then that map is finally selected. K. Keutzer. supra, is again useful background art in understanding this process.
  • the process 88 is a recursive process for mapping all of the datapath library expression trees against each of the IC expression trees specified by the circuit designer's HDL.
  • the process 88 is a recursive process for mapping all of the datapath library expression trees against each of the IC expression trees specified by the circuit designer's HDL.
  • other recursive methods and non-recursive methods can also be used to create such mappings.
  • Process 88 begins at 94 and, in a step 96, a variable "node” is assigned the value "top", which is the root node (top) of an IC expression tree. In the next step 97, the node is marked as “visited.” This is important, since expression trees in the present invention are cyclic and a node that has been processed might be visited again.
  • a step 98 all matches from this top node into the datapath library are obtained and pushed onto a first stack referred to as a "match" stack.
  • a step 100 it is determined whether the match stack is empty. If not, a step 102 pops the top match from the match stack, finds the terminals of the match, and pushes these terminals onto a second stack known as a "terminal" stack.
  • Terminals are the bottom nodes of a match.
  • a terminal can be an intermediate node or a leaf node.
  • a step 104 it is determined whether the terminal stack is empty. If not, a step 106 pops the terminal from the terminal stack and sets the node equal to that terminal node.
  • a step 108 determines whether the node is a leaf node of the expression tree or if it has already been visited. If so, the process control returns to step 104. If not, process control is returned to step 97.
  • step 108 there is a check to determine whether a node has already been visited. This is necessary since the expression trees of the present invention have cycles. Nodes visited once can be visited again. However, these nodes are processed only once.
  • step 104 When the terminal stack is determined to be empty by step 104, process control is returned to step 100.
  • the match stack is determined to be empty by step 100, the process 88 is determined to be complete as indicated at 110.
  • Example 1 is a simple example which illustrates how the process of the present invention works on combinational logic.
  • Example 2 illustrates how the present invention maps sequential logic to sequential components, in addition to mapping combinational logic.
  • Fig. 9 an IC expression tree is shown having six nodes labeled Nl, N2....N6. Note that the IC expression tree is acyclic in that there are no cycles present in the expression tree.
  • Fig. 10 four datapath library components labeled (a), (b), (c), and (d) are illustrated as datapath trees.
  • Library expression trees (a)-(c) represent combinational components since there is no feed-back between nodes.
  • Datapath tree (d) represents a sequential component because it provides a feed-back path between the top or output node and a node which is in the flow path to the output node. Therefore, the expression tree (d) represents a component that is dependent upon its own previous output state, such as an accumulator, incrementor, etc.
  • a root or top node is the output node of the expression tree.
  • node Nl is the top or output node.
  • Leaf nodes are the bottom-most nodes of an expression tree and are primary inputs of the IC expression tree.
  • nodes N4, N5, and N6 are leaf nodes.
  • Intermediate nodes are located between the top nodes and the leaf nodes and correspond to nodes N2 and N3 of Fig. 9.
  • FIG. 9 the process 88 of Fig. 8 is illustrated by means of a table 112 in Fig. 11.
  • a first column of the table 112 indicates the step during the execution of process 88.
  • a step with a later letter follows a step with an earlier letter.
  • a second column of table 112 indicates the contents of the match stack under different steps, a third column holds the contents of the terminal stack the different steps, and the fourth column of table 112 indicates the map as it is being built under the different steps.
  • stack will refer to any method or apparatus for providing a last-in first-out (LIFO) stack.
  • LIFO last-in first-out
  • a items can be "pushed” onto a LIFO stack, and then "popped” from the LIFO stack in the reverse order than in which they were pushed.
  • These stacks can be provided in specialized hardware registers, but are preferably implemented in the memory of computer system 28 and managed by a recursive algorithm.
  • the match stack and terminal stack can comprise storage locations within RAM 38 which are managed by a recursive algorithm.
  • step 98 all matches to node Nl are found and pushed onto the match stack.
  • the two matches from the datapath library of Fig. 10 are components (b) and (c) which are pushed onto the match stack. Since the match stack is not empty as determined by step 100 of Fig. 8, the top match is popped from the match stack and its "terminal" nodes are found and pushed onto the terminal stack.
  • the top match is component (c) and, therefore, the terminal nodes of component (c), which correspond to nodes N4, N5, and N6 of the expression tree of Fig. 9 are pushed onto the terminal stack, and this state is indicated as step B in table 112. Since all of nodes N4-N6 are leaf nodes as determined in step 108, the terminals N4-N6 are popped from the terminal stack by step 106 until the terminal stack is empty as determined by step 104, and then process control is returned to step 100. This is illustrated in a step C in table 112 wherein the match stack still includes the match (b) but the map now includes datapath components (c) as a map to the expression tree of Fig. 9.
  • step D the match stack is now empty and the terminal stack includes terminal nodes N3 and N4 corresponding to the mapping of datapath component (B) to the expression tree of Fig. 9. Since the terminal stack is not empty as determined by step 104, the top terminal N4 is popped from the stack and set to the node.
  • step E This step is indicated at step E of table 12. Since node N4 is a leaf node, the last node N3 is popped from the terminal stack, and step 108 determines that this node N3 is not a leaf node. Therefore, all matches to the node N3 are found in step 98 and pushed onto the match stack. As seen at step F in Fig. 11, this pushes datapath library component (a) onto the match stack. Step 102 then pushes the terminals N5 and N6 onto the terminal stack and removes the match
  • step G the match stack
  • Processes 104-108 are then executed until both of nodes N5 and N6 have been popped from the terminal stack (because they are both leaf nodes) at which time process control returns to step 100. Since the match stack is empty at this point, as indicated in step H, the process is completed at step 110.
  • the map includes a first map which maps datapath library component (c) to the expression tree of Fig. 9, and a second map which maps the combination of datapath library components (b) and (a) also to the expression tree of Fig. 9.
  • EXAMPLE 2 Consider the following example that represents the behavior of an up-down counter. This example illustrates how a cyclic expression tree that represents sequential logic is mapped to sequential components in the datapath library. Such mapping to sequential components was not possible in previous tools.
  • entity ic is port (elk, increment : bit; sum : out bit_vector(15 do nto 0) ); end ic;
  • variable "sum” which is the output of the expression tree, is also an input of the expression tree. This is illustrated by node Nl of the expression tree.
  • DFF memory node or element
  • this expression tree would be mapped to a register, an incrementor, a decrementor and a multiplexor.
  • the incrementor, decrementor and the multiplexor could be replaced by a single incrementor-decrementor datapath component, if one was available in the library. Even if the library had a counter component that implements the above expression tree, the prior art tools would be unable to map it to that component.
  • the present invention works not only on counters but also on any other sequential component in the library such as accumulators, multiplier-accumulators, multiplexed flip- flops, and registers with control signals such as synchronous reset, synchronous load, and clock enables. All of these components include both combinational logic and memory components.
  • Figs. 13a, 13b, 13c, 13d, 13e, and 13f which correspond to library expression trees for a counter component, an incrementor-decrementor component, an incrementor component, a decrementor component, a multiplexor component, and a D Flip- Flop (DFF) component, respectively, in the library.
  • DFF D Flip- Flop
  • a library tree will referred to as "LTX", where "X" is a number associated with the library tree. Therefore, library tree 1 (LT1) is shown in Fig. 13a, LT2 is shown in Fig. 13b, LT3 is shown in Fig. 13c, LT4 is shown in Fig. 13d, LT5 is shown in Fig. 13e, and LT6 is shown in Fig. 13f.
  • node Nl represents the cycle in the graph. It is marked as “visited” after it is first visited. Thereafter, node Nl is not processed again even thought it has been pushed on the terminal stack, since it has already been visited, as explained in step 108 of process 88, above.
  • Table 1 Map Between IC and Library Expression Trees
  • step 6 maps up-down counter of Fig. 12 to the counter component in the library as shown in Fig. 13a.
  • a single counter component can be used with the following mapping:
  • step 12 the logic was synthesized as a DFF combined with an incrementor-decrementor.
  • step 21 the logic was synthesized as a DFF, a multiplexor, an incrementor, and a decrementor. Both of the implementations of steps 12 and 21 are less optimized than the counter component of Listing 2.

Abstract

A method for fabricating an integrated circuit includes the steps of: (a) developing a set of circuit specifications for an integrated circuit; (b) encoding the set of circuit specifications in a hardware description language (HDL); (c) synthesizing a netlist including a sequential datapath with a datapath synthesizer from the HDL; and (d) fabricating an integrated circuit as specified by the netlist. A method for datapath synthesis includes the steps of: (a) providing a datapath library including sequential components and combinational components; (b) developing a set of circuit specifications for an integrated circuit; (c) encoding the set of circuit specifications in an HDL; (d) developing a number of IC expression trees derived from the HDL; (e) matching the IC expression trees with library expression trees derived from the datapath library to provide a map of matches; and (f) synthesizing according to the map to create a datapath netlist including both sequential datapaths and combinational datapaths. A datapath synthesizer includes a digital processor, memory coupled to the digital processor, and a datapath library stored in the memory. An input device is used to input an HDL description of circuit specifications into memory, and an IC expression generator develops a number of IC expression trees from the HDL. A matcher compares the plurality of IC expression trees with library expression trees derived from the datapath library to provide a map of matches, and a synthesizer provides a netlist including both sequential datapaths and combinational datapaths according to the map.

Description

METHOD AND APPARATUS FOR SYNTHESIZING DATAPATHS FOR INTEGRATED
CIRCUIT DESIGN AND FABRICATION
Description
Cross Reference to Related Applications
This application is a continuation-in-part (CIP) of co-pending U.S. patent application serial number 07/877,951, filed May 1, 1992 on behalf of Mossaddeq et al., entitled
"Improved Datapath Synthesis Method and Apparatus Utilizing a Structured Cell Library", assigned to the assignee of the present application, and incorporated herein by reference in its entirety.
Background Art
The present invention relates generally to synthesis of integrated circuits and more particularly to synthesis of datapaths in integrated circuits.
The development of the integrated circuit (IC) chip has been integral to the improvement and advancement of many industries. As the complexity and functionality of these ICs has increased, their incorporation into a variety of products has likewise increased. While in some situations, a designer is able to use standard ICs for a desired application, in other situations standard chips are unable to meet the precise needs of a designer. In such situations, designers often turn to an application specific integrated circuit (ASIC).
ASICs allow a designer to design a circuit with specifications and functionality closely tailored to their desired product application, without having to conform their product to the feature and performance limits of a standard chip. Typically, ASIC production begins with a set of circuit specifications. This design is then written in a hardware description language (HDL), such as VHDL® , the IEEE standard. The description is then "synthesized", i.e., a computer program uses the set of circuit specifications encoded in an
HDL to design the circuitry of the IC. Next, the behavior of the circuit is verified and, ultimately, a circuit layout is created. From the layout, a mask is formed and used for the IC chip (ASIC) production.
In copending U.S. Patent Serial No. 07/877,951, referenced above, a datapath synthesizer converts an HDL circuit specification into a datapath netlist. The behavioral description of the specified circuit is divided into two distinct parts: datapath logic and random ("control") logic. The random logic is implemented using gates from a gate library (for example a standard cell or gate array library) using a logic synthesizer. The datapath logic is optimally synthesized using a datapath synthesizer having a library of datapath components ("cells").
The problem encountered is how to optimally synthesize an IC HDL specification. Previously, datapath synthesis tools were able to map HDL specification only to combinational datapath components and memory elements (such as flip-flops and latches) in the datapath library. Previous datapath synthesis tools could not map HDL specifications implying sequential logic to sequential components in the library. Instead, combinational components and memory elements such as (such as flip-flops and latches) were used.
For example, if a counter (which is sequential logic) was implied by the HDL specification, and a counter component (which is a sequential component) existed in the library, previous tools were unable to map the counter component. Instead, a counter was built using an adder or incrementor and a flip-flop register, which tended to be a non-optimal solution.
Disclosure of the Invention
The present invention includes a datapath synthesizer which maps a HDL specification to both combinational components and sequential components available in a datapath library. This permits datapaths to be optimized to a greater degree than was previously possible.
For example, if a counter (sequential logic) was implied by the HDL specification, and a counter component (a sequential component) existed in the library, previous tools were unable to map the counter component. Instead, a counter was built using an adder (or an incrementor) and a flip-flop register. Using the invention described here, a counter component is used instead. This allows for better integrated circuit optimization.
A method for fabricating an integrated circuit in accordance with the present invention includes the steps of: (a) developing a set of circuit specifications for an integrated circuit; (b) encoding the circuit specification in a hardware description language (HDL) and inputting the hardware description language into a digital computer; (c) synthesizing a netlist that can include sequential components derived from a datapath library stored on the computer; and
(d) fabricating an integrated circuit as specified by the netlist. The synthesization step preferably includes the steps of: (a) parsing the HDL into a controlled data flow graph (CDFG); (b) generating an IC expression tree for each output of the IC in terms of primary inputs and constraints using the CDFGs; (c) partitioning each IC expression tree into a random logic category and a datapath category; (d) synthesizing random logic using random logic synthesis to create a random logic netlist; (e) synthesizing datapath using datapath synthesis to create a datapath netlist including both sequential components and combinational components; and (f) combining the datapath netlist and random logic netlist to develop an IC netlist.
A method for datapath synthesis includes the steps of: (a) providing a datapath library including sequential components and combinational components; (b) developing a set of circuit specification for an integrated circuit; (c) encoding the set of circuit specifications in a hardware description language; (d) developing a number of IC expression trees derived from the hardware description language; (e) matching the IC expression trees with library expression trees derived from the datapath library to provide a map of matches; and (f) synthesizing both sequential and combinational components according to the map to create a datapath netlist. Preferably, the steps of generating a number of IC expression trees includes the steps of parsing the hardware description language into control data flow graphs (CDFGs), and generating an IC expression tree for each output of the IC in terms of primary inputs and constraints.
In the present invention, behavior of the sequential components and combinational components of the datapath library are written in the same hardware description language that is used for the IC specifications, and the step of developing the library expression trees includes the steps of parsing the hardware description language of the sequential components and combinational components of the datapath library, and generating an expression tree for each output of each of the sequential components and combinational components in the datapath library.
A datapath synthesizer in the present invention includes a digital processor, memory coupled to the digital processor, and a datapath library stored in the memory, where the datapath library includes both sequential components and combinational components. An input device is provided to input the HDL that encodes the circuit specifications for a desired integrated circuit into the memory of the system. An IC expression tree generator develops, using the digital processor, a number of IC expression trees derived from the HDL, and a matcher compares, using the digital processor, the IC expression trees with library expression trees derived from the datapath library to provide a map. Finally, a synthesizer creates a datapath netlist using both the sequential datapaths and combinational datapaths according to the map.
The method and apparatus of the present invention are therefore advantageous in that a more optimal IC design can be produced. This is because sequential logic can be synthesized in a datapath synthesizer which uses an optimized library of sequential components and optimization criteria designated by a circuit designer. It is therefore possible to design smaller, faster, and less expensive integrated circuits than was possible with previous synthesis techniques.
These and other advantages of the present invention will become apparent upon reading the following detailed descriptions and studying the various figures of the drawings. Brief Description of the Drawings
Fig. 1 is a flow diagram illustrating a process for producing an integrated circuit in accordance with the present invention;
Fig. 2a is a block diagram of a computer system used to implement a portion of the process illustrated in Fig. 1 ;
Fig. 2b is a block diagram of a system for producing an integrated circuit in accordance with the process of Fig. 1 ;
Fig. 3 is flow diagram illustrating the "Synthesize Including Datapath Structures" step of Fig. 1;
Fig. 4 is an example of hardware description language (HDL) used in the present invention;
Fig. 5a illustrates the "Generate Expression Tree For Each Output From Each CDFG" step of Fig. 3 as applied to combinational logic;
Fig. 5b illustrates the "Generate Expression Tree For Each Output From Each CDFG" step of Fig. 3 as applied to sequential logic;
Fig. 6 is an illustration of the datapath library including both sequential components and combinational components; Fig. 7 is a flow diagram illustrating the "Synthesize Datapath Using Datapath Synthesis" step of Fig. 3;
Fig. 8 is a flow diagram illustrating the "Match Expression Trees...." step of Fig. 7;
Fig. 9 is an example of an IC expression tree;
Fig. 10 is an illustration of components within the datapath library including both combinational components and a sequential component;
Fig. 11 is a table used to describe the operation of the process of Fig. 8 in conjunction with the examples of Figs. 9 and 10;
Fig. 12 is a cyclic IC expression tree for an up-down counter;
Fig. 13a is a library expression tree for a counter component;
Fig. 13b is a library expression tree for an incrementor-decrementor component;
Fig. 13c is a library expression tree for an incrementor component;
Fig. 13d is a library expression tree for a decrementor component; Fig. 13e is a library expression tree for a multiplexor component; and
Fig. 13f is a library expression tree for a D Flip-Flop (DFF) component.
Best Modes for Carrying out the Invention
Figure 1 illustrates a method 10 in accordance with the present invention for producing an integrated circuit (IC) 11. The method begins in step 12 with the development of the circuit specifications to implement a desired design. For example, required inputs and outputs are specified, as are speed and other performance parameters. After creating the circuit specifications, the process continues with step 14 and a hardware description is written by a circuit designer in a hardware description language (HDL) such as the IEEE Standard VHDL® (VHSIC Hardware Description Language), or Verilog®, a standard from Open Verilog International. Once the circuit is specified, the functionality may then be verified in step 16 by logic simulators which operate directly on the HDL. The process then proceeds with step 18 with the synthesis of the specified circuit including data path structures from the HDL. Once the synthesis is completed, the resulting netlist for the circuit is verified for proper behavior and functionality in step 20 by a logic simulator which operates on the netlist. With the circuit functionality verified, the process continues with layout of the circuit in step 22. For example, the layout could be a gate array layout, a standard cell based layout, or a bit-slice layout. Once the circuit layout is completed, a mask of the layout is created in step 24. This mask is then used to fabricate the integrated circuit (IC) chip 11 in a step 26. Steps 12-16 and 20-26 are well known to those skilled in the art.
Figure 2a is a block diagram of a computer system 28 used to implement at least part of process 10. This system includes a digital processor or central processing unit (CPU) 30, a keyboard input device 32, a monitor output device 34, read-only memory (ROM) 36, random-access memory (RAM) 38, mass storage 40, and removable storage 42. As used herein "memory" will refer collectively to any form of memory accessible by the CPU 30, including, but not limited to, ROM 36, RAM 38, mass storage 40, and removable storage 42.
Keyboard 32 is a preferred user input device for entering HDL 33 for use by the CPU 30, but other devices and input methods are well known to those skilled in the art. Mass storage 40 is typically a hard disk storage unit capable of storing many megabytes of data in a non-volatile fashion. Removable storage device 42 is typically a floppy disk unit used to transfer data to and from computer system 28.
An example of a computer system 28 suitable for use with the present invention is an HP 700 Series workstation, available from Hewlett-Packard, Cupertino, CA or a SUN SPARC workstation available from Sun Microsystems, Inc., of Mountain View, CA. Of course, other computer systems that are capable of supporting integrated circuit synthesis are also suitable.
In Fig. 2b, a blo r1 diagram of a system 44 for producing an IC 11 in accordance with the present invention. The specification of IC 11 are input as HDL 43 into the computer system 28. A mask generator 46 creates a set of IC masks from mask generation data provided by computer system 28. The mask generator 46 can either be implemented as a part of the computer system 28 or as a separate system. An example of a suitable mask generator in machine form is MEBES® available from Mebes, Inc. An example of a mask generator in software form is DRACULA® available from Cadence Design Systems, Inc., of Santa Clara, California. This mask generator 46 fabricates masks which are then used with semiconductor manufacturing equipment 48 during the production of the IC 11. Semiconductor manufacturing equipment 48 typically includes such items as stepper lithography units available from Cannon, Inc. and Nikon, Inc. of Japan, among others, which directly utilize the mask sets for photolithography processes.
At this point, some definitions will be useful. "Combinational logic" includes one or more logic elements connected in such a fashion that the output(s) of the combinational logic depend only on the current input(s). Examples of combinational logic include adders, incrementors, etc. Combinational logic implies no internal memory. Components exhibiting combinational logic are known as "combinational components."
In contrast, "sequential logic" implies memory. The output(s) of sequential logic depend upon both upon its input(s) and its current state as stored in the memory element(s). "Current state" implies information about previous states and previous inputs. Examples of sequential logic include counters and accumulators. Components exhibiting sequential logic are referred to as "sequential components."
The memory elements for sequential logic can include a D Flip-Flop (DFF) component or a latch component. A DFF has one primary input "d", one primary output "q", and a clock input "elk." It optionally also has synchronous and/or asynchronous reset inputs. At either a rising or falling edge of the clock input (depending upon the type of DFF), the input d is transferred to the output q, creating a "state" of the DFF. The output q remains at that state until the next clock input.
In contrast to a DFF, a latch component has a primary input "d", a primary output "q", and an enable input "e." It optionally also has synchronous and/or asynchronous reset inputs. When the enable input e is activated, the input d is passed to the output q. The input d will continue to be passed to the output q until the enable input e is disabled, i.e. the latch is "latched." At that time, output q will remain steady, creating a "state" of the latch.
Sequential logic can be made by combining combinational logic with memory elements, such as DFFs or latches. The "state" of the memory element is used as one of the inputs to the sequential logic such that the output(s) of the sequential logic is dependent upon both its inputs and its current state.
In Fig. 3, the step 18 "Synthesize Including Datapath Structure" of process 10 of Fig. 1 is illustrated in greater detail. The process 18 begins at 50 and in a step 52, the hardware description language (HDL) provided by a user to define the circuit specifications of the integrated circuit 11 is parsed to a control data flow graph (CDFG). As it is well know to those skilled in the art, a CDFG is a graph with nodes and directed edges. Nodes represent actions or assignments, and the edges represent transfer of control from one node to another. Each edge is associated with a condition under which control passes from one node to another. A reference describing CDFGs is found in "On Optimal Extraction of Combinational Logic and Don't Care Sets from Hardware Description Languages", G.
Colon-Bonet et al.. ICCAD 1989.
Next, in a step 54, an expression tree is generated for each output from the CDFG. As is well known to those skilled in the art, an expression tree has a root node, a set of intermediate nodes, leaf nodes and a set of directed edges that point from one node to another. A leaf node represents a primary input or a constant. An intermediate node represents an operation. The associated operation is applied to the nodes to which edges of the intermediate node are pointing. Examples of such operations in the present invention are "+","-","*","AND","IF", "DFF" and "LATCH." The DFF and LATCH nodes are memory nodes that store a state.
The methods for generating expression trees from CDFG are well known to those skilled in the art. In general, the expression trees fall into two categories: 1) acyclic expression trees corresponding to combinational logic; and 2) cyclic expression trees corresponding to sequential logic. Acyclic expression trees derive their name from the fact that there are no feed-back loops or "cycles" in the expression tree, as opposed to cyclic expression trees which include such feed-back loops. In the present invention, for all properly described synchronous circuits, the cycles in cyclic expression trees always pass through one or more memory nodes (DFFs or latches). Therefore, all feedback occurs in sequential logic in the present implementation. An acyclic expression tree is also referred to in the literature as a directed acyclic graph (DAG). The G. Colon-Bonet et al. reference cited above describes expression trees and their derivation from CDFGs.
In a step 56, the expression tree is partitioned. By "partitioning", it is meant herein that the expression trees are categorized into datapath types and random logic types. The datapath is generally more complex and is composed of operations that are likely to be stored in the datapath library. Examples of datapath types are multi-bit operations (such as 16-bit multiplier, adder, counter, etc.). Generally, optimized datapath cells corresponding to such operations are available in the library. Simpler logic and left-over logic can be categorized as a random logic type which does not require datapath optimization and, therefore, would not be stored within the datapath library as a component.
The random logic type expression trees are sent to a random logic synthesizer which uses a gate library to produce random logic. Such synthesis is well known to those skilled in the art. The output of the "Synthesize Random Logic Using Logic Synthesis" step 58 is a random-logic netlist including a specification of gates and their interconnections.
Datapath-type expression trees undergo a process 60 which synthesizes the datapath using datapath synthesis techniques. As will be discussed in greater detail subsequently, a datapath library is used to match against the IC expression trees and, after optimization, a datapath netlist is produced by this step 60. The random-logic netlist and the datapath netlist are combined in a step 62 to provide the an IC netlist. Process 18 then ends at 64.
In Fig. 4, an example of a hardware description language representation of a circuit specification is shown. As it is well known to those skilled in the art, HDL is a relatively high-level language which allows circuit specifications to be defined in terms of input, output, and various parameters such as clock speed, register types, etc. In the example of Fig. 4, three distinct sections of HDL are shown. In a section 68, a definition is made of a part including in inputs "a" and "b", and output "x", and a 16-bit up-down counter having an output "count" dependent upon inputs "Clk" and "increment." In section 70, the output x is assigned the value of a or b, which is simply combinational logic. In section 72, the 16-bit up-down counter is described in HDL. This up-down counter described in section 72 is a complex sequential logic device.
Using the example of the hardware description language 66 of Fig. 4, and referring again to the process 18 of Fig. 3, the HDL 66 is parsed in a step 52 into control data flow graphs (CDFGs), again by techniques well known to those skilled in the art. These CDFGs are then converted into expression trees in a step 54 for each output from the CDFG. The expression tree defined by section 70 of Fig. 4 is shown at 74 in Fig. 5a. Here the expression tree for output x is defined by an OR node. This node had edges that point to leaf nodes "a" and "b."
In Fig. 5b, the expression tree for section 72 of the HDL shown in Fig. 4 as produced by process 54 of Fig. 3 is as illustrated at 76. Since the expression tree 76 represents sequential logic, it includes a device which stores a current state which is used as a feed-back input to the logic In this instance, the state-storing device is a D-type Flip-Flop (DFF) which holds a value "count" at its q output. The DFF is clocked by the signal Clk and has a d input. The d input of the DFF is coupled to a decision (i.e. "If") node which determines whether the input signal "increment" is equal to 1 and, if it is, it develops a signal at the d input of the DFF equal to "count+1", i.e. the variable "count" is incremented by one. If the input signal "increment" is not equal to 1, a signal is provided to the d input of DFF equal to "count-1", i.e. the count is decremented by one.
It should be noted that this expression tree 76 is cyclic since the output "count" is also an input "count" to the expression tree 76 as indicated by the broken line 78. In the past, cyclic expression trees were not optimally mapped. See, for example, "DAGON: Technology Binding and Local Optimization by DAG Matching.", K. Keutzer. 24th DAC, 1987, pp 341-347. It should also be noted that the expression tree 76 is a sixteen bit expression tree, implying a 16-bit up-down counter.
In Fig. 6, a datapath library 80 is illustrated. The datapath library includes sequential components including a DFF register, an accumulator, a counter, an incrementor, a decrementor, an incrementor/decrementor, etc. as well as combinational components including an adder, a subtractor, etc. The term "component" as used herein is also referred to as a "cell" in the industry. Therefore "cell" and "component" will be used somewhat interchangeably herein when referring to the entries in the datapath library.
In the present invention, the functionality of various datapath components in the library is described using the same hardware description language (HDL) that was used to defined the IC specification. Therefore, a datapath component can be processed using the same parsing and expression tree generation algorithms as were used to process the IC specification HDL.
It should be noted that since the datapath library 80 includes both sequential and combinational components, the datapath netlist can include both sequential and combinational components. This is an important feature of the present invention since, in the past, sequential logic was inefficiently implemented by combining combinational components with memory components (such as DFFs and latches). In the present invention, optimized sequential components can be inferred, which provides a considerable degree of optimization in contrast to implementing sequential logic using combinational components and memory components (DFFs and latches), as in the prior art.
In Fig. 7, the "Synthesize Datapath Using Datapath Synthesis" of step 60 of Fig. 3 is discussed in greater detail. The process 60 begins at 82 and, in a step 84, the HDL of the datapath library is parsed. As described previously, the datapath library includes both sequential components and combinational components. This parsing step 84 is analogous to the parsing step 52 which is performed on the HDL describing the IC specifications. The output of the parsing step is a number of control data flow graphs (CDFGs) representing the datapath components from the datapath library.
Next, in a step 86, an expression tree is generated for each output of each CDFG produced by step 84. Again, this step is analogous to the step 54 of Fig. 3 where an expression tree is created for each output of each CDFG developed from the HDL used to encode the circuit specifications.
It should be noted that steps 84 and 86 can be skipped by simply storing the expression trees for each output of each CDFG of each datapath component in the datapath library. However, this will consume considerable storage space, and therefore is not desirable. Since steps 84 and 86 are not particularly computationally expensive, it has been found that steps 84 and 86 can be repeated once every session without substantially adversely affecting the speed of operation of the system.
Process 60 of Fig. 7 continues with step 88 wherein the IC expression trees are matched against the expression trees of the datapath library, and the wherein of a map indicating these matches is developed. A final step in process 60 is a step 90 wherein a netlist is created using the map created by step 88 and optimization criteria. Optimization criteria are well known to those skilled in the art and includes such criteria as circuit area, circuit speed, circuit power, and circuit delay characteristics. In other words, since there are likely multiple maps from the datapath library to the expression trees for the desired integrated circuit, a map is chosen which provides the best compromise to a given set of optimization criteria. Often, the optimization criteria places a high emphasis on minimum circuit area (i.e. the most compact circuit implementation) which meets timing requirements. Therefore, the various maps are examined for those solutions which provide the minimum IC die area. If the timing of the selected map meets the timing requirements, then that map is finally selected. K. Keutzer. supra, is again useful background art in understanding this process.
In Fig. 8, the step 88 of Fig. 7 is illustrated in greater detail. The process 88 is a recursive process for mapping all of the datapath library expression trees against each of the IC expression trees specified by the circuit designer's HDL. Of course, as it is well known to those skilled in the art, other recursive methods and non-recursive methods can also be used to create such mappings.
Process 88 begins at 94 and, in a step 96, a variable "node" is assigned the value "top", which is the root node (top) of an IC expression tree. In the next step 97, the node is marked as "visited." This is important, since expression trees in the present invention are cyclic and a node that has been processed might be visited again. Next, in a step 98, all matches from this top node into the datapath library are obtained and pushed onto a first stack referred to as a "match" stack. Next, in a step 100, it is determined whether the match stack is empty. If not, a step 102 pops the top match from the match stack, finds the terminals of the match, and pushes these terminals onto a second stack known as a "terminal" stack.
Terminals are the bottom nodes of a match. A terminal can be an intermediate node or a leaf node. Next, in a step 104, it is determined whether the terminal stack is empty. If not, a step 106 pops the terminal from the terminal stack and sets the node equal to that terminal node. A step 108 determines whether the node is a leaf node of the expression tree or if it has already been visited. If so, the process control returns to step 104. If not, process control is returned to step 97.
Note that in step 108 that there is a check to determine whether a node has already been visited. This is necessary since the expression trees of the present invention have cycles. Nodes visited once can be visited again. However, these nodes are processed only once.
When the terminal stack is determined to be empty by step 104, process control is returned to step 100. When the match stack is determined to be empty by step 100, the process 88 is determined to be complete as indicated at 110.
In the following examples, the operation of the present invention is illustrated. More particularly, the mapping of sequential logic to sequential components is illustrated by way of example. Example 1 is a simple example which illustrates how the process of the present invention works on combinational logic. Example 2 illustrates how the present invention maps sequential logic to sequential components, in addition to mapping combinational logic. EXAMPLE 1
The process 88 of Fig. 8 will be described in terms of an example with reference to Fig. 9, 10, and 11. In Fig. 9, an IC expression tree is shown having six nodes labeled Nl, N2....N6. Note that the IC expression tree is acyclic in that there are no cycles present in the expression tree. In Fig. 10, four datapath library components labeled (a), (b), (c), and (d) are illustrated as datapath trees. Library expression trees (a)-(c) represent combinational components since there is no feed-back between nodes. Datapath tree (d) represents a sequential component because it provides a feed-back path between the top or output node and a node which is in the flow path to the output node. Therefore, the expression tree (d) represents a component that is dependent upon its own previous output state, such as an accumulator, incrementor, etc.
As used herein, a root or top node is the output node of the expression tree. For example, in Fig. 9, node Nl is the top or output node. Leaf nodes are the bottom-most nodes of an expression tree and are primary inputs of the IC expression tree. In Fig. 9, nodes N4, N5, and N6 are leaf nodes. Intermediate nodes are located between the top nodes and the leaf nodes and correspond to nodes N2 and N3 of Fig. 9.
Using Fig. 9 as an example, the process 88 of Fig. 8 is illustrated by means of a table 112 in Fig. 11. A first column of the table 112 indicates the step during the execution of process 88. A step with a later letter follows a step with an earlier letter. A second column of table 112 indicates the contents of the match stack under different steps, a third column holds the contents of the terminal stack the different steps, and the fourth column of table 112 indicates the map as it is being built under the different steps.
As used herein, the term "stack" will refer to any method or apparatus for providing a last-in first-out (LIFO) stack. As is well known to those skilled in the art, a items can be "pushed" onto a LIFO stack, and then "popped" from the LIFO stack in the reverse order than in which they were pushed. These stacks can be provided in specialized hardware registers, but are preferably implemented in the memory of computer system 28 and managed by a recursive algorithm. For example, the match stack and terminal stack can comprise storage locations within RAM 38 which are managed by a recursive algorithm.
Referring now to Figs. 8-11, when the process 88 begins, the variable "node" is set to "top", which corresponds to node Nl of Fig. 9. Next the node is marked in a step 97. In step 98, all matches to node Nl are found and pushed onto the match stack. As noted in step A on Fig. 11, the two matches from the datapath library of Fig. 10 are components (b) and (c) which are pushed onto the match stack. Since the match stack is not empty as determined by step 100 of Fig. 8, the top match is popped from the match stack and its "terminal" nodes are found and pushed onto the terminal stack. The top match is component (c) and, therefore, the terminal nodes of component (c), which correspond to nodes N4, N5, and N6 of the expression tree of Fig. 9 are pushed onto the terminal stack, and this state is indicated as step B in table 112. Since all of nodes N4-N6 are leaf nodes as determined in step 108, the terminals N4-N6 are popped from the terminal stack by step 106 until the terminal stack is empty as determined by step 104, and then process control is returned to step 100. This is illustrated in a step C in table 112 wherein the match stack still includes the match (b) but the map now includes datapath components (c) as a map to the expression tree of Fig. 9.
Since the match stack is not empty as determined by step 100, the next match from the match stack is popped, and its terminal nodes are found and pushed onto the terminal stack. This is illustrated in step D in table 112 wherein the match stack is now empty and the terminal stack includes terminal nodes N3 and N4 corresponding to the mapping of datapath component (B) to the expression tree of Fig. 9. Since the terminal stack is not empty as determined by step 104, the top terminal N4 is popped from the stack and set to the node.
This step is indicated at step E of table 12. Since node N4 is a leaf node, the last node N3 is popped from the terminal stack, and step 108 determines that this node N3 is not a leaf node. Therefore, all matches to the node N3 are found in step 98 and pushed onto the match stack. As seen at step F in Fig. 11, this pushes datapath library component (a) onto the match stack. Step 102 then pushes the terminals N5 and N6 onto the terminal stack and removes the match
(a) from the match stack, as indicated by step G of table 112. Processes 104-108 are then executed until both of nodes N5 and N6 have been popped from the terminal stack (because they are both leaf nodes) at which time process control returns to step 100. Since the match stack is empty at this point, as indicated in step H, the process is completed at step 110. The map, at this point, includes a first map which maps datapath library component (c) to the expression tree of Fig. 9, and a second map which maps the combination of datapath library components (b) and (a) also to the expression tree of Fig. 9.
Therefore, in this example, two maps from the datapath library to the IC expression tree are possible. At this point, an optimization process is used to determine which of these two maps best meets the needs of the IC designer. It is likely that the map (c) is the best fit, since it matches the expression tree of Fig. 9 exactly and, therefore, is probably highly optimized for that particular function. However, it is possible that the optimization process will choose the map comprising the combination of (b) and (a) as being more desirable because, for example, of other criteria such as timing requirements.
EXAMPLE 2 Consider the following example that represents the behavior of an up-down counter. This example illustrates how a cyclic expression tree that represents sequential logic is mapped to sequential components in the datapath library. Such mapping to sequential components was not possible in previous tools.
The HDL description of an up-down counter is found in Listing 1, and its IC expression tree is shown in Fig. 12.
Li ting 1: HDL Of An Up-Down Counter
IC SPECIFICATION:
entity ic is port (elk, increment : bit; sum : out bit_vector(15 do nto 0) ); end ic;
architecture spec of ic is begin process (elk) begin if elk'event and elk = '1' then if increment = ' 1 ' then sum <= sum + 1; else sum <= sum - 1; end if; end if; end process; end spec;
Note that feedback exists in this example as seen in broken lines in Fig. 12. The variable "sum", which is the output of the expression tree, is also an input of the expression tree. This is illustrated by node Nl of the expression tree. Such feedback is allowed as long as a memory node or element (in this case DFF) breaks the feedback loop. In the prior art, this expression tree would be mapped to a register, an incrementor, a decrementor and a multiplexor. The incrementor, decrementor and the multiplexor could be replaced by a single incrementor-decrementor datapath component, if one was available in the library. Even if the library had a counter component that implements the above expression tree, the prior art tools would be unable to map it to that component.
The present invention works not only on counters but also on any other sequential component in the library such as accumulators, multiplier-accumulators, multiplexed flip- flops, and registers with control signals such as synchronous reset, synchronous load, and clock enables. All of these components include both combinational logic and memory components.
In the present invention, a comparison of the expression tree of the HDL description being implemented is made with the expression tree for the components ("cells") available in the library. When a match is found, the appropriate component is used. This approach does not place any restriction on mapping memory elements and combinational parts of the expression tree separately.
Assume that components are available in the library as represented by the library expression trees of Figs. 13a, 13b, 13c, 13d, 13e, and 13f, which correspond to library expression trees for a counter component, an incrementor-decrementor component, an incrementor component, a decrementor component, a multiplexor component, and a D Flip- Flop (DFF) component, respectively, in the library. By matching the IC expression tree for the up-down counter of Fig. 12 with the components in the library as illustrated by the trees of Figs. 13a-13f, a set of matches are obtained. The HDL descriptions corresponding to these tree are as follows:
Listing 2: HDL For Counter Component (Fig. 13a)
if el 'event and elk = '1' then if clk_en = ' 1' then if load = ' 1' then q out <= d; elsif sync_ctrl = ' 1' then q out <= sync_val; elsif inc_dec = ' 1' then q_out <= q_out + '1' ; else q_out <= q_out - ' 1 ' ; end if; end if; end if; Listing 3: HDL For Incrementor-Component (Fig. 13b)
i f inc = ' 1 ' then
Z <= A + ' 1 ' ; else
Z <= A - ' 1 ' ; end i f ;
Listing 4: HDL For Increment Component (Fig. 13c)
Z .<= A + ' 1 ' ;
Listing 5: HDL For Decrement Component (Fig. 13d)
Z <= A - ' 1 ' ;
Listing 6: HDL for multiplexor component (Fig. 13e)
if sel = ' 1 ' then Z <= A; else
Z <= B; end i f ;
Listing 7: HDL for D Flip-flop component (Fig. 13f)
if elk'event and elk = '1' then q <= d; end if;
When process 88 is applied to the up-down counter example of Fig. 12, the matches of Table 1 are obtained. In Table 1, a library tree will referred to as "LTX", where "X" is a number associated with the library tree. Therefore, library tree 1 (LT1) is shown in Fig. 13a, LT2 is shown in Fig. 13b, LT3 is shown in Fig. 13c, LT4 is shown in Fig. 13d, LT5 is shown in Fig. 13e, and LT6 is shown in Fig. 13f.
It should be noted that, in this example, node Nl represents the cycle in the graph. It is marked as "visited" after it is first visited. Thereafter, node Nl is not processed again even thought it has been pushed on the terminal stack, since it has already been visited, as explained in step 108 of process 88, above. Table 1 : Map Between IC and Library Expression Trees
Step Match Stack Terminal Stack Maps
1 LT1,LT6 -
2 LT6 N5,N1,N6
3 LT6 N1,N6
4 LT6 N6
5 LT6 -
6 LT6 - {LTD
7 N2 {LTD , LT6
8 LT2 , LT5 - {LTD ,LT6
9 LT5 N5,N1,N2
{LTl} ,LT6,LT2
10 LT5 N1,N2
{LT1},LT6,LT2
11 LT5 N2
{LT1},LT6,LT2
12 LT5 -
{LTl} , {LT6,LT2}
13 N3, N4
{LTD , {LT6,LT2} ,LT6,LT5
14 LT3 N4
{LTD , {LT6,LT2},LT6,LT5
15 N5, N1,N4
{LTl}, {LT6,LT2},LT6,LT5, ,LT3
16 Nl, N4
{LTl}, {LT6,LT2} ,LT6,LT5, ,LT3
17 N4
{LTD, {LT6,LT2},LT6,LT5, ,LT3
18 LT4 -
{LTl}, {LT6,LT2},LT6,LT5, ,LT3
19 Nl
{LTD, {LT6,LT2} ,LT6,LT5, ,LT3, ,LT4
20 Nl
{LTl } , {LT6 , LT2 } , LT6 , LT5 , ,LT3, ,LT4 21 -
{LTD , {LT6 , LT2 > , {LT6 , LT5 , LT3 , LT4}
Complete maps are found in steps 6, 12, and 21, and are bracketed by curly brackets " { " and " } " as seen above. As can be seen, the match found in step 6 maps up-down counter of Fig. 12 to the counter component in the library as shown in Fig. 13a. A single counter component can be used with the following mapping:
LISTING 8: Counter Component in HDL
q_out => sum elk => elk inc_dec => increment clk_en => ' 1 ' sync_ctrl => ' 0 ' load = '0'
In the prior art, matches could only be found as indicated in steps 12 and 21 of the table of Table 1. In step 12, the logic was synthesized as a DFF combined with an incrementor-decrementor. In step 21, the logic was synthesized as a DFF, a multiplexor, an incrementor, and a decrementor. Both of the implementations of steps 12 and 21 are less optimized than the counter component of Listing 2.
It should be understood that the present invention may be embodied in many other specific forms without departing from the spirit or scope of the invention. Therefore, the present examples are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope of the appended claims.

Claims

Claims
1 . A method for fabricating an integrated circuit comprising:
developing a set of circuit specifications for an integrated circuit;
encoding said set of circuit specifications in a hardware description language and inputting said hardware description language into a digital computer;
providing a datapath library including both sequential components and combinational components;
synthesizing from said hardware description language and on said computer a netlist including a sequential component derived from said datapath library; and
fabricating an integrated circuit as specified by said netlist.
2. A method for fabricating an integrated circuit as recited in claim 1 wherein said netlist further includes a combinational component.
3. A method for fabricating an integrated circuit as recited in claim 2 wherein said netlist further includes random logic.
4. A method for fabricating an integrated circuit as recited in claim 3 wherein said step of synthesizing a netlist comprises the steps of:
parsing said hardware description language into a control data flow graph;
generating an IC expression tree for each output of the control data flow graph;
partitioning each IC expression tree into a random logic category and a datapath category;
synthesizing random logic using logic synthesis;
synthesizing datapath using datapath synthesis; and combining said datapath and said random logic to form said netlist.
5. A method for fabricating an integrated circuit as recited in claim 4, wherein said step of synthesizing datapath comprises the steps of:
generating a component output expression tree for each output of each component in said datapath library;
matching each IC expression tree against each component output expression tree to create a map; and
creating a datapath netlist using said map and optimization criteria.
6. A method for fabricating an integrated circuit as recited in claim 5, wherein said library components are encoded in said hardware description language, and further comprising the step of parsing said library components into component control data flow graphs, wherein said step of generating a component output expression operates on said component control data flow graphs.
7. A method for fabricating an integrated circuit as recited in claim 6 wherein said optimization criteria include at least one of area, speed and delay criteria.
8. A method for fabricating an integrated circuit as recited in claim 7 wherein said matching step uses a recursive matching process to produce a set of matches between said library components and said IC expression tree.
9. A method for fabricating an integrated circuit as recited in claim 8 wherein said step of fabricating an integrated circuit comprises:
producing IC mask specifications based upon said netlist;
producing a plurality of IC masks from said mask specifications; and using said plurality of IC masks to fabricate said integrated circuit.
10. A method for datapath synthesis comprising:
providing a datapath library including sequential components and combinational components;
developing a set of circuit specifications for an integrated circuit;
encoding said set of circuit specifications in a hardware description language;
developing a plurality of IC expression trees derived from said hardware description language;
matching said plurality of IC expression trees with library expression trees derived from said datapath library to provide a map of matches; and
synthesizing both sequential components and combinational components according to said map.
11. A method for datapath synthesis as recited in claim 10 wherein said step of generating a plurality of IC expression trees includes the steps of:
parsing said hardware description language into a control data flow graphs; and
generating an IC expression tree for each output of said control data flow graphs.
12. A method for datapath synthesis as recited in claim 11 wherein said step of generating a plurality of IC expression trees further includes the step of:
partitioning said IC expression trees into a random logic category and a datapath category, where only said IC expression trees in said datapath category are subject to said matching step.
13. A method for datapath synthesis as recited in claim 12 further comprising the step of:
developing said library expression trees from said datapath library.
14. A method for datapath synthesis as recited in claim 13 wherein said sequential components and said combinational components of said datapath library are written in said hardware description language, and wherein said step of developing said library expression trees includes the steps of:
parsing said hardware description language of said sequential components and said combinational components; and
generating an expression tree for each output of each component in said library.
15. A method for datapath synthesis as recited in claim 12 wherein said step of matching implements a recursive matching process.
16. A method for datapath synthesis as recited in claim 13 wherein said recursive matching process utilizes a stack to recursively match all combinations of said components in said library with said IC expression tree.
17. A method for datapath synthesis as recited in claim 10 wherein said step of synthesizing includes the steps of:
creating a netlist according to both said map and to optimization criteria.
18. A method for datapath synthesis as recited in claim 17 wherein said optimization criteria include at least one of area, speed and delay criteria.
19. A datapath synthesizer comprising:
a digital processor;
memory coupled to said digital processor;
a datapath library stored in said memory, said datapath library including sequential components and combinational components;
an input device for inputting to said memory a set of circuit specifications for an integrated circuit encoded in a hardware description language;
an IC expression tree generator which develops, using said digital processor, a plurality of IC expression trees derived from said hardware description language;
a matcher which maps, using said digital processor, said plurality of IC expression trees with library expression trees derived from said datapath library to provide a map; and
a synthesizer, using said digital processor, to synthesize a netlist including both sequential components and combinational components according to said map.
20. A datapath synthesizer as recited in claim 19 further comprising:
a parser to convert, using said digital processor, said hardware description language into a control data flow graphs; and
a generator to produce, using said digital processor, an IC expression tree for each output of said control data flow graphs.
21. A datapath synthesizer as recited in claim 20 wherein IC expression tree generator includes:
a partitioner to separate, using said digital processor, said IC expression trees into a random logic category and a datapath category, where only said IC expression trees in said datapath category are subjected to said matcher.
PCT/US1995/007206 1992-05-01 1995-06-06 Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication WO1996002038A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US87795192A 1992-05-01 1992-05-01
US08/272,205 US5491640A (en) 1992-05-01 1994-07-08 Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication
US08/272,205 1994-07-08

Publications (1)

Publication Number Publication Date
WO1996002038A1 true WO1996002038A1 (en) 1996-01-25

Family

ID=26955363

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1995/007206 WO1996002038A1 (en) 1992-05-01 1995-06-06 Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication

Country Status (2)

Country Link
US (2) US5491640A (en)
WO (1) WO1996002038A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999039288A2 (en) * 1998-01-30 1999-08-05 Tera Systems, Inc. Method and system for creating optimized physical implementations from high-level descriptions of electronic design
US6152612A (en) * 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5628021A (en) * 1992-12-31 1997-05-06 Seiko Epson Corporation System and method for assigning tags to control instruction processing in a superscalar processor
JPH0765040A (en) * 1993-08-24 1995-03-10 Matsushita Electric Ind Co Ltd Function data interface method/device
US5764525A (en) * 1994-01-28 1998-06-09 Vlsi Technology, Inc. Method for improving the operation of a circuit through iterative substitutions and performance analyses of datapath cells
JPH07249062A (en) * 1994-03-11 1995-09-26 Hitachi Ltd Generating method for logic circuit
JP3165592B2 (en) * 1994-08-04 2001-05-14 松下電器産業株式会社 Data path automatic arrangement method and apparatus
US5572712A (en) * 1994-09-30 1996-11-05 Vlsi Technology, Inc. Method and apparatus for making integrated circuits with built-in self-test
JPH08101861A (en) * 1994-09-30 1996-04-16 Toshiba Corp Logic circuit synthesizing device
JPH08221451A (en) * 1995-02-17 1996-08-30 Matsushita Electric Ind Co Ltd Layout design method for data path circuit
US5666288A (en) * 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
US6026219A (en) * 1995-05-12 2000-02-15 Synopsys, Inc. Behavioral synthesis links to logic synthesis
JPH08320893A (en) * 1995-05-24 1996-12-03 Mitsubishi Electric Corp Device and method for logic synthesis, and semiconductor integrated circuit
US5815715A (en) * 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5726902A (en) * 1995-06-07 1998-03-10 Vlsi Technology, Inc. Method and apparatus for characterizing timing behavior of datapaths for integrated circuit design and fabrication
US5734866A (en) * 1995-07-18 1998-03-31 Xilinx Inc Set of functions for mapping into cell based integrated circuits with fixed number of inputs
US5841663A (en) * 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5774370A (en) * 1995-09-18 1998-06-30 Vlsi Technology, Inc. Method of extracting implicit sequential behavior from hardware description languages
US5809283A (en) * 1995-09-29 1998-09-15 Synopsys, Inc. Simulator for simulating systems including mixed triggers
JPH09106407A (en) * 1995-10-12 1997-04-22 Toshiba Corp Design supporting system
US5923569A (en) * 1995-10-17 1999-07-13 Matsushita Electric Industrial Co., Ltd. Method for designing layout of semiconductor integrated circuit semiconductor integrated circuit obtained by the same method and method for verifying timing thereof
US5774368A (en) * 1995-10-20 1998-06-30 Motorola, Inc. Controller structure template and method for designing a controller structure
US5801957A (en) * 1995-11-01 1998-09-01 Digital Equipment Corporation Implicit tree-mapping technique
US5838583A (en) * 1996-04-12 1998-11-17 Cadence Design Systems, Inc. Optimized placement and routing of datapaths
US5847969A (en) * 1996-05-01 1998-12-08 Hewlett-Packard Co. Integrated circuit design system and method for generating a regular structure embedded in a standard cell control block
US5930499A (en) * 1996-05-20 1999-07-27 Arcadia Design Systems, Inc. Method for mixed placement of structured and non-structured circuit elements
US5812417A (en) * 1996-06-24 1998-09-22 National Semiconductor Corporation Method and apparatus utilizing datapath line minimization to generate datapath floor plan for integrated circuit
JPH1021276A (en) * 1996-06-28 1998-01-23 Toshiba Corp Pattern matching method, timing analyzing method and its device
US6151568A (en) * 1996-09-13 2000-11-21 Sente, Inc. Power estimation software system
US5963454A (en) * 1996-09-25 1999-10-05 Vlsi Technology, Inc. Method and apparatus for efficiently implementing complex function blocks in integrated circuit designs
US6023567A (en) * 1996-10-07 2000-02-08 International Business Machines Corporation Method and apparatus for verifying timing rules for an integrated circuit design
US5946219A (en) * 1996-10-30 1999-08-31 Atmel Corporation Method and system for configuring an array of logic devices
US6113647A (en) * 1996-11-06 2000-09-05 Vlsi Technology, Inc. Computer aided design system and method using hierarchical and flat netlist circuit representations
US5960184A (en) * 1996-11-19 1999-09-28 Unisys Corporation Method and apparatus for providing optimization parameters to a logic optimizer tool
US5956256A (en) * 1996-11-19 1999-09-21 Unisys Corporation Method and apparatus for optimizing a circuit design having multi-paths therein
US6026220A (en) * 1996-11-19 2000-02-15 Unisys Corporation Method and apparatus for incremntally optimizing a circuit design
US5980092A (en) * 1996-11-19 1999-11-09 Unisys Corporation Method and apparatus for optimizing a gated clock structure using a standard optimization tool
US5864487A (en) * 1996-11-19 1999-01-26 Unisys Corporation Method and apparatus for identifying gated clocks within a circuit design using a standard optimization tool
US5974437A (en) * 1996-12-02 1999-10-26 Synopsys, Inc. Fast array multiplier
US5802075A (en) * 1997-01-16 1998-09-01 Unisys Corporation Distributed test pattern generation
US5912820A (en) * 1997-01-22 1999-06-15 Unisys Corporation Method and apparatus for distributing a clock tree within a hierarchical circuit design
US6701289B1 (en) 1997-01-27 2004-03-02 Unisys Corporation Method and apparatus for using a placement tool to manipulate cell substitution lists
US7076410B1 (en) 1997-01-27 2006-07-11 Unisys Corporation Method and apparatus for efficiently viewing a number of selected components using a database editor tool
US6754879B1 (en) 1997-01-27 2004-06-22 Unisys Corporation Method and apparatus for providing modularity to a behavioral description of a circuit design
US6708144B1 (en) 1997-01-27 2004-03-16 Unisys Corporation Spreadsheet driven I/O buffer synthesis process
US6684376B1 (en) 1997-01-27 2004-01-27 Unisys Corporation Method and apparatus for selecting components within a circuit design database
US6718520B1 (en) 1997-01-27 2004-04-06 Unisys Corporation Method and apparatus for selectively providing hierarchy to a circuit design
US6516456B1 (en) 1997-01-27 2003-02-04 Unisys Corporation Method and apparatus for selectively viewing nets within a database editor tool
US6910200B1 (en) 1997-01-27 2005-06-21 Unisys Corporation Method and apparatus for associating selected circuit instances and for performing a group operation thereon
US6185719B1 (en) * 1997-06-06 2001-02-06 Kawasaki Steel Corporation Pass-transistor logic circuit and a method of designing thereof
US6317863B1 (en) * 1997-09-30 2001-11-13 Synopsys, Inc. Method and apparatus for irregular datapath placement in a datapath placement tool
US5996034A (en) * 1997-10-14 1999-11-30 Advanced Micro Devices, Inc. Bus bridge verification system including device independent bus monitors
US6135647A (en) * 1997-10-23 2000-10-24 Lsi Logic Corporation System and method for representing a system level RTL design using HDL independent objects and translation to synthesizable RTL code
US5949993A (en) * 1997-10-31 1999-09-07 Production Languages Corporation Method for the generation of ISA simulators and assemblers from a machine description
US6289497B1 (en) 1997-12-11 2001-09-11 Intrinsity, Inc. Method and apparatus for N-NARY hardware description language
US6367065B1 (en) 1997-12-11 2002-04-02 Intrinsity, Inc. Method and apparatus for N-Nary logic circuit design tool with precharge circuit evaluation
US6345381B1 (en) * 1997-12-11 2002-02-05 Intrinsity, Inc. Method and apparatus for a logic circuit design tool
US6922659B2 (en) * 1998-02-26 2005-07-26 Micron Technology, Inc. Parameter population of cells of a hierarchical semiconductor structure via file relation
US6449757B1 (en) * 1998-02-26 2002-09-10 Micron Technology, Inc. Hierarchical semiconductor design
US6237129B1 (en) 1998-03-27 2001-05-22 Xilinx, Inc. Method for constraining circuit element positions in structured layouts
US6216258B1 (en) * 1998-03-27 2001-04-10 Xilinx, Inc. FPGA modules parameterized by expressions
US6430732B1 (en) 1998-03-27 2002-08-06 Xilinx, Inc. Method for structured layout in a hardware description language
US6292925B1 (en) 1998-03-27 2001-09-18 Xilinx, Inc. Context-sensitive self implementing modules
US6243851B1 (en) 1998-03-27 2001-06-05 Xilinx, Inc. Heterogeneous method for determining module placement in FPGAs
US6260182B1 (en) 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
US6961690B1 (en) 1998-05-19 2005-11-01 Altera Corporation Behaviorial digital simulation using hybrid control and data flow representations
US6697773B1 (en) * 1998-05-19 2004-02-24 Altera Corporation Using assignment decision diagrams with control nodes for sequential review during behavioral simulation
US6308147B1 (en) * 1998-05-21 2001-10-23 Hewlett-Packard Company Data structure synthesis in hardware using memory transaction translation techniques
GB9814015D0 (en) * 1998-06-29 1998-08-26 Sgs Thomson Microelectronics Design of an application specific processor (ASP)
US6237007B1 (en) * 1998-07-02 2001-05-22 Micron Technology, Inc. Verification of port list integrity in a hardware description language file
US6137546A (en) * 1998-07-20 2000-10-24 Sony Corporation Auto program feature for a television receiver
US6336087B2 (en) * 1998-07-24 2002-01-01 Luc M. Burgun Method and apparatus for gate-level simulation of synthesized register transfer level design with source-level debugging
US6240376B1 (en) 1998-07-24 2001-05-29 Mentor Graphics Corporation Method and apparatus for gate-level simulation of synthesized register transfer level designs with source-level debugging
US6237101B1 (en) 1998-08-03 2001-05-22 International Business Machines Corporation Microprocessor including controller for reduced power consumption and method therefor
US6862563B1 (en) 1998-10-14 2005-03-01 Arc International Method and apparatus for managing the configuration and functionality of a semiconductor design
US20060168431A1 (en) * 1998-10-14 2006-07-27 Peter Warnes Method and apparatus for jump delay slot control in a pipelined processor
US6195776B1 (en) * 1998-11-02 2001-02-27 Synopsys, Inc. Method and system for transforming scan-based sequential circuits with multiple skewed capture events into combinational circuits for more efficient automatic test pattern generation
US6601024B1 (en) 1998-11-12 2003-07-29 Synopsys, Inc. Code translation between hardware design languages
US6282693B1 (en) * 1998-12-16 2001-08-28 Synopsys, Inc. Non-linear optimization system and method for wire length and density within an automatic electronic circuit placer
US6301693B1 (en) * 1998-12-16 2001-10-09 Synopsys, Inc. Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer
US6077305A (en) * 1998-12-16 2000-06-20 Cadence Design Systems, Inc. Latch inference using dataflow analysis
US6334205B1 (en) 1999-02-22 2001-12-25 International Business Machines Corporation Wavefront technology mapping
US6584605B1 (en) * 1999-04-15 2003-06-24 Sycon Design, Inc. Method for forming a relative placement of components of an integrated circuit using a structural similarity group
US6446243B1 (en) 1999-04-23 2002-09-03 Novas Software, Inc. Method for functional verification of VLSI circuit designs utilizing reusable functional blocks or intellectual property cores
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6560754B1 (en) 1999-05-13 2003-05-06 Arc International Plc Method and apparatus for jump control in a pipelined processor
US6453448B1 (en) * 1999-06-03 2002-09-17 Micron Technology, Inc. Functional level configuration of input-output test circuitry
US6591231B1 (en) * 1999-07-02 2003-07-08 Agere Systems Inc. Method for identifying cyclicity in circuit designs
US6336206B1 (en) * 1999-09-27 2002-01-01 Synopsys, Inc. Method and apparatus for structural input/output matching for design verification
US7143020B1 (en) 1999-10-07 2006-11-28 Cadence Design Systems, Inc. Method and system for generic inference of sequential elements
GB2364798B (en) 1999-12-03 2004-04-28 Sgs Thomson Microelectronics A processing method
US6792585B1 (en) 2000-01-26 2004-09-14 Arcadia Design Systems, Inc. Method and apparatus of relative datapath cell placement with structure bonding
JP3722351B2 (en) * 2000-02-18 2005-11-30 シャープ株式会社 High level synthesis method and recording medium used for the implementation
AU2001243463A1 (en) * 2000-03-10 2001-09-24 Arc International Plc Memory interface and method of interfacing between functional entities
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
US6546532B1 (en) 2000-06-20 2003-04-08 Unisys Corporation Method and apparatus for traversing and placing cells using a placement tool
US7176942B2 (en) * 2001-03-23 2007-02-13 Dassault Systemes Collaborative design
US7283136B2 (en) * 2001-03-23 2007-10-16 Dassault Systemes Cell descriptor
US6560761B1 (en) * 2001-03-29 2003-05-06 Lsi Logic Corporation Method of datapath cell placement for bitwise and non-bitwise integrated circuit designs
US6598215B2 (en) * 2001-03-30 2003-07-22 Intel Corporation Datapath design methodology and routing apparatus
US6983427B2 (en) * 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US6742174B1 (en) * 2001-10-19 2004-05-25 Cadence Design Systems, Inc. Similarity-driven synthesis for equivalence checking of complex designs
US7493470B1 (en) 2001-12-07 2009-02-17 Arc International, Plc Processor apparatus and methods optimized for control applications
US6938070B2 (en) * 2001-12-17 2005-08-30 Dassault Systemes Conflict resolution for collaborative work system
WO2003091914A1 (en) * 2002-04-25 2003-11-06 Arc International Apparatus and method for managing integrated circuit designs
US7155708B2 (en) * 2002-10-31 2006-12-26 Src Computers, Inc. Debugging and performance profiling using control-dataflow graph representations with reconfigurable hardware emulation
SE0300742D0 (en) * 2003-03-17 2003-03-17 Flow Computing Ab Data Flow Machine
US6754878B1 (en) * 2003-03-27 2004-06-22 Xilinx, Inc. Recognizing structure information from a netlist
US7137082B1 (en) 2003-03-28 2006-11-14 Magma Design Automation Inc. Reduced architecture processing paths
US7627842B1 (en) 2003-06-03 2009-12-01 Cadence Design Systems, Inc. Method and system for verification of circuits with encoded signals
US7584460B2 (en) * 2003-07-22 2009-09-01 Lsi Corporation Process and apparatus for abstracting IC design files
US20050049843A1 (en) * 2003-08-29 2005-03-03 Lee Hewitt Computerized extension apparatus and methods
WO2005041074A1 (en) * 2003-10-28 2005-05-06 Atinec Aps System and method for functional verification of an electronic integrated circuit design
US7124393B1 (en) * 2004-01-30 2006-10-17 Hewlett-Packard Development Company, Lp. System and method for processing configuration information
US8037102B2 (en) 2004-02-09 2011-10-11 Robert T. and Virginia T. Jenkins Manipulating sets of hierarchical data
US20050229143A1 (en) * 2004-04-01 2005-10-13 Lsi Logic Corporation System and method for implementing multiple instantiated configurable peripherals in a circuit design
US7237208B1 (en) * 2004-04-05 2007-06-26 Jasper Design Automation, Inc. Managing formal verification complexity of designs with datapaths
US9646107B2 (en) 2004-05-28 2017-05-09 Robert T. and Virginia T. Jenkins as Trustee of the Jenkins Family Trust Method and/or system for simplifying tree expressions such as for query reduction
US7565631B1 (en) * 2004-07-02 2009-07-21 Northwestern University Method and system for translating software binaries and assembly code onto hardware
US20060259885A1 (en) * 2004-08-09 2006-11-16 Mortensen Michael P System and method for analyzing a circuit
US7627591B2 (en) * 2004-10-29 2009-12-01 Skyler Technology, Inc. Method and/or system for manipulating tree expressions
US7801923B2 (en) 2004-10-29 2010-09-21 Robert T. and Virginia T. Jenkins as Trustees of the Jenkins Family Trust Method and/or system for tagging trees
US7373618B1 (en) 2004-11-12 2008-05-13 Cadence Design Systems, Inc. Method and system for selection and replacement of subcircuits in equivalence checking
US7636727B2 (en) 2004-12-06 2009-12-22 Skyler Technology, Inc. Enumeration of trees from finite number of nodes
US7630995B2 (en) 2004-11-30 2009-12-08 Skyler Technology, Inc. Method and/or system for transmitting and/or receiving data
EP1672533B1 (en) * 2004-12-20 2013-02-27 Dassault Systèmes Method and computer system for interacting with a database
US8316059B1 (en) 2004-12-30 2012-11-20 Robert T. and Virginia T. Jenkins Enumeration of rooted partial subtrees
US8615530B1 (en) 2005-01-31 2013-12-24 Robert T. and Virginia T. Jenkins as Trustees for the Jenkins Family Trust Method and/or system for tree transformation
US7681177B2 (en) 2005-02-28 2010-03-16 Skyler Technology, Inc. Method and/or system for transforming between trees and strings
US8356040B2 (en) 2005-03-31 2013-01-15 Robert T. and Virginia T. Jenkins Method and/or system for transforming between trees and arrays
US7899821B1 (en) 2005-04-29 2011-03-01 Karl Schiffmann Manipulation and/or analysis of hierarchical data
US7735035B1 (en) * 2005-06-01 2010-06-08 Cadence Design Systems, Inc. Method and system for creating a boolean model of multi-path and multi-strength signals for verification
US7437690B2 (en) * 2005-10-13 2008-10-14 International Business Machines Corporation Method for predicate-based compositional minimization in a verification environment
TW200725414A (en) * 2005-12-30 2007-07-01 Tatung Co Ltd Method for converting hardware component graph to hardware description language
US20070168372A1 (en) * 2006-01-17 2007-07-19 Baumgartner Jason R Method and system for predicate selection in bit-level compositional transformations
US7657858B2 (en) * 2006-11-30 2010-02-02 Agere Systems Inc. Automated electrostatic discharge structure placement and routing in an integrated circuit
US8127113B1 (en) 2006-12-01 2012-02-28 Synopsys, Inc. Generating hardware accelerators and processor offloads
US7689887B2 (en) * 2007-12-21 2010-03-30 International Business Machines Corporation Automatic shutdown or throttling of a BIST state machine using thermal feedback
US8935651B1 (en) * 2007-12-28 2015-01-13 Cadence Design Systems, Inc. Methods and apparatus for data path cluster optimization
US8032338B2 (en) * 2008-06-13 2011-10-04 Power Integrations, Inc. Method and apparatus for design of a power supply
US8751986B2 (en) * 2010-08-06 2014-06-10 Synopsys, Inc. Method and apparatus for automatic relative placement rule generation
US8271920B2 (en) 2010-08-25 2012-09-18 International Business Machines Corporation Converged large block and structured synthesis for high performance microprocessor designs
US9361417B2 (en) 2014-02-07 2016-06-07 Synopsys, Inc. Placement of single-bit and multi-bit flip-flops
US9298872B2 (en) 2014-02-20 2016-03-29 International Business Machines Corporation Apportioning synthesis effort for better timing closure
US9390210B2 (en) * 2014-05-30 2016-07-12 Lattice Semiconductor Corporation Logic absorption techniques for programmable logic devices
US9405871B1 (en) * 2014-12-05 2016-08-02 Xilinx, Inc. Determination of path delays in circuit designs
US10333696B2 (en) 2015-01-12 2019-06-25 X-Prime, Inc. Systems and methods for implementing an efficient, scalable homomorphic transformation of encrypted data with minimal data expansion and improved processing efficiency
WO2017154183A1 (en) * 2016-03-10 2017-09-14 三菱電機株式会社 High-level synthesis device, high-level synthesis method, and high-level synthesis program
US11403448B1 (en) 2021-04-23 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mapping foundational components during design porting from one process technology to another process technology
CN113569524B (en) * 2021-07-29 2023-12-29 眸芯科技(上海)有限公司 Method for extracting clock tree based on comprehensive netlist in chip design and application

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4703435A (en) * 1984-07-16 1987-10-27 International Business Machines Corporation Logic Synthesizer
US4831543A (en) * 1986-02-21 1989-05-16 Harris Semiconductor (Patents) Inc. Hierarchical net list derivation system
US5212650A (en) * 1986-09-12 1993-05-18 Digital Equipment Corporation Procedure and data structure for synthesis and transformation of logic circuit designs
US5150308A (en) * 1986-09-12 1992-09-22 Digital Equipment Corporation Parameter and rule creation and modification mechanism for use by a procedure for synthesis of logic circuit designs
US5267175A (en) * 1986-09-12 1993-11-30 Digital Equipment Corporation Data base access mechanism for rules utilized by a synthesis procedure for logic circuit design
US5257201A (en) * 1987-03-20 1993-10-26 International Business Machines Corporation Method to efficiently reduce the number of connections in a circuit
US4870598A (en) * 1987-08-04 1989-09-26 Texas Instruments Incorporated Comprehensive logic circuit layout system
US5146583A (en) * 1987-09-25 1992-09-08 Matsushita Electric Industrial Co., Ltd. Logic design system for creating circuit configuration by generating parse tree from hardware description language and optimizing text level redundancy thereof
US5197016A (en) * 1988-01-13 1993-03-23 International Chip Corporation Integrated silicon-software compiler
US4922432A (en) * 1988-01-13 1990-05-01 International Chip Corporation Knowledge based method and apparatus for designing integrated circuits using functional specifications
US5005136A (en) * 1988-02-16 1991-04-02 U.S. Philips Corporation Silicon-compiler method and arrangement
US5003487A (en) * 1988-06-28 1991-03-26 International Business Machines Corporation Method and apparatus for performing timing correction transformations on a technology-independent logic model during logic synthesis
US4967367A (en) * 1988-11-21 1990-10-30 Vlsi Technology, Inc. Synthetic netlist system and method
US5175843A (en) * 1989-10-30 1992-12-29 General Electric Company Computer-aided design method for restructuring computational networks to minimize shimming delays
US5163016A (en) * 1990-03-06 1992-11-10 At&T Bell Laboratories Analytical development and verification of control-intensive systems
US5222030A (en) * 1990-04-06 1993-06-22 Lsi Logic Corporation Methodology for deriving executable low-level structural descriptions and valid physical implementations of circuits and systems from high-level semantic specifications and descriptions thereof

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
KAHRS: "matching a parts library in a silicon compiler", IEEE INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN, 11 November 1986 (1986-11-11), SANTA CLARA CA US, pages 169 - 172, XP000747199 *
MAHMOOD ET AL: "a datapath synthesizer for high-performance asics", PROCEEDINGS OF THE IEEE 1992 CUSTOM INTEGRATED CIRCUITS CONFERENCE, 3 May 1992 (1992-05-03), BOSTON MASS US, pages 511 - 514, XP000340847 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6152612A (en) * 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++
WO1999039288A2 (en) * 1998-01-30 1999-08-05 Tera Systems, Inc. Method and system for creating optimized physical implementations from high-level descriptions of electronic design
WO1999039288A3 (en) * 1998-01-30 1999-09-30 Tera Systems Inc Method and system for creating optimized physical implementations from high-level descriptions of electronic design
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6360356B1 (en) 1998-01-30 2002-03-19 Tera Systems, Inc. Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information
US7143367B2 (en) 1998-01-30 2006-11-28 Tera Systems, Inc. Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information

Also Published As

Publication number Publication date
US5491640A (en) 1996-02-13
US5519627A (en) 1996-05-21

Similar Documents

Publication Publication Date Title
US5491640A (en) Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication
US5805462A (en) Automatic synthesis of integrated circuits employing boolean decomposition
US5726902A (en) Method and apparatus for characterizing timing behavior of datapaths for integrated circuit design and fabrication
US5752000A (en) System and method for simulating discrete functions using ordered decision arrays
US5537580A (en) Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US7525457B2 (en) Transforming design objects in a computer by converting data sets between data set types
Hassoun et al. Logic synthesis and verification
US5541850A (en) Method and apparatus for forming an integrated circuit including a memory structure
US6113647A (en) Computer aided design system and method using hierarchical and flat netlist circuit representations
EP2097841A2 (en) Polynomial synthesis
JP2006502501A (en) How to use clock cycle time when determining loop schedule during circuit design
EP1192559A2 (en) Updating placement during technology mapping
Erba et al. Digital filter design through simulated evolution
US5854926A (en) Method and apparatus for identifying flip-flops in HDL descriptions of circuits without specific templates
US7287235B1 (en) Method of simplifying a circuit for equivalence checking
Kravets et al. M32: A constructive multilevel logic synthesis system
Yi et al. Technology mapping for storage elements based on BDD matching
McMitchell A Laplace Domain Model Implementation in System Verilog for Top Level Functional Verification
Duff MA57-a new code for the solution of sparse symmetric definite systems
US7143020B1 (en) Method and system for generic inference of sequential elements
Maunder et al. FPLD HDL synthesis employing high-level evolutionary algorithm optimisation
Rem et al. Power Estimation Techniques for the Purpose of the Architectural Synthesis of Digital Signal Processing Algorithms
Sklyarov Hardware implementation of hierarchical FSMs
Belkacemi et al. HIDE: a logic based hardware intelligent description environment
Gajski et al. Synthesis from VHDL: Rockwell-counter case study

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase