WO1996012297A3 - Simplified dual damascene process for multilevel metallization and interconnection structure - Google Patents

Simplified dual damascene process for multilevel metallization and interconnection structure Download PDF

Info

Publication number
WO1996012297A3
WO1996012297A3 PCT/US1995/012194 US9512194W WO9612297A3 WO 1996012297 A3 WO1996012297 A3 WO 1996012297A3 US 9512194 W US9512194 W US 9512194W WO 9612297 A3 WO9612297 A3 WO 9612297A3
Authority
WO
WIPO (PCT)
Prior art keywords
interconnection structure
dual damascene
damascene process
multilevel metallization
simplified dual
Prior art date
Application number
PCT/US1995/012194
Other languages
French (fr)
Other versions
WO1996012297A2 (en
Inventor
Richard J Huang
Angela Hui
Robin Cheung
Mark Chang
Ming-Ren Lin
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to EP95935091A priority Critical patent/EP0761014B1/en
Priority to DE69531244T priority patent/DE69531244T2/en
Publication of WO1996012297A2 publication Critical patent/WO1996012297A2/en
Publication of WO1996012297A3 publication Critical patent/WO1996012297A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Abstract

A semiconductor device containing an interconnection structure having a reduced interwiring spacing is produced by a modified dual damascene process. An embodiment comprises the simultaneous formation of a via and trench in a single etching step.
PCT/US1995/012194 1994-10-11 1995-09-25 Simplified dual damascene process for multilevel metallization and interconnection structure WO1996012297A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP95935091A EP0761014B1 (en) 1994-10-11 1995-09-25 Simplified dual damascene process for multilevel metallization and interconnection structure
DE69531244T DE69531244T2 (en) 1994-10-11 1995-09-25 SIMPLIFIED DOUBLE DAMASCEN PROCESS FOR THE PRODUCTION OF A MULTIPLE LAYER METALIZATION AND A CONNECTING STRUCTURE

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/320,516 1994-10-11
US08/320,516 US5635423A (en) 1994-10-11 1994-10-11 Simplified dual damascene process for multi-level metallization and interconnection structure

Publications (2)

Publication Number Publication Date
WO1996012297A2 WO1996012297A2 (en) 1996-04-25
WO1996012297A3 true WO1996012297A3 (en) 1996-07-25

Family

ID=23246781

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1995/012194 WO1996012297A2 (en) 1994-10-11 1995-09-25 Simplified dual damascene process for multilevel metallization and interconnection structure

Country Status (5)

Country Link
US (1) US5635423A (en)
EP (1) EP0761014B1 (en)
DE (1) DE69531244T2 (en)
TW (1) TW293149B (en)
WO (1) WO1996012297A2 (en)

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5756397A (en) * 1993-12-28 1998-05-26 Lg Semicon Co., Ltd. Method of fabricating a wiring in a semiconductor device
US6093615A (en) * 1994-08-15 2000-07-25 Micron Technology, Inc. Method of fabricating a contact structure having a composite barrier layer between a platinum layer and a polysilicon plug
US5814529A (en) * 1995-01-17 1998-09-29 Semiconductor Energy Laboratory Co., Ltd. Method for producing a semiconductor integrated circuit including a thin film transistor and a capacitor
US5658829A (en) * 1995-02-21 1997-08-19 Micron Technology, Inc. Semiconductor processing method of forming an electrically conductive contact plug
US5726498A (en) * 1995-05-26 1998-03-10 International Business Machines Corporation Wire shape conferring reduced crosstalk and formation methods
US6022799A (en) * 1995-06-07 2000-02-08 Advanced Micro Devices, Inc. Methods for making a semiconductor device with improved hot carrier lifetime
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
JPH0964179A (en) * 1995-08-25 1997-03-07 Mitsubishi Electric Corp Semiconductor device and its fabrication method
JPH09153545A (en) * 1995-09-29 1997-06-10 Toshiba Corp Semiconductor device and is manufacture
US6004875A (en) 1995-11-15 1999-12-21 Micron Technology, Inc. Etch stop for use in etching of silicon oxide
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
US6946716B2 (en) * 1995-12-29 2005-09-20 International Business Machines Corporation Electroplated interconnection structures on integrated circuit chips
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
KR100215847B1 (en) * 1996-05-16 1999-08-16 구본준 Metal interconnector of semiconductor device and process for forming the same
KR100215846B1 (en) * 1996-05-16 1999-08-16 구본준 Method for forming interconnector of semiconductor device
US6043164A (en) * 1996-06-10 2000-03-28 Sharp Laboratories Of America, Inc. Method for transferring a multi-level photoresist pattern
US5726100A (en) * 1996-06-27 1998-03-10 Micron Technology, Inc. Method of forming contact vias and interconnect channels in a dielectric layer stack with a single mask
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
JP2000515323A (en) * 1996-07-18 2000-11-14 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド An integrated circuit that generates staggered wiring using etching stops
US5854515A (en) * 1996-07-23 1998-12-29 Advanced Micro Devices, Inc. Integrated circuit having conductors of enhanced cross-sectional area
US5691250A (en) * 1996-08-29 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming a metal contact to a novel polysilicon contact extension
FR2754391B1 (en) * 1996-10-08 1999-04-16 Sgs Thomson Microelectronics HIGH SHAPE FACTOR CONTACT STRUCTURE FOR INTEGRATED CIRCUITS
US5847462A (en) * 1996-11-14 1998-12-08 Advanced Micro Devices, Inc. Integrated circuit having conductors of enhanced cross-sectional area with etch stop barrier layer
JPH10214896A (en) * 1996-11-29 1998-08-11 Toshiba Corp Manufacture and manufacture device for semiconductor device
KR100219508B1 (en) * 1996-12-30 1999-09-01 윤종용 Forming method for matal wiring layer of semiconductor device
US6537905B1 (en) 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7510961B2 (en) 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
GB2325083B (en) * 1997-05-09 1999-04-14 United Microelectronics Corp A dual damascene process
US5801094A (en) * 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6025116A (en) * 1997-03-31 2000-02-15 Siemens Aktiengesellschaft Etching of contact holes
DE19715501C1 (en) * 1997-04-14 1998-06-25 Fraunhofer Ges Forschung Method for structuring thin metal layers.
US5876614A (en) * 1997-04-18 1999-03-02 Storage Technology Corporation Method of wet etching aluminum oxide to minimize undercutting
EP0881669B1 (en) * 1997-05-30 2005-12-14 STMicroelectronics S.r.l. Manufacturing process of a germanium implanted heterojunction bipolar transistor
US6057227A (en) * 1997-06-23 2000-05-02 Vlsi Technology, Inc. Oxide etch stop techniques for uniform damascene trench depth
JP3350638B2 (en) * 1997-06-26 2002-11-25 沖電気工業株式会社 Method for manufacturing semiconductor device
WO1999000839A1 (en) * 1997-06-26 1999-01-07 Advanced Micro Devices, Inc. Dual damascene etch process
US6171957B1 (en) 1997-07-16 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device having high pressure reflow process
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6294455B1 (en) 1997-08-20 2001-09-25 Micron Technology, Inc. Conductive lines, coaxial lines, integrated circuitry, and methods of forming conductive lines, coaxial lines, and integrated circuitry
US6080655A (en) * 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
US6187677B1 (en) 1997-08-22 2001-02-13 Micron Technology, Inc. Integrated circuitry and methods of forming integrated circuitry
US6143616A (en) 1997-08-22 2000-11-07 Micron Technology, Inc. Methods of forming coaxial integrated circuitry interconnect lines
TW377501B (en) * 1997-09-08 1999-12-21 United Microelectronics Corp Method of dual damascene
US6066569A (en) * 1997-09-30 2000-05-23 Siemens Aktiengesellschaft Dual damascene process for metal layers and organic intermetal layers
US5935762A (en) * 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US5877076A (en) * 1997-10-14 1999-03-02 Industrial Technology Research Institute Opposed two-layered photoresist process for dual damascene patterning
US6107191A (en) * 1997-11-07 2000-08-22 Lucent Technologies Inc. Method of creating an interconnect in a substrate and semiconductor device employing the same
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
KR100253385B1 (en) 1997-12-22 2000-05-01 김영환 The manufacturing method of the interconnection layer for semiconductor device
US6358849B1 (en) * 1997-12-23 2002-03-19 Texas Instruments Incorporated Integrated circuit interconnect and method
KR100494148B1 (en) * 1997-12-29 2006-05-22 매그나칩 반도체 유한회사 Metal wiring layer formation method of MOS PET transistor
US6169664B1 (en) * 1998-01-05 2001-01-02 Texas Instruments Incorporated Selective performance enhancements for interconnect conducting paths
US6028004A (en) * 1998-01-06 2000-02-22 International Business Machines Corporation Process for controlling the height of a stud intersecting an interconnect
US6051369A (en) * 1998-01-08 2000-04-18 Kabushiki Kaisha Toshiba Lithography process using one or more anti-reflective coating films and fabrication process using the lithography process
US6017813A (en) * 1998-01-12 2000-01-25 Vanguard International Semiconductor Corporation Method for fabricating a damascene landing pad
TW392324B (en) * 1998-01-23 2000-06-01 United Microelectronics Corp Dual damascene process
US6204168B1 (en) 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
JPH11220025A (en) * 1998-02-03 1999-08-10 Rohm Co Ltd Semiconductor device and its manufacture
GB2337160B (en) * 1998-02-13 2000-03-29 United Intgrated Circuits Corp Method of fabricating embedded dynamic random access memory
TW368741B (en) * 1998-02-26 1999-09-01 United Microelectronics Corp Manufacturing method for dual damascene
US6245684B1 (en) * 1998-03-13 2001-06-12 Applied Materials, Inc. Method of obtaining a rounded top trench corner for semiconductor trench etch applications
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
US6197696B1 (en) * 1998-03-26 2001-03-06 Matsushita Electric Industrial Co., Ltd. Method for forming interconnection structure
TW374224B (en) * 1998-04-03 1999-11-11 United Microelectronics Corp Dual damascene process for manufacturing low k dielectrics
FR2777697B1 (en) * 1998-04-16 2000-06-09 St Microelectronics Sa INTEGRATED CIRCUIT WITH STOP LAYER AND MANUFACTURING METHOD THEREOF
US6262450B1 (en) 1998-04-22 2001-07-17 International Business Machines Corporation DRAM stack capacitor with vias and conductive connection extending from above conductive lines to the substrate
GB2336715B (en) * 1998-04-24 2000-03-15 United Microelectronics Corp Dual damascene structure and its manufacturing method
US6063711A (en) * 1998-04-28 2000-05-16 Taiwan Semiconductor Manufacturing Company High selectivity etching stop layer for damascene process
DE19829152A1 (en) * 1998-05-05 1999-11-18 United Microelectronics Corp Double damascus process
US6042999A (en) * 1998-05-07 2000-03-28 Taiwan Semiconductor Manufacturing Company Robust dual damascene process
JP3186040B2 (en) * 1998-06-01 2001-07-11 日本電気株式会社 Method for manufacturing semiconductor device
US6680248B2 (en) 1998-06-01 2004-01-20 United Microelectronics Corporation Method of forming dual damascene structure
TW383463B (en) 1998-06-01 2000-03-01 United Microelectronics Corp Manufacturing method for dual damascene structure
US6303489B1 (en) 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
GB2340657B (en) * 1998-06-10 2000-07-05 United Microelectronics Corp Dual damascene technique
US6165863A (en) * 1998-06-22 2000-12-26 Micron Technology, Inc. Aluminum-filled self-aligned trench for stacked capacitor structure and methods
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6025259A (en) * 1998-07-02 2000-02-15 Advanced Micro Devices, Inc. Dual damascene process using high selectivity boundary layers
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6319813B1 (en) 1998-07-06 2001-11-20 Micron Technology, Inc. Semiconductor processing methods of forming integrated circuitry and integrated circuitry constructions
US7547669B2 (en) * 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6328804B1 (en) 1998-07-10 2001-12-11 Ball Semiconductor, Inc. Chemical vapor deposition of metals on a spherical shaped semiconductor substrate
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
TW374948B (en) * 1998-07-28 1999-11-21 United Microelectronics Corp Method of prevention of poisoning trenches in dual damascene process structures and dielectric layer windows
GB2340302B (en) * 1998-07-29 2000-07-26 United Microelectronics Corp Method of manufacture using dual damascene process
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6103616A (en) * 1998-08-19 2000-08-15 Advanced Micro Devices, Inc. Method to manufacture dual damascene structures by utilizing short resist spacers
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6670209B1 (en) 1998-09-11 2003-12-30 Chartered Semiconductor Manufacturing Ltd. Embedded metal scheme for liquid crystal display (LCD) application
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6239017B1 (en) 1998-09-18 2001-05-29 Industrial Technology Research Institute Dual damascene CMP process with BPSG reflowed contact hole
US6326300B1 (en) 1998-09-21 2001-12-04 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method
US6225207B1 (en) 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
US6069082A (en) * 1998-10-13 2000-05-30 Chartered Semiconductor Manufacturing Ltd. Method to prevent dishing in damascene CMP process
JP3657788B2 (en) 1998-10-14 2005-06-08 富士通株式会社 Semiconductor device and manufacturing method thereof
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer
US6165898A (en) * 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
JP4074014B2 (en) * 1998-10-27 2008-04-09 株式会社東芝 Semiconductor device and manufacturing method thereof
US6037216A (en) * 1998-11-02 2000-03-14 Vanguard International Semiconductor Corporation Method for simultaneously fabricating capacitor structures, for giga-bit DRAM cells, and peripheral interconnect structures, using a dual damascene process
JP3312604B2 (en) * 1998-11-06 2002-08-12 日本電気株式会社 Method for manufacturing semiconductor device
US6060380A (en) * 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6265308B1 (en) 1998-11-30 2001-07-24 International Business Machines Corporation Slotted damascene lines for low resistive wiring lines for integrated circuit
US6077337A (en) 1998-12-01 2000-06-20 Intel Corporation Chemical-mechanical polishing slurry
US6093632A (en) * 1998-12-07 2000-07-25 Industrial Technology Research Institute Modified dual damascene process
US6187211B1 (en) 1998-12-15 2001-02-13 Xerox Corporation Method for fabrication of multi-step structures using embedded etch stop layers
TW404007B (en) * 1998-12-16 2000-09-01 United Microelectronics Corp The manufacture method of interconnects
US6181011B1 (en) 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6287961B1 (en) 1999-01-04 2001-09-11 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6207576B1 (en) * 1999-01-05 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide etch stop layer
US6333560B1 (en) 1999-01-14 2001-12-25 International Business Machines Corporation Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies
JP2000216247A (en) * 1999-01-22 2000-08-04 Nec Corp Semiconductor device and its manufacture
US20030089987A1 (en) * 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
US6261947B1 (en) * 1999-02-18 2001-07-17 Micron Technology, Inc. Formation of electrical contacts to conductive elements in the fabrication of semiconductor integrated circuits
US6211085B1 (en) * 1999-02-18 2001-04-03 Taiwan Semiconductor Company Method of preparing CU interconnect lines
JP3525788B2 (en) 1999-03-12 2004-05-10 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JP3436221B2 (en) 1999-03-15 2003-08-11 ソニー株式会社 Manufacturing method of semiconductor device
US6323125B1 (en) 1999-03-29 2001-11-27 Chartered Semiconductor Manufacturing Ltd Simplified dual damascene process utilizing PPMSO as an insulator layer
JP3700460B2 (en) * 1999-04-05 2005-09-28 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof
US6016011A (en) * 1999-04-27 2000-01-18 Hewlett-Packard Company Method and apparatus for a dual-inlaid damascene contact to sensor
US6329280B1 (en) * 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
DE19927284C2 (en) * 1999-06-15 2002-01-10 Infineon Technologies Ag Method for producing an electrically conductive connection in a microelectronic structure
KR100578222B1 (en) * 1999-06-28 2006-05-12 주식회사 하이닉스반도체 Improved dual damascene process in semiconductor device
US6251770B1 (en) 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6133144A (en) * 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
EP1077475A3 (en) * 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
DE19937994C2 (en) 1999-08-11 2003-12-11 Infineon Technologies Ag Etching process for a dual damascene structuring of an insulating layer on a semiconductor structure
US6083822A (en) * 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6518173B1 (en) 1999-08-18 2003-02-11 Advanced Micro Devices, Inc. Method for avoiding fluorine contamination of copper interconnects
US6573187B1 (en) 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6313025B1 (en) * 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6365327B1 (en) * 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6391756B1 (en) 1999-08-31 2002-05-21 Micron Technology, Inc. Semiconductor processing methods of forming contact openings
US6265319B1 (en) 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
TW428283B (en) * 1999-09-03 2001-04-01 Taiwan Semiconductor Mfg Method for making dual damascene structure
US6391761B1 (en) 1999-09-20 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to form dual damascene structures using a linear passivation
JP2001102447A (en) * 1999-09-30 2001-04-13 Mitsubishi Electric Corp Manufacturing method of contact structure
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
US6506683B1 (en) * 1999-10-06 2003-01-14 Advanced Micro Devices In-situ process for fabricating a semiconductor device with integral removal of antireflection and etch stop layers
US6103619A (en) * 1999-10-08 2000-08-15 United Microelectronics Corp. Method of forming a dual damascene structure on a semiconductor wafer
US6211061B1 (en) 1999-10-29 2001-04-03 Taiwan Semiconductor Manufactuirng Company Dual damascene process for carbon-based low-K materials
US6329281B1 (en) * 1999-12-03 2001-12-11 Agere Systems Guardian Corp. Methods for fabricating a multilevel interconnection for an integrated circuit device utilizing a selective overlayer
US6406994B1 (en) 1999-12-03 2002-06-18 Chartered Semiconductor Manufacturing Ltd. Triple-layered low dielectric constant dielectric dual damascene approach
US6372647B1 (en) 1999-12-14 2002-04-16 International Business Machines Corporation Via masked line first dual damascene
JP3821624B2 (en) * 1999-12-17 2006-09-13 シャープ株式会社 Manufacturing method of semiconductor device
KR100373358B1 (en) * 1999-12-22 2003-02-25 주식회사 하이닉스반도체 Method for fabricating semiconductor device using via first dual damscene process
KR100403327B1 (en) * 1999-12-24 2003-10-30 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US6295721B1 (en) * 1999-12-28 2001-10-02 Taiwan Semiconductor Manufacturing Company Metal fuse in copper dual damascene
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
JP3346475B2 (en) * 2000-01-18 2002-11-18 日本電気株式会社 Manufacturing method of semiconductor integrated circuit, semiconductor integrated circuit
GB0001179D0 (en) 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6465157B1 (en) 2000-01-31 2002-10-15 Chartered Semiconductor Manufacturing Ltd Dual layer pattern formation method for dual damascene interconnect
US6242344B1 (en) 2000-02-07 2001-06-05 Institute Of Microelectronics Tri-layer resist method for dual damascene process
JP2001230317A (en) * 2000-02-15 2001-08-24 Nec Corp Method for forming multilayer interconnection structure and multilayer interconnection structure for semiconductor device
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6812131B1 (en) 2000-04-11 2004-11-02 Honeywell International Inc. Use of sacrificial inorganic dielectrics for dual damascene processes utilizing organic intermetal dielectrics
US6316351B1 (en) 2000-05-31 2001-11-13 Taiwan Semiconductor Manufacturing Company Inter-metal dielectric film composition for dual damascene process
US6521542B1 (en) * 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
US6758223B1 (en) * 2000-06-23 2004-07-06 Infineon Technologies Ag Plasma RIE polymer removal
US6426298B1 (en) * 2000-08-11 2002-07-30 United Microelectronics Corp. Method of patterning a dual damascene
US6461963B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
JP4129971B2 (en) 2000-12-01 2008-08-06 新光電気工業株式会社 Wiring board manufacturing method
KR100393968B1 (en) * 2000-12-29 2003-08-06 주식회사 하이닉스반도체 method for forming dual damascene of semiconductor device
JP2002217287A (en) 2001-01-17 2002-08-02 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
JP2002231945A (en) * 2001-02-06 2002-08-16 Denso Corp Method of manufacturing semiconductor device
US6537908B2 (en) * 2001-02-28 2003-03-25 International Business Machines Corporation Method for dual-damascence patterning of low-k interconnects using spin-on distributed hardmask
US6803314B2 (en) 2001-04-30 2004-10-12 Chartered Semiconductor Manufacturing Ltd. Double-layered low dielectric constant dielectric dual damascene method
KR100395907B1 (en) * 2001-05-17 2003-08-27 주식회사 하이닉스반도체 Method for forming the line of semiconductor device
US6723639B1 (en) * 2001-05-24 2004-04-20 Taiwan Semiconductor Manufacturing Company Prevention of post CMP defects in Cu/FSG process
US7224063B2 (en) 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US20030213617A1 (en) * 2002-05-20 2003-11-20 Subramanian Karthikeyan Method and structure of a reducing intra-level and inter-level capacitance of a semiconductor device
DE10200428A1 (en) * 2002-01-09 2003-04-30 Infineon Technologies Ag Production of contact structures in a metallizing on a semiconductor wafer comprises preparing a wafer having an active region, applying a first insulating layer on the wafer
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
JP3989761B2 (en) 2002-04-09 2007-10-10 株式会社半導体エネルギー研究所 Semiconductor display device
US7038239B2 (en) 2002-04-09 2006-05-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and display device using the same
JP3989763B2 (en) 2002-04-15 2007-10-10 株式会社半導体エネルギー研究所 Semiconductor display device
TWI270919B (en) 2002-04-15 2007-01-11 Semiconductor Energy Lab Display device and method of fabricating the same
JP3944838B2 (en) * 2002-05-08 2007-07-18 富士通株式会社 Semiconductor device and manufacturing method thereof
US7256421B2 (en) 2002-05-17 2007-08-14 Semiconductor Energy Laboratory, Co., Ltd. Display device having a structure for preventing the deterioration of a light emitting device
US7253112B2 (en) 2002-06-04 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
KR20040009751A (en) * 2002-07-25 2004-01-31 동부전자 주식회사 Method for forming damascene pattern in semiconductor device
US20040132280A1 (en) * 2002-07-26 2004-07-08 Dongbu Electronics Co. Ltd. Method of forming metal wiring in a semiconductor device
GB2394879B (en) * 2002-11-04 2005-11-23 Electrolux Outdoor Prod Ltd Trimmer
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US6939761B2 (en) * 2002-11-22 2005-09-06 Micron Technology, Inc. Methods of forming buried bit line DRAM circuitry
US6995085B2 (en) * 2003-01-17 2006-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Underlayer protection for the dual damascene etching
US7279410B1 (en) * 2003-03-05 2007-10-09 Advanced Micro Devices, Inc. Method for forming inlaid structures for IC interconnections
KR100515058B1 (en) * 2003-03-31 2005-09-14 삼성전자주식회사 Methods of forming semiconductor device having metal patterns
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US6875688B1 (en) * 2004-05-18 2005-04-05 International Business Machines Corporation Method for reactive ion etch processing of a dual damascene structure
CA2568795A1 (en) * 2004-06-09 2005-12-22 Schott Ag Building up diffractive optics by structured glass coating
US7223684B2 (en) * 2004-07-14 2007-05-29 International Business Machines Corporation Dual damascene wiring and method
KR100621630B1 (en) * 2004-08-25 2006-09-19 삼성전자주식회사 Damascene processs using metals of two kinds
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
KR100641553B1 (en) * 2004-12-23 2006-11-01 동부일렉트로닉스 주식회사 Method for forming pattern of a layer in semiconductor device
US20070082477A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Integrated circuit fabricating techniques employing sacrificial liners
US7514365B2 (en) * 2005-11-16 2009-04-07 United Microelectronics Corp. Method of fabricating opening and plug
KR100798738B1 (en) * 2006-09-28 2008-01-29 주식회사 하이닉스반도체 Method for fabricating fine pattern in semiconductor device
DE102007005140B4 (en) * 2007-02-01 2010-05-06 Infineon Technologies Austria Ag Method for producing a component structure with a dielectric layer and method for producing a trench in a semiconductor body
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US8793866B1 (en) * 2007-12-19 2014-08-05 Western Digital (Fremont), Llc Method for providing a perpendicular magnetic recording head
JP2009182181A (en) * 2008-01-31 2009-08-13 Toshiba Corp Semiconductor device
US8166632B1 (en) 2008-03-28 2012-05-01 Western Digital (Fremont), Llc Method for providing a perpendicular magnetic recording (PMR) transducer
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US8173505B2 (en) * 2008-10-20 2012-05-08 Freescale Semiconductor, Inc. Method of making a split gate memory cell
US8277674B2 (en) * 2009-12-15 2012-10-02 United Microelectronics Corp. Method of removing post-etch residues
TWI479549B (en) * 2009-12-16 2015-04-01 United Microelectronics Corp Method of removing post-etch residues
US8962493B2 (en) * 2010-12-13 2015-02-24 Crocus Technology Inc. Magnetic random access memory cells having improved size and shape characteristics
US9064850B2 (en) 2012-11-15 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation with improved topography control
RU2523064C1 (en) * 2013-01-23 2014-07-20 Открытое акционерное общество "Научно-исследовательский институт молекулярной электроники" (ОАО "НИИМЭ"), Российская Федерация Forming of multilevel copper interconnections of micro ic with application of tungsten rigid mask
RU2548523C1 (en) * 2013-12-17 2015-04-20 Акционерное общество "Научно-исследовательский институт молекулярной электроники (АО "НИИМЭ") Method for manufacturing of multilevel copper metallisation with ultralow value of dielectric constant for intralayer insulation
US8980727B1 (en) * 2014-05-07 2015-03-17 Applied Materials, Inc. Substrate patterning using hybrid laser scribing and plasma etching processing schemes
US9543248B2 (en) 2015-01-21 2017-01-10 Qualcomm Incorporated Integrated circuit devices and methods
US10510657B2 (en) * 2017-09-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with interconnecting structure and method for manufacturing the same
JP2019160922A (en) 2018-03-09 2019-09-19 東芝メモリ株式会社 Semiconductor device
US10644099B1 (en) 2018-10-24 2020-05-05 Globalfoundries Inc. Three-dimensional (3D) metal-insulator-metal capacitor (MIMCAP) and method
US11088068B2 (en) * 2019-04-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US20210375672A1 (en) * 2020-05-27 2021-12-02 Taiwan Semiconductor Manfacturing Co., Ltd. Redistribution Lines Having Nano Columns and Method Forming Same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472240A (en) * 1981-08-21 1984-09-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
EP0224013A2 (en) * 1985-10-28 1987-06-03 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US4996167A (en) * 1990-06-29 1991-02-26 At&T Bell Laboratories Method of making electrical contacts to gate structures in integrated circuits
EP0425787A2 (en) * 1989-10-31 1991-05-08 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal lines to contact windows
EP0435187A2 (en) * 1989-12-26 1991-07-03 Fujitsu Limited Method of fabricating a semiconductor device
EP0463972A1 (en) * 1990-06-28 1992-01-02 Commissariat A L'energie Atomique Method of making an electric contact on an active element of an MIS integrated circuit

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3961414A (en) * 1972-06-09 1976-06-08 International Business Machines Corporation Semiconductor structure having metallization inlaid in insulating layers and method for making same
US3844831A (en) * 1972-10-27 1974-10-29 Ibm Forming a compact multilevel interconnection metallurgy system for semi-conductor devices
GB8316476D0 (en) * 1983-06-16 1983-07-20 Plessey Co Plc Producing layered structure
US4948755A (en) * 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4764484A (en) * 1987-10-08 1988-08-16 Standard Microsystems Corporation Method for fabricating self-aligned, conformal metallization of semiconductor wafer
US5055423A (en) * 1987-12-28 1991-10-08 Texas Instruments Incorporated Planarized selective tungsten metallization system
US4933303A (en) * 1989-07-25 1990-06-12 Standard Microsystems Corporation Method of making self-aligned tungsten interconnection in an integrated circuit
FR2663784B1 (en) * 1990-06-26 1997-01-31 Commissariat Energie Atomique PROCESS FOR PRODUCING A STAGE OF AN INTEGRATED CIRCUIT.
US5093279A (en) * 1991-02-01 1992-03-03 International Business Machines Corporation Laser ablation damascene process
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5470788A (en) * 1994-02-28 1995-11-28 International Business Machines Corporation Method of making self-aligned, lateral diffusion barrier in metal lines to eliminate electromigration

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472240A (en) * 1981-08-21 1984-09-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
EP0224013A2 (en) * 1985-10-28 1987-06-03 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
EP0425787A2 (en) * 1989-10-31 1991-05-08 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal lines to contact windows
EP0435187A2 (en) * 1989-12-26 1991-07-03 Fujitsu Limited Method of fabricating a semiconductor device
EP0463972A1 (en) * 1990-06-28 1992-01-02 Commissariat A L'energie Atomique Method of making an electric contact on an active element of an MIS integrated circuit
US4996167A (en) * 1990-06-29 1991-02-26 At&T Bell Laboratories Method of making electrical contacts to gate structures in integrated circuits

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
ANONYMOUS: "Methods of forming small contact holes", IBM TECHNICAL DISCLOSURE BULLETIN, vol. 30, no. 8, January 1988 (1988-01-01), NEW YORK US, pages 252 - 253, XP000097503 *
KAANTA ET AL: "Dual damascene : a ULSI wiring technology", PROCEEDINGS OF THE 8TH INT. IEEE VLSI MULTILEVEL INTERCONNECTION CONF., SANTA CLARA, CA, USA, JUNE 11-12, 1991, pages 144 - 152, XP002002163 *

Also Published As

Publication number Publication date
EP0761014B1 (en) 2003-07-09
US5635423A (en) 1997-06-03
DE69531244T2 (en) 2004-04-15
DE69531244D1 (en) 2003-08-14
EP0761014A1 (en) 1997-03-12
WO1996012297A2 (en) 1996-04-25
TW293149B (en) 1996-12-11

Similar Documents

Publication Publication Date Title
WO1996012297A3 (en) Simplified dual damascene process for multilevel metallization and interconnection structure
GB2164491B (en) Semiconductor devices
EP0628992A3 (en) Method of making semiconductor wafers.
EP0635879A3 (en) Semiconductor silicon wafer and process for producing it.
EP0676814A3 (en) Trench semiconductor device and process of producing same.
SG42982A1 (en) Methods of forming an interconnect on a semiconductor substrate
EP0452921A3 (en) Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
TW350989B (en) Process for forming a semiconductor device with an antireflective layer
EP0603514A3 (en) Method for thinning a semiconductor wafer.
EP0673545A4 (en) Process and apparatus for etching semiconductor wafers.
GB2211023B (en) Method for fabricating self-aligned conformal metallization on semiconductor wafer
EP0624899A3 (en) Oxidation of silicon nitride in semiconductor devices.
TW349262B (en) Semiconductor device related to wiring and process for producing the same
EP0683512A3 (en) Anisotropic plasma etching of semiconductor device
CA2218751A1 (en) A silicon substrate having a recess for receiving an element, and a method of producing such a recess
EP0742593A3 (en) Semiconductor device with multilevel structured insulator and fabrication method thereof
TW344892B (en) Method of forming a semiconductor metallization system and structure therefor
EP0325161A3 (en) Semiconductor device having trench isolation
EP0559986A3 (en) Method for producing semiconductor wafer and substrate used for producing the semiconductor
EP0712156A3 (en) Process for producing multilevel metallization in an integrated circuit
EP0663699A3 (en) Manufacturing method of an opto-electric semiconductor device.
EP0424299A3 (en) Selective silicon nitride plasma etching
EP0607808A3 (en) Method of etching semiconductor substrate.
TW356597B (en) Semiconductor device and its method of fabrication the same
AU5682499A (en) Semiconductor processing methods of forming contact openings, methods of formingelectrical connections and interconnections, and integrated circuitry

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1995935091

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1995935091

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1995935091

Country of ref document: EP