WO1996041368A1 - Multilayer high vertical aspect ratio thin film structures - Google Patents

Multilayer high vertical aspect ratio thin film structures Download PDF

Info

Publication number
WO1996041368A1
WO1996041368A1 PCT/US1996/007109 US9607109W WO9641368A1 WO 1996041368 A1 WO1996041368 A1 WO 1996041368A1 US 9607109 W US9607109 W US 9607109W WO 9641368 A1 WO9641368 A1 WO 9641368A1
Authority
WO
WIPO (PCT)
Prior art keywords
mold
layer
thin film
silicon
different
Prior art date
Application number
PCT/US1996/007109
Other languages
French (fr)
Inventor
Christopher G. Keller
Original Assignee
The Regents Of The University Of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by The Regents Of The University Of California filed Critical The Regents Of The University Of California
Priority to JP50059097A priority Critical patent/JP2001517155A/en
Priority to AU57960/96A priority patent/AU5796096A/en
Priority to EP96914671A priority patent/EP0838084A4/en
Publication of WO1996041368A1 publication Critical patent/WO1996041368A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K9/00Medicinal preparations characterised by special physical form
    • A61K9/0012Galenical forms characterised by the site of application
    • A61K9/0019Injectable compositions; Intramuscular, intravenous, arterial, subcutaneous administration; Compositions to be administered through the skin in an invasive manner
    • A61K9/0024Solid, semi-solid or solidifying implants, which are implanted or injected in body tissue
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61KPREPARATIONS FOR MEDICAL, DENTAL OR TOILETRY PURPOSES
    • A61K9/00Medicinal preparations characterised by special physical form
    • A61K9/0087Galenical forms not covered by A61K9/02 - A61K9/7023
    • A61K9/0097Micromachined devices; Microelectromechanical systems [MEMS]; Devices obtained by lithographic treatment of silicon; Devices comprising chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D39/00Filtering material for liquid or gaseous fluids
    • B01D39/14Other self-supporting filtering material ; Other filtering material
    • B01D39/16Other self-supporting filtering material ; Other filtering material of organic material, e.g. synthetic fibres
    • B01D39/1692Other shaped material, e.g. perforated or porous sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D39/00Filtering material for liquid or gaseous fluids
    • B01D39/14Other self-supporting filtering material ; Other filtering material
    • B01D39/20Other self-supporting filtering material ; Other filtering material of inorganic material, e.g. asbestos paper, metallic filtering material of non-woven wires
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0053Inorganic membrane manufacture by inducing porosity into non porous precursor membranes
    • B01D67/0058Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by selective elimination of components, e.g. by leaching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0069Inorganic membrane manufacture by deposition from the liquid phase, e.g. electrochemical deposition
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0072Inorganic membrane manufacture by deposition from the gaseous phase, e.g. sputtering, CVD, PVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0081After-treatment of organic or inorganic membranes
    • B01D67/0083Thermal after-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D69/00Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor
    • B01D69/02Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor characterised by their properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D69/00Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor
    • B01D69/12Composite membranes; Ultra-thin membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • B01D71/0213Silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0075Manufacture of substrate-free structures
    • B81C99/0085Manufacture of substrate-free structures using moulds and master templates, e.g. for hot-embossing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2323/00Details relating to membrane preparation
    • B01D2323/24Use of template or surface directing agents [SDA]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/04Characteristic thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/26Electrical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/03Processes for manufacturing substrate-free structures
    • B81C2201/032LIGA process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0728Pre-CMOS, i.e. forming the micromechanical structure before the CMOS circuit

Definitions

  • the present invention relates generally to micromachined structures, and more particularly to three-dimensional, thin-film, micromachined structures.
  • Microelectromechanical systems integrate micromechanical and microelectronic devices on the same silicon chip. These systems have many useful applications such as microsensors and microactuators.
  • the accelerometer chips used to trigger air bag inflation in automobiles in the event of a collision are an example of a microsensor.
  • Microvalves used to control fluidic circuits are an example of microactuators.
  • Microstructures are made by photolithography and etching of deposited thin films to yield a desired shape. This is called “surface micromachining” because the thin films can only be deposited on a surface. This limits the height of the structure to approximately the thickness of the film.
  • the films are typically formed through the process of chemical vapor deposition (CVD) .
  • CVD chemical vapor deposition
  • a layer of silicon dioxide is used wherever a sacrificial material is needed.
  • the final step of fabrication is to etch away this material to open up passageways, or clearances between moving parts of the microstructure. Sacrificial layers are needed during processing to prevent structural layers from being deposited directly in contact with already-deposited structural layers, except in locations where interlayer contact and bonding is desired.
  • an object of the present invention is to provide free-standing, high-vertical aspect ratio thin film structures.
  • Another object of the present invention is to provide high-vertical aspect ratio thin film structures integrated with planar electronic circuits.
  • Yet another aspect of the present invention is to provide free-standing, hollow thin film structures.
  • the present invention is a thin film structure comprising a network of members shaped as ribs or tubes.
  • the extent of this thin film structure in any direction is greater than about twice the film thickness.
  • a first portion of the structure is composed of a material having certain properties.
  • a second portion of the structure is composed of material having at least one property different from the material composing the first portion of the structure.
  • the method of the present invention is a method of fabricating of a micromechanical element.
  • a mold having a depth is provided and coated with a sacrificial thin film layer.
  • a first portion of the mold is filled with a material having certain properties.
  • a second portion of the mold is filled with a material having at least one property different from the material filling the first portion of the mold.
  • the sacrificial thin film layer is then etched.
  • FIG. 1 is a schematic, perspective view of a portion of a high-vertical aspect ratio microelectromechanical structure (HARMEMS) according to the present invention.
  • HARMEMS microelectromechanical structure
  • Figure 2 is a schematic, perspective view of a portion of a mold used to fabricate the structure of
  • Figures 3A-3D are schematic, cross-sectional views of stages in the fabrication of a HARMEMS.
  • Figure 4 is a schematic, cross-sectional view illustrating the extraction of HARMEMS from its mold.
  • Figures 5A-5B are schematic, plan views illustrating the operation of a micro tensile testing machine formed as a HARMEMS.
  • Figures 6A-6C are schematic, cross-sectional views illustrating the fabrication of a tubing manifold and a solid HARMEMS.
  • Figure 7A is a schematic, perspective view of an enclosed vessel with ports according to the present invention.
  • Figures 7B-7E are schematic, cross-sectional views illustrating the fabrication of the enclosed vessel of Figure 7A.
  • Figures 8A and 8B are schematic, perspective views of a hydrostatic actuator or ambient pressure gauge according to the present invention, subject to different pressures.
  • Figures 9A-9E are schematic, cross-sectional views illustrating the fabrication of a silicon on insulator (SOI) structure with HARMEMS and surface silicon.
  • SOI silicon on insulator
  • Figure 10 is a plan view of a machine fabricated using the process of Figures 9A-9E.
  • Figures 11A and 11B are schematic, cross-sectional views illustrating steps in the fabrication of a SOI structure with HARMEMS and thin film transistor quality recrystallized silicon.
  • Figures 12A-12E are schematic, cross-sectional views illustrating steps in the fabrication of micromechanical structures with very high vertical aspect ratios.
  • Figure 13 is a perspective, schematic view of two cantilever beams with large mechanical clearance to wafer.
  • Figures 14A-14H are schematic, cross-sectional views illustrating steps in the fabrication of the structure of Figure 13.
  • Figures 15A-15E are schematic, cross-sectional views illustrating steps in the fabrication of a fiber- reinforced thin film structure.
  • Figures 16A and 16B are schematic, cross-sectional views illustrating steps in the fabrication of a multilayer HARMEMS structure.
  • Figures 17A-17E are schematic, cross-sectional views illustrating steps in the fabrication of the structure of Figure 19.
  • Figure 18A is a schematic cross-sectional view taken on line 18A-18A of Figure 17B.
  • Figure 18B is a schematic cross-sectional view taken on line 18B-18B of Figure 17D.
  • Figure 18C is a schematic cross-sectional view taken on line 18C-18C of Figure 17E.
  • Figure 19 is a schematic perspective cross- sectional view of a tubular multilayer HARMEMS structure.
  • Figure 20 is a schematic cross-sectional view of electrical contacts to the structure of Figure 19.
  • Figures 21A and 2IB are schematic, cross-sectional views illustrating steps in the fabrication of another tubular multilayer HARMEMS structure.
  • Figure 22 is a layout of tweezers microfabricated according to the present invention.
  • FIGS 23A-23C, 24A-24E, 25A and 25B are schematic, cross-sectional views illustrating steps in the fabrication of multilayer HARMEMS structures including bimorphs. Description of the Preferred Embodiments The present invention will be described in terms of several preferred embodiments.
  • the preferred embodiments are high vertical aspect ratio thin-film structures or high vertical aspect ratio microelectromechanical structures (HARMEMS) and methods for their fabrication. A portion 20 of such a structure is shown in Figure 1.
  • Structure 20 is a honeycomb-shaped network of thin film beams or ribs 22.
  • the thin films forming beams or ribs 22 are oriented in a vertical plane.
  • the vertical extent of structure 20, represented by dimension y may be in the range of about 5 microns to about 250 microns, with the preferred value being determined by the forces that will be applied to the structure in use, much larger than the thickness of beams 22 represented by dimension x, which may typically be in the range of about 5 microns to about 15 microns.
  • Structure 20 is lightweight, and its mechanical strength is much larger than that of a planar thin film.
  • a 100 micron thick honeycomb is about 125,000 stiffer than the typical 2 micron beams made in the art of surface silicon today.
  • Structure 22 is free-standing and its extent in any direction is greater than twice the thickness of the thin film forming beams 22.
  • Structure 20 may be fabricated using mold 24 of Figure 2.
  • Mold 24 may be formed by anisotropically etching photolithographically patterned vertical trenches 26 in a substrate such as a silicon wafer. The depth of trenches 26 is equal to the desired vertical extent of the HARMEMS 20 to be fabricated. Trenches 26 do not extend all the way through the wafer, leaving an unetched silicon base 28.
  • a silicon wafer may be coated with sufficient silicon Dioxide to serve as a mask for the required trench etch depth. Silicon dioxide is a good material to use as a mask for etching silicon because it holds up for a relatively long time under the conditions of the silicon etch process.
  • the silicon dioxide may be grown by thermal oxidation of the silicon wafer or by chemical vapor deposition (CVD) .
  • the silicon dioxide is coated with photoresist.
  • the photoresist is exposed to a mask which has the desired layout for the HARMEMS.
  • the photoresist is then developed and hardbaked.
  • the pattern is etched to expose the silicon in the areas where the trenches are to be etched.
  • the etch may be done by well known methods, such as wet etching using hydrofluoric acid (HF) or more preferably the oxide mask is etched anisotropically by plasma so that vertical sidewalks are left in the oxide and no significant lateral etching occurs.
  • HF hydrofluoric acid
  • the trenches are then etched anisotropically into the depth of the silicon using plasma etching.
  • the parameters for this process may be 180 seem chlorine, 400 seem Helium, 300 Watts, 425 millitorr and 0.8 cm gap.
  • the etching plasma is prevented from contacting the silicon that lies under the oxide. This etch step must be terminated before the oxide mask is completely consumed. Typically, the thickness of the oxide decreases by l micron during the etching of 20 microns of silicon.
  • the etched surface of the wafer may be made smoother if desired by growing 1 micron of thermal oxide on it and then etching this oxide away with a solution of 49% hydrofluoric acid (HF) .
  • HF hydrofluoric acid
  • One micron of undoped CVD silicon dioxide (undoped means pure silicon dioxide in this case) may then be deposited. This material is called low temperature oxide (LTO) .
  • LTO low temperature oxide
  • a sacrificial layer 28 is then deposited (Figure 3B) .
  • This layer may consist of up to about four microns of phosphosilicate glass (PSG) , that has a high etch rate in HF to facilitate the final removal of the HARMEMS from its mold.
  • the coated mold may be annealed to densify and reflow the PSG to provide a smooth surface.
  • the LTO layer prevents the diffusion of phosphorus into the silicon wafer.
  • the remaining trench volume is then filled with CVD polysilicon 25.
  • the deposition may be done at low temperature such as 580°C.
  • the structure of Figure 3B is obtained.
  • Trench 26 and the top surface 27 of mold 24 are coated with a sacrificial layer 28.
  • the remaining trench volume is filled with silicon, forming a beam 22.
  • the thickness of the polysilicon thin film forming beam 22 is less than the depth of mold 24.
  • a layer 30 of polysilicon also covers the mold. Layer 30 was formed at the same time layer 25 was formed.
  • top layer 30 may be retained as part of the finished device, in which case it may now be patterned photolithographically. If a flat planar surface is desired, the wafer may be lapped and polished, removing part or all of layer 30, as shown by Figure 3C. The wafer may now be annealed to relieve the internal stresses in the polysilicon, and to diffuse phosphorus from the PSG into the polysilicon to make it conductive. If nonconductive polysilicon is needed, the PSG can be coated with undoped LTO prior to the polysilicon deposition.
  • HARMEMS may then be released from the wafer by etching away the sacrificial oxide layer. Beam 22 of Figure 3D is thus produced.
  • Surface micromachined microstructures may be built on top of the HARMEMS while it is still held in its mold.
  • hollow beams can be made. These may be used to conduct fluids, or cause movement in response to hydrostatic pressure. Other methods for fabricating hollow structures will be described below.
  • Figure 4 shows the removal of HARMEMS 32 and 34 from their mold 44, after the sacrificial layers coating the mold have been etched. If the wafer was lapped and polished before etching of the sacrificial layers, the HARMEMS extend beyond the top surface 46 of the mold, as shown. A flat wafer 36 with a sticky surface such as a coating of wax 38 may be used to lift structures 32 and 34 from the mold.
  • mold 44 is n-type silicon
  • long small diameter passageways 40 leading to the mold cavities may be photoelectrochemically etched from the back and through the thickness of the mold.
  • Possible process parameters for the electrochemical etching are 10mA/cm 2 etching current, 10% HF concentration, platinum cathode, and light being shined on the back of the wafer i.e. the side opposite the trench patterned side.
  • This technique may be used to connect the bottoms of the mold cavities to a source of hydraulic pressure.
  • the preferred working fluid is deionized water with 0.1% surfactant such as Triton-XlOO, available from Sigma Chemical Co., 3500 De Kalb, St. Louis, Missouri 63118.
  • the parts can be ejected directly into receiving cavities that have been etched into a second wafer (not shown) .
  • the machines that can be built with the structures of the present invention typically consist of rigid beams connected by flexible links.
  • Rigid structures can be made from thin films by using the thin films to construct a honeycomb structure like the one shown in Figure 1.
  • the outside perimeter of the honeycomb region referred generally by reference numeral 55 ( Figures 5A and 5B) , is made in the shape of any desired machine part. This yields a rigid three-dimensional machine part that consists entirely of a relatively thin deposited films.
  • the flexible connecting links in such a structure may be simple single bars of polysilicon, such as links 52 and 58 in Figures 5A and 5B.
  • FIGS 5A and 5B The layout of a microtensile testing machine 48 fabricated as described above is shown in Figures 5A and 5B.
  • circular frame 54 and member 56 are actually honeycomb structures like that of Figure 1 whose details are too small to show.
  • the thin lines illustrated in these figures are flexible thin film connecting links, such as link 58 and spring 52.
  • an electric current is passed through frame 54, which as a result heats up and expands.
  • Figure 5A shows machine 48 at a uniform temperature.
  • frame 54 is at a higher temperature than the rest of the machine.
  • link 50 is subjected to tensile stress.
  • Tubing manifolds may be fabricated by using two wafers to form a mold, such as silicon wafers 60 and 62 of Figure 6A. Tubing manifolds may be used for controlling fluid flow for microscale chemical reactions.
  • Wafer 60 has mold cavities 68 and 70 etched into it as described above.
  • Wafer 62 is flat. The two wafers are bonded together by anodic bonding, or hydrophilic thermal bonding.
  • the contacting surfaces 72 and 74 of the wafers ( Figure 6A) must be extremely flat to ensure a large area of contact.
  • Layers 64 and 66 are preferably thermal oxide on the order of 0.5 microns thick.
  • a sacrificial layer 76 ( Figure 6B) of PSG is deposited. As discussed, this is a high-etch rate material. If there are any voids left between the bonded wafers where they should be in contact, but where, because of surface imperfections or particle contamination they are not in contact, the PSG will fill such voids as well as line mold cavities 68 and 70.
  • PSG layer 76 may be 1 to 10 microns thick.
  • the PSG is annealed to densify it. This step may be carried out for one hour at 1050°C.
  • a structural layer 78 of low pressure chemical vapor deposition (LPCVD) polysilicon is deposited and then annealed to relieve internal stresses.
  • Structural layer 78 forms the finished parts 80 and 82.
  • the structural layer may be 1 to 3 microns thick, and be annealed for one hour at 1000°C.
  • Thicker polysilicon films can be built up if a stress relief anneal is done after the accumulation of each additional 3 microns of polysilicon.
  • Other CVD films can be used instead of polysilicon. For example, silicon nitride parts can be made.
  • the polysilicon that has accumulated on the outside of the wafers must be removed to allow the sacrificial oxide layers 76 to be etched.
  • Photoresist is first applied to the wafer to protect the polysilicon at the entry ports to the mold cavities. Small entry ports are simply plugged by photoresist, and in the case of wide entry ports, the photoresist may flow into the mold cavity. There must be no passageway for the etching plasma to strike the polysilicon parts, only the polysilicon film on the exterior of the wafers. Once the polysilicon has been removed from the outside of the wafer assembly, the sacrificial oxide is exposed and can be etched by HF.
  • An HF solution may be used to etch all of the oxide and PSG since it is a continuous film, even though it has complicated contours due to the presence of the mold cavities.
  • the polysilicon is not etched by HF. It is preferred to cover the etching chamber with an opaque layer (such as aluminum foil) because photons can cause n-type silicon to etch. Once the sacrificial material is dissolved, the wafers can be separated and the parts can be removed.
  • the finished parts 80 and 82 are shown in Figure 6C.
  • the same process may be used to make enclosed vessels with ports, such as vessel 84 of Figure 7A, with ports 86, 88 and 90.
  • Such vessels may be used for fluidic devices such as microactuator 104 of Figures 8A and 8B.
  • the size of the vessels may be, for example, about 5 microns x 5 microns x 100 microns.
  • the ports are formed by providing passages from the mold cavity used to form the body of the vessel to the outside of the mold.
  • the molds 92 and 94 used to fabricate vessel 84 are shown in cross-section in Figure 7B.
  • the body of vessel 84 is formed using cavity 96 of mold 94.
  • Port 86. is formed using passage 100 of mold 94.
  • Ports 88 and 90 are formed using passage 98 of mold 92 and an additional passage (not shown) of mold 92.
  • the passages may be fabricated using photoelectrochemical etching.
  • Molds 92 and 94 are bonded and coated with a sacrificial layer of silicon dioxide as discussed above in the case of the tubing manifold fabrication.
  • a structural layer 102 of polysilicon is then applied and annealed, as discussed above and shown in Figure 7C.
  • the polysilicon 102 on the outside of the mold is removed as discussed above and shown in Figure 7D, leaving behind the polysilicon structure of vessel 84.
  • the vessel is then freed, as shown in Figure 7E, by etching the sacrificial layer coating the mold.
  • the curved enclosed vessel 104 with one port 106 of Figure 8A may be used as a hydrostatic actuator or as a Bourdon pressure gauge. When the internal pressure is greater than the external pressure, the curved tube tends to straighten out, as shown in Figure 8B.
  • HARMEMS may be integrated with surface silicon applied to the surface of the wafer used as the HARMEMS mold.
  • surface silicon refers to silicon structures that have a thickness (perpendicular to the wafer they were formed on) on the order of 2 to 6 microns.
  • SOI silicon on insulator
  • VLSI very large scale integration
  • Fabrication of the mold wafer used as the SOI foundation begins with the etching of an alignment pattern on the back of the wafer. This alignment pattern will be used as a reference on a double sided alignment machine for placing the patterns of later mask layers in precise registration with each other.
  • Two microns of LTO are then deposited on the wafer and densified by annealing for 1 hour at 1050°C.
  • the LTO is patterned to provide the etch mask for the surface silicon structures.
  • the silicon of the wafer is plasma etched to a depth equal to the desired thickness of the surface silicon structures plus the thickness of the sacrificial oxide.
  • Figure 9A shows a wafer 108 with recesses 110 provided as described above.
  • Trenches 112 for the HARMEMS are fabricated next.
  • Four microns of LTO are deposited and densified as described above.
  • the LTO is patterned to provide a mask for etching the HARMEMS mold trenches 112.
  • the silicon wafer is plasma etched to the depth of the desired HARMEMS plus the desired sacrificial oxide. All the oxide is then removed by HF.
  • the wafer may optionally be thermally oxidized and the oxide removed by HF to smoothen the surfaces produced by etching.
  • the mold wafer is finished, with the final shape shown in Figure 9A for wafer 108.
  • a sacrificial PSG layer 114 ( Figure 9A) is then deposited and densified.
  • the structural layer 116 of amorphous LPCVD silicon is deposited and annealed. The wafer is lapped and polished back to the original silicon surface. It is like a new wafer, except that it has mechanical structures buried in it.
  • a layer of LPCVD silicon is next deposited and thermally oxidized to yield a layer of silicon dioxide 118 ( Figure 9B) .
  • a second silicon wafer (not shown) is bonded to the silicon dioxide surface. This second wafer is lapped and polished down to the desired final thickness for the electronic silicon layer 120.
  • the resulting wafer 122 now looks exactly like a standard SOI wafer and can be processed as such.
  • a pattern for anchor contact holes is photolithographically placed on the SOI layer 120.
  • the contact holes are above the buried silicon structures. In the embodiment illustrated in Figures 9A-9E, the contact holes would be above trenches 112.
  • the contact holes are etched through the SOI layer and then the oxide layer to expose the surface of the polysilicon of the buried HARMEMS.
  • LPCVD polysilicon is then deposited to fill the contact holes and bond the SOI layer to the buried silicon structures.
  • the surface layer of polysilicon is lapped and polished to leave only the polysilicon in the contact holes and expose the surface of SOI layer 120.
  • the resulting structure 124 is shown in Figure 9C.
  • Standard VLSI processing may now be used to fabricate electronic devices in the SOI layer.
  • the SOI layer 120 is patterned and etched to leave only islands 126 (Figure 9D) that are located in the desired places on the mechanical structures, including flexible (by virtue of sinusoidal shape) doped conducting interconnects for carrying current between islands 126.
  • the electronic devices (not shown) are covered with a standard silicon nitride passivation layer (not shown) , so they are protected from this and subsequent etching processes.
  • the patterning of the SOI layer may also provide a layer of surface silicon type mechanical structures 127 which may interact in cooperation with the surface structures of the polysilicon layer.
  • the completed machine 128 ( Figure 9E) can now be released from the wafer and removed by etching sacrificial layers 114 and 118 as described above.
  • the design of a machine 140 fabricated using the above process is shown in Figure 10.
  • the rigid mechanical structures 142 are made of HARMEMS. Overlying some of these structures are layers of single crystal silicon 144, anchored onto the HARMEMS with polysilicon anchors 146.
  • the machine also comprises flexible polysilicon beams 148 and silicon interconnects 150.
  • the electronic circuitry layer may be made as described above, but instead of using the SOI strategy to provide VLSI quality silicon, thin film transistor (TFT) quality silicon can be used.
  • TFT thin film transistor
  • the processing sequence is similar to the SOI construction up to the point where the thermal oxide layer 118 is formed.
  • recrystallization windows 130 are etched through layer 118 to expose the surface of the single crystal silicon wafer.
  • LPCVD layer 132 of amorphous polysilicon is deposited next, resulting in the structure 134 of Figure 11A.
  • the wafer is then annealed at about 600°C in a recrystallization furnace.
  • the regions of the amorphous silicon 132 that are in contact with the single crystal wafer surface proceed to nucleate the crystallization process, thereby propagating the existing silicon crystal lattice arrangement from the wafer surface up and laterally throughout the LPCVD silicon film.
  • the resulting recrystallized silicon film 136 ( Figure 11B) has too many defects for VLSI circuitry, but it is adequate for many small scale integration (SSI) applications.
  • the resulting structure 138 is similar to structure 122 of Figure 9B.
  • the subsequent steps are similar to the ones described above for the SOI device case.
  • FIG. 12A-12E The vertical aspect ratio attainable with anisotropic etching of silicon and PSG sacrificial layers is limited by the unevenness of the PSG layer.
  • FIG. 12A-12E A mold 152 is fabricated as described above. The mold is coated with a layer 154 of CVD polysilicon (Figure 12B) , whose thickness is more constant than that of PSG. Polysilicon 154 is thermally oxidized to obtain a sacrificial layer 155 ( Figure 12C) . From this point on, the process is the same as previously described. A structural layer 156 of polysilicon is deposited ( Figure 12C) , the surface layer is lapped off ( Figure 12D) , and then the polysilicon structures 158 ( Figures 12D, 12E) are released.
  • Such a structure 160 is shown in Figure 13.
  • the structure comprises a substrate 162 and beams 164 anchored to the substrate by anchors 166.
  • Such a structure may be fabricated as described with reference to Figures 14A-14D.
  • wafer 162 is first patterned with trenches 168 that serve as molds for the HARMEMS.
  • a bilayer 170 of silicon nitride and polysilicon is then deposited, to serve as a mask for the andization step to follow and as anchors 166.
  • bilayer 170 is patterned using photoresist 172.
  • the bilayer is then etched anisotropically, using Cl 2 for the polysilicon and CF 4 and HCF 3 for the silicon nitride.
  • the resulting structure is shown in Figure 14C.
  • the silicon wafer 168 is then anodized and the resulting porous silicon oxidized to obtain the structure of Figure 14D containing silicon dioxide 172.
  • the top portion of bilayer 170 is then removed, for example by lapping and polishing.
  • a structural layer of polysilicon 174 is deposited as shown in Figure 14F. Its top layer is removed as shown in Figure 14G.
  • oxide 172 and bilayer 170 are removed using HF.
  • Anchors 166 ( Figure 13) are masked by the silicon of wafer 162 and not significantly etched.
  • HARMEMS structures composed of multiple materials may also be fabricated, as disclosed below. Such combinations of materials may be used to control the mechanical or the electrical properties of the devices.
  • Figures 15A-15E show a method for fabrication of tungsten fiber-reinforced polysilicon structures. As described above, fabrication begins with a mold wafer 176 with a trench 178 etched in it. The etching may be done by dry etching with a mixture of chlorine (180 seem) and helium (200 seem) at a pressure of 425 millitorr (mT) , and an electrode gap of 0.8 cm to provide an etch rate of 1 micron/minute.
  • mT millitorr
  • Si wet etch 1890 ml concentrated nitric acid, 960 ml deionized water and 75 ml 40% aqueous ammonium fluoride
  • Si dioxide used as an etch mask provides a selectivity of 20:1.
  • a sacrificial oxide layer 180 is then deposited over the surface. This layer may be deposited by chemical vapor deposition (CVD) at a temperature of 450 °C and pressure of 300 mT, with a gas flow of 90 seem oxygen and 60 seem silane.
  • CVD chemical vapor deposition
  • loosely packed tungsten fibers 182 have been applied to the wafer. This is done by spin applying a slurry of tungsten fibers (1 to 10 microns long, 0.1 to 0.5 microns in diameter), in a liquid vehicle of suitable viscosity and volatility such as dodecane or water with surfactants. If desired, the fibers may be cleaned of the horizontal top surface of the wafer and just left in the trenches. Successive spin applications of increasing fiber lengths can be done to create a gradient of decreasing packing density from the bottom to the top of the trench.
  • Figure 15C shows the void space between the fibers filled by CVD polysilicon.
  • Either doped or undoped polysilicon may be used. Recipes for the polysilicon deposition are given below.
  • the CVD deposition process encases the fibers 182 in polysilicon 184. Some voids may be trapped, but this can be minimized by having the fiber packing density decrease from the bottom of the trench to the top. This way channels to the bottom will not become closed before the bottom is filled.
  • the resulting reinforced polysilicon structure has a planar layer 186 and a vertical layer 188.
  • the planar layer 186 may be patterned or completely polished off.
  • sacrificial layer 180 is etched to free the finished part as shown in Figures 15D and 15E.
  • FIG. 16A shows a cross- sectional view of a silicon wafer 190 with trenches 192, 194 and 196 etched in it. These trenches have different widths.
  • the surface of the mold wafer 190 has been coated with a sacrificial layer 198 such as silicon dioxide, as discussed above. Sacrificial layer 198 has been coated with a structural layer 200 such as undoped CVD polysilicon which is not electrically conductive.
  • Undoped CVD polysilicon may be deposited at a temperature of 580 °C, pressure of 300 mT and silane flow rate of 100 seem, resulting in a deposition rate of 1 micron in 3 hours. After every 3 microns of deposition and at the end of the deposition, the film should be annealed for one hour in nitrogen at a temperature of 1000 °C, followed by native oxide removal with aqueous HF.
  • the narrowest trench 192 is filled and cannot accept material from subsequent deposition.
  • the beam 202 ( Figure 16B) molded in trench 192 will be insulating, there not being any conductive material in the beam.
  • Structural layer 204 ( Figure 16A) is deposited next.
  • This layer may be, for example, phosphorus doped CVD polysilicon which is electrically conductive but more resistive than a metal.
  • This deposition may be carried out at a temperature of 610 °C, pressure of 375 mT, silane flow rate of 100 seem and phosphine flow rate of 1 seem, resulting in a deposition rate of 2 microns in 12 hours.
  • the film should be annealed for one hour in nitrogen at a temperature of 1000 °C, followed by native oxide removal with aqueous HF. Now the second trench 194 is full.
  • the beam 206 ( Figure 16B) molded in trench 194 will be resistive.
  • Layer 208 may be a metal such as electroless plated nickel, which may be deposited using a commercially available plating kit from Buehler Inc., 41 Waukegan Rd. , Lake Bluff, IL 60044. Magnetic materials may also be plated such as electroless cobalt phosphorus. Electroplating is possible if a seed layer (for example 100 angstroms of sputtered copper) is first applied. This enables microfabricated embodiments of many types of magnetic actuators, sensors and transducers.
  • beam 212 molded in trench 196 may be a conductor or magnetic element.
  • the planar layer 210 of deposited material may be patterned, or it may simply be ground off to leave only the vertical beams 202, 206 and 212 formed in trenches 192, 194 and 196.
  • Figure 16B shows the wafer after the sacrificial oxide 198 has been etched with 49% aqueous HF and the beams are ready to be removed from the mold.
  • tubular multilayer beams can also be fabricated. For some applications there may be a preference for either solid or tubular beams depending on the performance requirements. Solid beams have the advantage that only one wafer is needed for the mold.
  • FIGS 17A-17E and 18A-18C show the process for forming multilayer tubular beams.
  • a wafer 214 with a flat surface has been coated with a sacrificial oxide layer 216.
  • This layer has been patterned with anchor windows 218 where anchor points connecting this wafer to the molded structures will be formed.
  • Anchor windows 218 are the only exposed areas of silicon. Everywhere else the silicon is covered with sacrificial oxide 216.
  • a second silicon wafer 220 has been etched as described above to define the trenches for molding the part.
  • This wafer is also coated with sacrificial oxide 222.
  • the two wafers are bonded together by means such as anodic bonding.
  • Anodic bonding may be carried out for 30 seconds at 500 °C and 1000 volts.
  • Orthogonal cross-sections through the resulting structure are shown in Figures 17B and 18A.
  • a first layer of structural material is deposited in the mold ( Figure 17C) .
  • CVD polysilicon 224 may be deposited as described above, to line the surfaces of channels 226 and form anchors 228.
  • the silane gas enters through ports 230 ( Figure 18A) .
  • Ports 230 allow the influx of gases or liquids from which the deposited layers are formed. These ports may be plasma etched through a 50 to 100 micron thick membrane 236 of silicon left by anisotropic etching (for example, with aqueous potassium hydroxide) to form pits 238.
  • a second layer of structural material 232 may be deposited to coat the inside of the first layer ( Figures 17D and 18B) . If desired, means can be provided, as will be shown later, to confine this deposition to only some of the channels in the mold.
  • FIG. 17E and 18C show the finished parts 234 after dissolution of the sacrificial oxide layers 216, 222 by HF, and removal from the lower mold wafer 220.
  • the parts 234 are still held in their as-molded organized relative positions by anchors 228 to the handle wafer 214. They may undergo further processing steps on the handle wafer, or may be removed by mechanically breaking the relatively fragile anchors.
  • the resulting tubular beam is shown in Figure 19.
  • Figure 20 shows how electrical contact can be made to the inner layer 232 of the structure through ports 230 using pads of solder paste 240.
  • Figure 21A shows a plan view of a mold cavity with channels 242 , 244 and 246 etched in the mold wafer, and ports 230 (shown as dotted outlines) in the overlying handle wafer. Note that the trenches may be of varying width.
  • the entire mold cavity is covered with sacrificial oxide prior to bonding the - 23 - handle wafer to the mold wafer, as described above.
  • the first layer 248 of structural material ( Figure 21B) lines the entire surface of the mold cavity. Trench section 246 becomes sealed off in this step because the constriction 250 between post 252 and the trench wall is completely filled by material 248. Trench 246 will not receive any more deposited materials from subsequent depositions.
  • a second deposited layer 254 lines trenches 244 and 242. In so doing, the constriction 256 between post 258 and the trench wall becomes completely blocked by deposited material, thereby isolating trench section 244 from further processing. Isolated trench sections will remain evacuated in the final part.
  • a third structural layer 260 may be deposited in the remaining accessible mold channels 242. The finished part is removed from the mold as described above.
  • the above-described methods for making solid and tubular beams of varying composition can be used to make electronic, mechanical and electromechanical devices such as machines, an example of which are the tweezers whose layout is shown in Figure 22.
  • the widest beams 262 contain nickel so they are good conductors and do not heat up when an electric current is passed through them. They are used as leads to a transducer that operates by dilating as a result of ohmic heating.
  • This transducer is formed out of intermediate width beams 264 which contain doped polysilicon and do not contain nickel so they are moderately conducting and do heat up under the applied current.
  • the narrow beams 266 consist only of undoped polysilicon and are electrically insulating.
  • a beam with variable residual stress across its width will bend.
  • Two layer structures or bimorphs can be fabricated that bend either perpendicular to the plane of the mold wafer, allowing fabrication of structures with large vertical dimensions and vertical mold ejection, or in the plane of the wafer, allowing fabrication of structures with smaller clearances than a mold normally allows or biasing of parts against each other.
  • fabrication of a bimorph that bends perpendicular to the plane of the mold wafer uses a wide trench that produces a U-shaped beam.
  • the vertical displacement of the beam after release is proportional to the beam width and inversely proportional to the cube of the height of the beam.
  • care must be taken to keep the in-plane strain smaller than the mold clearance.
  • fabrication of such a beam begins with a mold wafer 268 having a trench 270 etched as discussed above. Also as discussed above, the wafer is coated with a sacrificial oxide layer 272.
  • a layer 274 of compressive residual stress polysilicon is next deposited at 620 °C and without annealing.
  • FIGS. 24A-24E The fabrication of bimorphs with lateral deflection in the plane of the mold wafer is illustrated in Figures 24A-24E. Fabrication begins with a mold wafer 278 having a trench etched as discussed above. Also as discussed above, the wafer is coated with a sacrificial oxide layer 280. A layer 282 of low stress silicon rich silicon nitride is next deposited. This deposition may be carried out by CVD at 140 T and 850 °C, with a gas flow of 25 seem of ammonia and 100 seem of dichlorosilane, which results in a film growth of 0.25 microns per hour.
  • Photoresist 288 has been spun over this assembly and patterned to create openings 290 to expose the PSG on one side of the beam where the compressive stress layer is desired.
  • a timed etch with buffered HF (which does not attack photoresist) is done to remove the PSG down to the desired depth in the trench (for example, to the bottom of the trench) as shown in Figure 24B.
  • Buffered HF may slowly attack the polysilicon, so an alternative is to use plain HF with photosensitive polyimide which can withstand exposure to the unbuffered solution.
  • the thin layer 282 of silicon nitride protects the first layer of sacrificial oxide from contact with HF.
  • the photoresist 288 is next removed, and CVD polysilicon 292 is deposited at the temperature that yields the desired residual stress resulting in the structure of Figure 24C.
  • the horizontal planar layer may be removed by blanket etch or mechanical polishing resulting in the structure of Figure 24D.
  • the assembly is put into aqueous HF to remove the sacrificial oxide layers 280 and 284 and the thin silicon nitride 282.
  • the released structure 293 removed from the mold is shown in Figure 24E.
  • FIG. 25A shows a HARMEMS structure 294 in its mold 296 prior to the etching of the sacrificial layer.
  • surface bimorph lifters 298 are surface bimorph lifters 298.
  • surface bimorph lifters 298 lift HARMEMS structure 294 out of mold 296 when the sacrificial layer is etched.
  • Surface bimorph lifters 298 may be either permanent or sacrificial. Permanent ones may be fabricated using polysilicon as discussed above, and sacrificial ones may be fabricated using tensile silicon nitride and tensile silicon dioxide.
  • high vertical aspect ratio thin film structures and methods for their fabrication have been described. Such structures may be used to build fluidic systems and milli-scale micromechanical systems.

Abstract

This invention relates to the area of microelectromechanical systems in which electronic circuits and mechanical devices are integrated on the same silicon chip. The method taught herein allows the fabrication of thin film structures (2) in excess of 150 microns in height using thin film deposition processes. Wafers may be employed as reusable molds for efficient production of such structures. Various material properties may be varied within the structures to produce electrical, mechanical or electromechanical devices.

Description

MULTILAYER HIGH VERTICAL ASPECT RATIO THIN FILM
STRUCTURES Background of the Invention
The present invention relates generally to micromachined structures, and more particularly to three-dimensional, thin-film, micromachined structures. Microelectromechanical systems (MEMS) integrate micromechanical and microelectronic devices on the same silicon chip. These systems have many useful applications such as microsensors and microactuators. The accelerometer chips used to trigger air bag inflation in automobiles in the event of a collision are an example of a microsensor. Microvalves used to control fluidic circuits are an example of microactuators.
Microstructures are made by photolithography and etching of deposited thin films to yield a desired shape. This is called "surface micromachining" because the thin films can only be deposited on a surface. This limits the height of the structure to approximately the thickness of the film. The films are typically formed through the process of chemical vapor deposition (CVD) . Typically, a layer of silicon dioxide is used wherever a sacrificial material is needed. The final step of fabrication is to etch away this material to open up passageways, or clearances between moving parts of the microstructure. Sacrificial layers are needed during processing to prevent structural layers from being deposited directly in contact with already-deposited structural layers, except in locations where interlayer contact and bonding is desired. Since the resulting structures have microscopic thicknesses, they can only withstand microscopic forces without breaking. There has long been a need for a way to make milli-scale structures (structures with dimensions on the order of 100 microns) of any arbitrary shape required. For example, tubing manifolds and enclosed vessels that may be used in fluidic systems such as in the field of microscale chemical processing with liquid or gaseous reagents. There are methods for making milli-scale structures by chemical etching of silicon wafers, but these are restricted to certain crystal planes and cannot be used to make any arbitrary shape that may be required. Accordingly, an object of the present invention is to provide free-standing, high-vertical aspect ratio thin film structures.
Another object of the present invention is to provide high-vertical aspect ratio thin film structures integrated with planar electronic circuits.
Yet another aspect of the present invention is to provide free-standing, hollow thin film structures.
Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out in the claims.
Summary of the Invention The present invention is a thin film structure comprising a network of members shaped as ribs or tubes. The extent of this thin film structure in any direction is greater than about twice the film thickness. A first portion of the structure is composed of a material having certain properties. A second portion of the structure is composed of material having at least one property different from the material composing the first portion of the structure.
The method of the present invention is a method of fabricating of a micromechanical element. A mold having a depth is provided and coated with a sacrificial thin film layer. A first portion of the mold is filled with a material having certain properties. A second portion of the mold is filled with a material having at least one property different from the material filling the first portion of the mold. The sacrificial thin film layer is then etched.
Brief Description of the Drawings
The accompanying drawings, which are incorporated in and constitute a part of the specification, schematically illustrate a preferred embodiment of the invention and, together with the general description given above and the detailed description of the preferred embodiment given below, serve to explain the principles of the invention.
Figure 1 is a schematic, perspective view of a portion of a high-vertical aspect ratio microelectromechanical structure (HARMEMS) according to the present invention.
Figure 2 is a schematic, perspective view of a portion of a mold used to fabricate the structure of
Figure 1. Figures 3A-3D are schematic, cross-sectional views of stages in the fabrication of a HARMEMS.
Figure 4 is a schematic, cross-sectional view illustrating the extraction of HARMEMS from its mold. Figures 5A-5B are schematic, plan views illustrating the operation of a micro tensile testing machine formed as a HARMEMS.
Figures 6A-6C are schematic, cross-sectional views illustrating the fabrication of a tubing manifold and a solid HARMEMS.
Figure 7A is a schematic, perspective view of an enclosed vessel with ports according to the present invention.
Figures 7B-7E are schematic, cross-sectional views illustrating the fabrication of the enclosed vessel of Figure 7A.
Figures 8A and 8B are schematic, perspective views of a hydrostatic actuator or ambient pressure gauge according to the present invention, subject to different pressures.
Figures 9A-9E are schematic, cross-sectional views illustrating the fabrication of a silicon on insulator (SOI) structure with HARMEMS and surface silicon.
Figure 10 is a plan view of a machine fabricated using the process of Figures 9A-9E.
Figures 11A and 11B are schematic, cross-sectional views illustrating steps in the fabrication of a SOI structure with HARMEMS and thin film transistor quality recrystallized silicon. Figures 12A-12E are schematic, cross-sectional views illustrating steps in the fabrication of micromechanical structures with very high vertical aspect ratios. Figure 13 is a perspective, schematic view of two cantilever beams with large mechanical clearance to wafer.
Figures 14A-14H are schematic, cross-sectional views illustrating steps in the fabrication of the structure of Figure 13.
Figures 15A-15E are schematic, cross-sectional views illustrating steps in the fabrication of a fiber- reinforced thin film structure. Figures 16A and 16B are schematic, cross-sectional views illustrating steps in the fabrication of a multilayer HARMEMS structure.
Figures 17A-17E are schematic, cross-sectional views illustrating steps in the fabrication of the structure of Figure 19.
Figure 18A is a schematic cross-sectional view taken on line 18A-18A of Figure 17B.
Figure 18B is a schematic cross-sectional view taken on line 18B-18B of Figure 17D. Figure 18C is a schematic cross-sectional view taken on line 18C-18C of Figure 17E.
Figure 19 is a schematic perspective cross- sectional view of a tubular multilayer HARMEMS structure.
Figure 20 is a schematic cross-sectional view of electrical contacts to the structure of Figure 19.
Figures 21A and 2IB are schematic, cross-sectional views illustrating steps in the fabrication of another tubular multilayer HARMEMS structure.
Figure 22 is a layout of tweezers microfabricated according to the present invention.
Figures 23A-23C, 24A-24E, 25A and 25B are schematic, cross-sectional views illustrating steps in the fabrication of multilayer HARMEMS structures including bimorphs. Description of the Preferred Embodiments The present invention will be described in terms of several preferred embodiments. The preferred embodiments are high vertical aspect ratio thin-film structures or high vertical aspect ratio microelectromechanical structures (HARMEMS) and methods for their fabrication. A portion 20 of such a structure is shown in Figure 1.
Structure 20 is a honeycomb-shaped network of thin film beams or ribs 22. The thin films forming beams or ribs 22 are oriented in a vertical plane. The vertical extent of structure 20, represented by dimension y, may be in the range of about 5 microns to about 250 microns, with the preferred value being determined by the forces that will be applied to the structure in use, much larger than the thickness of beams 22 represented by dimension x, which may typically be in the range of about 5 microns to about 15 microns. Structure 20 is lightweight, and its mechanical strength is much larger than that of a planar thin film. Since the bending stiffness increases with the cube of the thickness, a 100 micron thick honeycomb is about 125,000 stiffer than the typical 2 micron beams made in the art of surface silicon today. Structure 22 is free-standing and its extent in any direction is greater than twice the thickness of the thin film forming beams 22.
Structure 20 may be fabricated using mold 24 of Figure 2. Mold 24 may be formed by anisotropically etching photolithographically patterned vertical trenches 26 in a substrate such as a silicon wafer. The depth of trenches 26 is equal to the desired vertical extent of the HARMEMS 20 to be fabricated. Trenches 26 do not extend all the way through the wafer, leaving an unetched silicon base 28. To form a silicon mold, a silicon wafer may be coated with sufficient silicon Dioxide to serve as a mask for the required trench etch depth. Silicon dioxide is a good material to use as a mask for etching silicon because it holds up for a relatively long time under the conditions of the silicon etch process. The silicon dioxide may be grown by thermal oxidation of the silicon wafer or by chemical vapor deposition (CVD) . The silicon dioxide is coated with photoresist. The photoresist is exposed to a mask which has the desired layout for the HARMEMS. The photoresist is then developed and hardbaked. The pattern is etched to expose the silicon in the areas where the trenches are to be etched. The etch may be done by well known methods, such as wet etching using hydrofluoric acid (HF) or more preferably the oxide mask is etched anisotropically by plasma so that vertical sidewalks are left in the oxide and no significant lateral etching occurs.
The trenches are then etched anisotropically into the depth of the silicon using plasma etching. The parameters for this process may be 180 seem chlorine, 400 seem Helium, 300 Watts, 425 millitorr and 0.8 cm gap. The etching plasma is prevented from contacting the silicon that lies under the oxide. This etch step must be terminated before the oxide mask is completely consumed. Typically, the thickness of the oxide decreases by l micron during the etching of 20 microns of silicon.
The etched surface of the wafer may be made smoother if desired by growing 1 micron of thermal oxide on it and then etching this oxide away with a solution of 49% hydrofluoric acid (HF) . One micron of undoped CVD silicon dioxide (undoped means pure silicon dioxide in this case) may then be deposited. This material is called low temperature oxide (LTO) . A cross sectional view of a resulting trench 26 is shown in Figure 3A. At this point a mold such as mold 24 of Figure 2 has been obtained.
A sacrificial layer 28 is then deposited (Figure 3B) . This layer may consist of up to about four microns of phosphosilicate glass (PSG) , that has a high etch rate in HF to facilitate the final removal of the HARMEMS from its mold. The coated mold may be annealed to densify and reflow the PSG to provide a smooth surface. The LTO layer prevents the diffusion of phosphorus into the silicon wafer.
The remaining trench volume is then filled with CVD polysilicon 25. To ensure that the trench is completely filled, the deposition may be done at low temperature such as 580°C. When the trench is completely filled, the structure of Figure 3B is obtained. Trench 26 and the top surface 27 of mold 24 are coated with a sacrificial layer 28. The remaining trench volume is filled with silicon, forming a beam 22. The thickness of the polysilicon thin film forming beam 22 is less than the depth of mold 24. A layer 30 of polysilicon also covers the mold. Layer 30 was formed at the same time layer 25 was formed. For some applications, such as a reinforced particle filter disclosed in copending application "Microfabricated Particle Filter" filed concurrent with the subject application and assigned to the assignee of the subject application, top layer 30 may be retained as part of the finished device, in which case it may now be patterned photolithographically. If a flat planar surface is desired, the wafer may be lapped and polished, removing part or all of layer 30, as shown by Figure 3C. The wafer may now be annealed to relieve the internal stresses in the polysilicon, and to diffuse phosphorus from the PSG into the polysilicon to make it conductive. If nonconductive polysilicon is needed, the PSG can be coated with undoped LTO prior to the polysilicon deposition.
HARMEMS may then be released from the wafer by etching away the sacrificial oxide layer. Beam 22 of Figure 3D is thus produced.
Surface micromachined microstructures may be built on top of the HARMEMS while it is still held in its mold.
If the trench etching was done under conditions that cause undercutting of the wafer, then hollow beams can be made. These may be used to conduct fluids, or cause movement in response to hydrostatic pressure. Other methods for fabricating hollow structures will be described below.
Figure 4 shows the removal of HARMEMS 32 and 34 from their mold 44, after the sacrificial layers coating the mold have been etched. If the wafer was lapped and polished before etching of the sacrificial layers, the HARMEMS extend beyond the top surface 46 of the mold, as shown. A flat wafer 36 with a sticky surface such as a coating of wax 38 may be used to lift structures 32 and 34 from the mold.
If mold 44 is n-type silicon, long small diameter passageways 40 leading to the mold cavities may be photoelectrochemically etched from the back and through the thickness of the mold. Possible process parameters for the electrochemical etching are 10mA/cm2 etching current, 10% HF concentration, platinum cathode, and light being shined on the back of the wafer i.e. the side opposite the trench patterned side. This technique may be used to connect the bottoms of the mold cavities to a source of hydraulic pressure. The preferred working fluid is deionized water with 0.1% surfactant such as Triton-XlOO, available from Sigma Chemical Co., 3500 De Kalb, St. Louis, Missouri 63118. To retain the organization of the parts fabricated in mold 44 in accordance with the present invention, the parts can be ejected directly into receiving cavities that have been etched into a second wafer (not shown) .
The machines that can be built with the structures of the present invention typically consist of rigid beams connected by flexible links. Rigid structures can be made from thin films by using the thin films to construct a honeycomb structure like the one shown in Figure 1. The outside perimeter of the honeycomb region, referred generally by reference numeral 55 (Figures 5A and 5B) , is made in the shape of any desired machine part. This yields a rigid three-dimensional machine part that consists entirely of a relatively thin deposited films. The flexible connecting links in such a structure may be simple single bars of polysilicon, such as links 52 and 58 in Figures 5A and 5B.
The layout of a microtensile testing machine 48 fabricated as described above is shown in Figures 5A and 5B. In these figures, circular frame 54 and member 56 are actually honeycomb structures like that of Figure 1 whose details are too small to show. The thin lines illustrated in these figures are flexible thin film connecting links, such as link 58 and spring 52. To tension test structure 50, an electric current is passed through frame 54, which as a result heats up and expands. Figure 5A shows machine 48 at a uniform temperature. In Figure 5B, frame 54 is at a higher temperature than the rest of the machine. As a result, link 50 is subjected to tensile stress. Tubing manifolds may be fabricated by using two wafers to form a mold, such as silicon wafers 60 and 62 of Figure 6A. Tubing manifolds may be used for controlling fluid flow for microscale chemical reactions. Wafer 60 has mold cavities 68 and 70 etched into it as described above. Wafer 62 is flat. The two wafers are bonded together by anodic bonding, or hydrophilic thermal bonding. For this to work, the contacting surfaces 72 and 74 of the wafers (Figure 6A) must be extremely flat to ensure a large area of contact. There must be a thin layer of silicon dioxide such as layers 64 and 66, or other easily etchable material, separating the silicon so that the wafer assembly can be taken apart later. Layers 64 and 66 are preferably thermal oxide on the order of 0.5 microns thick. After the wafers are bonded together, a sacrificial layer 76 (Figure 6B) of PSG is deposited. As discussed, this is a high-etch rate material. If there are any voids left between the bonded wafers where they should be in contact, but where, because of surface imperfections or particle contamination they are not in contact, the PSG will fill such voids as well as line mold cavities 68 and 70. PSG layer 76 may be 1 to 10 microns thick. The PSG is annealed to densify it. This step may be carried out for one hour at 1050°C. A structural layer 78 of low pressure chemical vapor deposition (LPCVD) polysilicon is deposited and then annealed to relieve internal stresses. Structural layer 78 forms the finished parts 80 and 82. The structural layer may be 1 to 3 microns thick, and be annealed for one hour at 1000°C. Thicker polysilicon films can be built up if a stress relief anneal is done after the accumulation of each additional 3 microns of polysilicon. Other CVD films can be used instead of polysilicon. For example, silicon nitride parts can be made.
After the polysilicon deposition and anneal are complete, the polysilicon that has accumulated on the outside of the wafers must be removed to allow the sacrificial oxide layers 76 to be etched. Photoresist is first applied to the wafer to protect the polysilicon at the entry ports to the mold cavities. Small entry ports are simply plugged by photoresist, and in the case of wide entry ports, the photoresist may flow into the mold cavity. There must be no passageway for the etching plasma to strike the polysilicon parts, only the polysilicon film on the exterior of the wafers. Once the polysilicon has been removed from the outside of the wafer assembly, the sacrificial oxide is exposed and can be etched by HF. An HF solution may be used to etch all of the oxide and PSG since it is a continuous film, even though it has complicated contours due to the presence of the mold cavities. The polysilicon is not etched by HF. It is preferred to cover the etching chamber with an opaque layer (such as aluminum foil) because photons can cause n-type silicon to etch. Once the sacrificial material is dissolved, the wafers can be separated and the parts can be removed. The finished parts 80 and 82 are shown in Figure 6C.
The same process may be used to make enclosed vessels with ports, such as vessel 84 of Figure 7A, with ports 86, 88 and 90. Such vessels may be used for fluidic devices such as microactuator 104 of Figures 8A and 8B. The size of the vessels may be, for example, about 5 microns x 5 microns x 100 microns. The ports are formed by providing passages from the mold cavity used to form the body of the vessel to the outside of the mold. The molds 92 and 94 used to fabricate vessel 84 are shown in cross-section in Figure 7B. The body of vessel 84 is formed using cavity 96 of mold 94. Port 86.is formed using passage 100 of mold 94. Ports 88 and 90 are formed using passage 98 of mold 92 and an additional passage (not shown) of mold 92. The passages may be fabricated using photoelectrochemical etching. Molds 92 and 94 are bonded and coated with a sacrificial layer of silicon dioxide as discussed above in the case of the tubing manifold fabrication. A structural layer 102 of polysilicon is then applied and annealed, as discussed above and shown in Figure 7C. The polysilicon 102 on the outside of the mold is removed as discussed above and shown in Figure 7D, leaving behind the polysilicon structure of vessel 84. The vessel is then freed, as shown in Figure 7E, by etching the sacrificial layer coating the mold.
The curved enclosed vessel 104 with one port 106 of Figure 8A may be used as a hydrostatic actuator or as a Bourdon pressure gauge. When the internal pressure is greater than the external pressure, the curved tube tends to straighten out, as shown in Figure 8B.
HARMEMS may be integrated with surface silicon applied to the surface of the wafer used as the HARMEMS mold. The term "surface silicon" refers to silicon structures that have a thickness (perpendicular to the wafer they were formed on) on the order of 2 to 6 microns. The resulting structure is a silicon on insulator (SOI) structure. SOI is a silicon wafer on which a layer of silicon dioxide has been formed
(typically 1 to several microns thick) , and then a second silicon wafer is bonded to this oxide surface. The second wafer is lapped down and polished to leave just a thin layer of single-crystal very large scale integration (VLSI)-quality silicon on the order of 1 to several microns thick, depending on the application. The present invention makes it possible to build an SOI wafer using a HARMEMS mold wafer as the foundation, and then to anchor regions of the SOI wafer to the buried HARMEMS. After the final etch release step, this yields a micromachine carrying microcircuits, unencumbered by a silicon die. This is useful for applications where volume and mass must be minimized.
Fabrication of the mold wafer used as the SOI foundation begins with the etching of an alignment pattern on the back of the wafer. This alignment pattern will be used as a reference on a double sided alignment machine for placing the patterns of later mask layers in precise registration with each other. Two microns of LTO are then deposited on the wafer and densified by annealing for 1 hour at 1050°C. The LTO is patterned to provide the etch mask for the surface silicon structures. The silicon of the wafer is plasma etched to a depth equal to the desired thickness of the surface silicon structures plus the thickness of the sacrificial oxide. Figure 9A shows a wafer 108 with recesses 110 provided as described above.
Trenches 112 (Figure 9A) for the HARMEMS are fabricated next. Four microns of LTO are deposited and densified as described above. The LTO is patterned to provide a mask for etching the HARMEMS mold trenches 112. The silicon wafer is plasma etched to the depth of the desired HARMEMS plus the desired sacrificial oxide. All the oxide is then removed by HF. As previously described, the wafer may optionally be thermally oxidized and the oxide removed by HF to smoothen the surfaces produced by etching. At this point, the mold wafer is finished, with the final shape shown in Figure 9A for wafer 108. A sacrificial PSG layer 114 (Figure 9A) is then deposited and densified. Next, the structural layer 116 of amorphous LPCVD silicon is deposited and annealed. The wafer is lapped and polished back to the original silicon surface. It is like a new wafer, except that it has mechanical structures buried in it.
A layer of LPCVD silicon is next deposited and thermally oxidized to yield a layer of silicon dioxide 118 (Figure 9B) . A second silicon wafer (not shown) is bonded to the silicon dioxide surface. This second wafer is lapped and polished down to the desired final thickness for the electronic silicon layer 120. The resulting wafer 122 now looks exactly like a standard SOI wafer and can be processed as such.
Using the alignment pattern on the back of wafer 122 as a reference, a pattern for anchor contact holes is photolithographically placed on the SOI layer 120. The contact holes are above the buried silicon structures. In the embodiment illustrated in Figures 9A-9E, the contact holes would be above trenches 112. The contact holes are etched through the SOI layer and then the oxide layer to expose the surface of the polysilicon of the buried HARMEMS. LPCVD polysilicon is then deposited to fill the contact holes and bond the SOI layer to the buried silicon structures. The surface layer of polysilicon is lapped and polished to leave only the polysilicon in the contact holes and expose the surface of SOI layer 120. The resulting structure 124 is shown in Figure 9C.
Standard VLSI processing may now be used to fabricate electronic devices in the SOI layer.
The SOI layer 120 is patterned and etched to leave only islands 126 (Figure 9D) that are located in the desired places on the mechanical structures, including flexible (by virtue of sinusoidal shape) doped conducting interconnects for carrying current between islands 126. The electronic devices (not shown) are covered with a standard silicon nitride passivation layer (not shown) , so they are protected from this and subsequent etching processes. The patterning of the SOI layer may also provide a layer of surface silicon type mechanical structures 127 which may interact in cooperation with the surface structures of the polysilicon layer. The completed machine 128 (Figure 9E) can now be released from the wafer and removed by etching sacrificial layers 114 and 118 as described above. The design of a machine 140 fabricated using the above process is shown in Figure 10. The rigid mechanical structures 142 are made of HARMEMS. Overlying some of these structures are layers of single crystal silicon 144, anchored onto the HARMEMS with polysilicon anchors 146. The machine also comprises flexible polysilicon beams 148 and silicon interconnects 150. In cases where low performance electronics is adequate, the electronic circuitry layer may be made as described above, but instead of using the SOI strategy to provide VLSI quality silicon, thin film transistor (TFT) quality silicon can be used. As shown in Figure 10A, the processing sequence is similar to the SOI construction up to the point where the thermal oxide layer 118 is formed. Next, recrystallization windows 130 are etched through layer 118 to expose the surface of the single crystal silicon wafer. LPCVD layer 132 of amorphous polysilicon is deposited next, resulting in the structure 134 of Figure 11A. The wafer is then annealed at about 600°C in a recrystallization furnace. The regions of the amorphous silicon 132 that are in contact with the single crystal wafer surface proceed to nucleate the crystallization process, thereby propagating the existing silicon crystal lattice arrangement from the wafer surface up and laterally throughout the LPCVD silicon film. The resulting recrystallized silicon film 136 (Figure 11B) has too many defects for VLSI circuitry, but it is adequate for many small scale integration (SSI) applications. The resulting structure 138 is similar to structure 122 of Figure 9B. The subsequent steps are similar to the ones described above for the SOI device case.
The vertical aspect ratio attainable with anisotropic etching of silicon and PSG sacrificial layers is limited by the unevenness of the PSG layer. Higher vertical aspect ratio structures may be fabricated using a method described with reference to Figures 12A-12E. A mold 152 is fabricated as described above. The mold is coated with a layer 154 of CVD polysilicon (Figure 12B) , whose thickness is more constant than that of PSG. Polysilicon 154 is thermally oxidized to obtain a sacrificial layer 155 (Figure 12C) . From this point on, the process is the same as previously described. A structural layer 156 of polysilicon is deposited (Figure 12C) , the surface layer is lapped off (Figure 12D) , and then the polysilicon structures 158 (Figures 12D, 12E) are released.
It is also possible to fabricate HARMEMS structures permanently bonded to a wafer and with large final clearance to the wafer. Such a structure 160 is shown in Figure 13. The structure comprises a substrate 162 and beams 164 anchored to the substrate by anchors 166. Such a structure may be fabricated as described with reference to Figures 14A-14D. As shown in Figure 14A, wafer 162 is first patterned with trenches 168 that serve as molds for the HARMEMS. A bilayer 170 of silicon nitride and polysilicon is then deposited, to serve as a mask for the andization step to follow and as anchors 166. As shown in Figure 14B, bilayer 170 is patterned using photoresist 172. The bilayer is then etched anisotropically, using Cl2 for the polysilicon and CF4 and HCF3 for the silicon nitride. The resulting structure is shown in Figure 14C. The silicon wafer 168 is then anodized and the resulting porous silicon oxidized to obtain the structure of Figure 14D containing silicon dioxide 172. As shown in Figure 14E, the top portion of bilayer 170 is then removed, for example by lapping and polishing. A structural layer of polysilicon 174 is deposited as shown in Figure 14F. Its top layer is removed as shown in Figure 14G. Finally, oxide 172 and bilayer 170 are removed using HF. Anchors 166 (Figure 13) are masked by the silicon of wafer 162 and not significantly etched.
HARMEMS structures composed of multiple materials may also be fabricated, as disclosed below. Such combinations of materials may be used to control the mechanical or the electrical properties of the devices.
In order to increase the toughness of the structures, their material may be fiber reinforced. Figures 15A-15E show a method for fabrication of tungsten fiber-reinforced polysilicon structures. As described above, fabrication begins with a mold wafer 176 with a trench 178 etched in it. The etching may be done by dry etching with a mixture of chlorine (180 seem) and helium (200 seem) at a pressure of 425 millitorr (mT) , and an electrode gap of 0.8 cm to provide an etch rate of 1 micron/minute. After every 30 minutes the wafer should be put in isotropic Si wet etch (1890 ml concentrated nitric acid, 960 ml deionized water and 75 ml 40% aqueous ammonium fluoride) for about two minutes to remove sidewall deposits, and after the final plasma etch the wafer should be put in the above-described wet etch for 15 minutes to smooth the trench surfaces. Silicon dioxide used as an etch mask provides a selectivity of 20:1. A sacrificial oxide layer 180 is then deposited over the surface. This layer may be deposited by chemical vapor deposition (CVD) at a temperature of 450 °C and pressure of 300 mT, with a gas flow of 90 seem oxygen and 60 seem silane. In Figure 15B, loosely packed tungsten fibers 182 have been applied to the wafer. This is done by spin applying a slurry of tungsten fibers (1 to 10 microns long, 0.1 to 0.5 microns in diameter), in a liquid vehicle of suitable viscosity and volatility such as dodecane or water with surfactants. If desired, the fibers may be cleaned of the horizontal top surface of the wafer and just left in the trenches. Successive spin applications of increasing fiber lengths can be done to create a gradient of decreasing packing density from the bottom to the top of the trench.
Figure 15C shows the void space between the fibers filled by CVD polysilicon. Either doped or undoped polysilicon may be used. Recipes for the polysilicon deposition are given below. The CVD deposition process encases the fibers 182 in polysilicon 184. Some voids may be trapped, but this can be minimized by having the fiber packing density decrease from the bottom of the trench to the top. This way channels to the bottom will not become closed before the bottom is filled. As shown in Figure 15D, the resulting reinforced polysilicon structure has a planar layer 186 and a vertical layer 188. The planar layer 186 may be patterned or completely polished off. Finally sacrificial layer 180 is etched to free the finished part as shown in Figures 15D and 15E. The electrical properties of vertical beams may be controlled by means of varying the trench width, as shown in Figures 16A and 16B. Figure 16A shows a cross- sectional view of a silicon wafer 190 with trenches 192, 194 and 196 etched in it. These trenches have different widths. The surface of the mold wafer 190 has been coated with a sacrificial layer 198 such as silicon dioxide, as discussed above. Sacrificial layer 198 has been coated with a structural layer 200 such as undoped CVD polysilicon which is not electrically conductive. Undoped CVD polysilicon may be deposited at a temperature of 580 °C, pressure of 300 mT and silane flow rate of 100 seem, resulting in a deposition rate of 1 micron in 3 hours. After every 3 microns of deposition and at the end of the deposition, the film should be annealed for one hour in nitrogen at a temperature of 1000 °C, followed by native oxide removal with aqueous HF.
At this point the narrowest trench 192 is filled and cannot accept material from subsequent deposition. Thus the beam 202 (Figure 16B) molded in trench 192 will be insulating, there not being any conductive material in the beam.
Structural layer 204 (Figure 16A) is deposited next. This layer may be, for example, phosphorus doped CVD polysilicon which is electrically conductive but more resistive than a metal. This deposition may be carried out at a temperature of 610 °C, pressure of 375 mT, silane flow rate of 100 seem and phosphine flow rate of 1 seem, resulting in a deposition rate of 2 microns in 12 hours. As described for undoped polysilicon, after every 3 microns of deposition and at the end of the deposition, the film should be annealed for one hour in nitrogen at a temperature of 1000 °C, followed by native oxide removal with aqueous HF. Now the second trench 194 is full. Thus the beam 206 (Figure 16B) molded in trench 194 will be resistive.
The next layer of material 208 may now be deposited to fill the widest trench 196. Layer 208 may be a metal such as electroless plated nickel, which may be deposited using a commercially available plating kit from Buehler Inc., 41 Waukegan Rd. , Lake Bluff, IL 60044. Magnetic materials may also be plated such as electroless cobalt phosphorus. Electroplating is possible if a seed layer (for example 100 angstroms of sputtered copper) is first applied. This enables microfabricated embodiments of many types of magnetic actuators, sensors and transducers. Thus beam 212 molded in trench 196 may be a conductor or magnetic element.
The planar layer 210 of deposited material may be patterned, or it may simply be ground off to leave only the vertical beams 202, 206 and 212 formed in trenches 192, 194 and 196. Figure 16B shows the wafer after the sacrificial oxide 198 has been etched with 49% aqueous HF and the beams are ready to be removed from the mold. In addition to solid multilayer beams, tubular multilayer beams can also be fabricated. For some applications there may be a preference for either solid or tubular beams depending on the performance requirements. Solid beams have the advantage that only one wafer is needed for the mold. Tubular beams require two wafers for the mold, but have the advantage that much thinner films can be deposited to achieve a given stiffness, thus reducing processing time, and the inner layers are not exposed at the beam surface. Figures 17A-17E and 18A-18C show the process for forming multilayer tubular beams. In Figure 17A, a wafer 214 with a flat surface has been coated with a sacrificial oxide layer 216. This layer has been patterned with anchor windows 218 where anchor points connecting this wafer to the molded structures will be formed. Anchor windows 218 are the only exposed areas of silicon. Everywhere else the silicon is covered with sacrificial oxide 216. A second silicon wafer 220 has been etched as described above to define the trenches for molding the part. This wafer is also coated with sacrificial oxide 222. The two wafers are bonded together by means such as anodic bonding. Anodic bonding may be carried out for 30 seconds at 500 °C and 1000 volts. Orthogonal cross-sections through the resulting structure are shown in Figures 17B and 18A.
Next, a first layer of structural material is deposited in the mold (Figure 17C) . For example, CVD polysilicon 224 may be deposited as described above, to line the surfaces of channels 226 and form anchors 228. The silane gas enters through ports 230 (Figure 18A) . Ports 230 allow the influx of gases or liquids from which the deposited layers are formed. These ports may be plasma etched through a 50 to 100 micron thick membrane 236 of silicon left by anisotropic etching (for example, with aqueous potassium hydroxide) to form pits 238.
A second layer of structural material 232 may be deposited to coat the inside of the first layer (Figures 17D and 18B) . If desired, means can be provided, as will be shown later, to confine this deposition to only some of the channels in the mold.
The mold assembly is then plasma-etched to remove layers 224 and 232 from the external surfaces and entryways of the ports 230. This exposes the sacrificial oxide 216 and 222 on wafers 214 and 220. Figures 17E and 18C show the finished parts 234 after dissolution of the sacrificial oxide layers 216, 222 by HF, and removal from the lower mold wafer 220. The parts 234 are still held in their as-molded organized relative positions by anchors 228 to the handle wafer 214. They may undergo further processing steps on the handle wafer, or may be removed by mechanically breaking the relatively fragile anchors. The resulting tubular beam is shown in Figure 19. Figure 20 shows how electrical contact can be made to the inner layer 232 of the structure through ports 230 using pads of solder paste 240.
It was mentioned earlier that some of the inner layers may be confined to only certain portions of the tubular beams. The method for fabrication of such beams is shown in Figures 21A and 2IB. Figure 21A shows a plan view of a mold cavity with channels 242 , 244 and 246 etched in the mold wafer, and ports 230 (shown as dotted outlines) in the overlying handle wafer. Note that the trenches may be of varying width. The entire mold cavity is covered with sacrificial oxide prior to bonding the - 23 - handle wafer to the mold wafer, as described above. The first layer 248 of structural material (Figure 21B) lines the entire surface of the mold cavity. Trench section 246 becomes sealed off in this step because the constriction 250 between post 252 and the trench wall is completely filled by material 248. Trench 246 will not receive any more deposited materials from subsequent depositions.
A second deposited layer 254 lines trenches 244 and 242. In so doing, the constriction 256 between post 258 and the trench wall becomes completely blocked by deposited material, thereby isolating trench section 244 from further processing. Isolated trench sections will remain evacuated in the final part. A third structural layer 260 may be deposited in the remaining accessible mold channels 242. The finished part is removed from the mold as described above.
The above-described methods for making solid and tubular beams of varying composition can be used to make electronic, mechanical and electromechanical devices such as machines, an example of which are the tweezers whose layout is shown in Figure 22. In Figure 22, the widest beams 262 contain nickel so they are good conductors and do not heat up when an electric current is passed through them. They are used as leads to a transducer that operates by dilating as a result of ohmic heating. This transducer is formed out of intermediate width beams 264 which contain doped polysilicon and do not contain nickel so they are moderately conducting and do heat up under the applied current. The narrow beams 266 consist only of undoped polysilicon and are electrically insulating. Another material property that can vary within a beam is the residual or intrinsic stress. A beam with variable residual stress across its width will bend. Two layer structures or bimorphs can be fabricated that bend either perpendicular to the plane of the mold wafer, allowing fabrication of structures with large vertical dimensions and vertical mold ejection, or in the plane of the wafer, allowing fabrication of structures with smaller clearances than a mold normally allows or biasing of parts against each other.
The fabrication of a bimorph that bends perpendicular to the plane of the mold wafer uses a wide trench that produces a U-shaped beam. The vertical displacement of the beam after release is proportional to the beam width and inversely proportional to the cube of the height of the beam. In the design of the structure, care must be taken to keep the in-plane strain smaller than the mold clearance. As shown in Figure 23A, fabrication of such a beam begins with a mold wafer 268 having a trench 270 etched as discussed above. Also as discussed above, the wafer is coated with a sacrificial oxide layer 272. A layer 274 of compressive residual stress polysilicon is next deposited at 620 °C and without annealing. This is followed by the deposition of a stress free layer of polysilicon 276 at 580 °C and with annealing as discussed above. The planar surface layers are then polished off, resulting in the structure of Figure 23B. As shown in Figure 23C, the beam 269 is deflected vertically upon etching of the sacrificial oxide layer 272.
The fabrication of bimorphs with lateral deflection in the plane of the mold wafer is illustrated in Figures 24A-24E. Fabrication begins with a mold wafer 278 having a trench etched as discussed above. Also as discussed above, the wafer is coated with a sacrificial oxide layer 280. A layer 282 of low stress silicon rich silicon nitride is next deposited. This deposition may be carried out by CVD at 140 T and 850 °C, with a gas flow of 25 seem of ammonia and 100 seem of dichlorosilane, which results in a film growth of 0.25 microns per hour. This is followed by the deposition of a second sacrificial layer of high etch rate phosphosilicate glass (PSG) 284 which was blanket etched to remove the planar layer, and low stress polysilicon 286 which has also been blanket etched to remove the planar layer. Photoresist 288 has been spun over this assembly and patterned to create openings 290 to expose the PSG on one side of the beam where the compressive stress layer is desired. A timed etch with buffered HF (which does not attack photoresist) is done to remove the PSG down to the desired depth in the trench (for example, to the bottom of the trench) as shown in Figure 24B. Buffered HF may slowly attack the polysilicon, so an alternative is to use plain HF with photosensitive polyimide which can withstand exposure to the unbuffered solution. The thin layer 282 of silicon nitride protects the first layer of sacrificial oxide from contact with HF. The photoresist 288 is next removed, and CVD polysilicon 292 is deposited at the temperature that yields the desired residual stress resulting in the structure of Figure 24C. The horizontal planar layer may be removed by blanket etch or mechanical polishing resulting in the structure of Figure 24D. The assembly is put into aqueous HF to remove the sacrificial oxide layers 280 and 284 and the thin silicon nitride 282. The released structure 293 removed from the mold is shown in Figure 24E. The beam bends laterally when free to do so. Applications for such a bimorph include friction drives, for example for bidirectional stepping actuators for positioning stages; and setting released machines into an operating configuration that is different from the mask layout, such as for electrostatic comb drives wherein one set of comb fingers provides the mold for the second set of interdigitating fingers. Surface bimorph lifters can be used to lift HARMEMS structures out of their mold as shown in Figures 25A and 25B. Figure 25A shows a HARMEMS structure 294 in its mold 296 prior to the etching of the sacrificial layer. On the top surface of the sacrificial layer and attached to HARMEMS structure 294 are surface bimorph lifters 298. As shown in Figure 25B, surface bimorph lifters 298 lift HARMEMS structure 294 out of mold 296 when the sacrificial layer is etched. Surface bimorph lifters 298 may be either permanent or sacrificial. Permanent ones may be fabricated using polysilicon as discussed above, and sacrificial ones may be fabricated using tensile silicon nitride and tensile silicon dioxide. In summary, high vertical aspect ratio thin film structures and methods for their fabrication have been described. Such structures may be used to build fluidic systems and milli-scale micromechanical systems.
The present invention has been described in terms of a preferred embodiment. The invention, however, is not limited to the embodiment depicted and described. Rather, the scope of the invention is defined by the appended claims.

Claims

What is claimed is:
1. A method of fabrication of a micromechanical element, comprising: providing a mold having a depth; coating said mold with a sacrificial thin film layer; filling a first portion of said mold with a material having properties; filling a second portion of said mold with a material having properties at least one of which is different from the material filling said first portion of said mold; and etching said sacrificial thin film layer.
2. The method of claim 1 wherein said step of providing a mold includes providing a first substrate and anisotropically etching vertical trenches in said first substrate.
3. The method of claim 2 wherein said first substrate is a silicon substrate and said etching of vertical trenches includes coating said first substrate with a masking layer, patterning said masking layer to expose the silicon in the areas where trenches are to be etched, and etching said trenches using plasma etching.
4. The method of claim 3 wherein said step of providing a mold further includes smoothing walls of said trenches by growing thermal oxide on them and etching said thermal oxide away.
5. The method of claim 3 or 4 wherein said step of providing a mold further includes growing a layer of low temperature oxide (LTO) on said mold.
6. The method of claim 2 wherein after said step of coating the mold with a sacrificial layer, a second substrate is provided coated with a sacrificial layer patterned with anchoring holes, and said second substrate is bonded to said first substrate to provide a mold cavity.
7. The method of claim 6 wherein said bonding is anodic bonding or hydrophilic thermal bonding.
8. The method of claim 6 wherein at least one of said first substrate and said second substrate has at least one orifice for providing a mold cavity for a port.
9. The method of claim 1 wherein said step of coating said mold with a sacrificial thin film layer is carried out by chemical vapor deposition.
10. The method of claim 9 wherein said step of coating said mold with a sacrificial thin film layer includes growing a phosphosilicate glass layer.
11. The method of claim 10 wherein said step of coating said mold with a sacrificial thin film layer further includes growing a chemical vapor deposited oxide layer.
12. The method of claim 1 wherein said step of coating said mold with a sacrificial thin film layer includes growing a silicon layer by chemical vapor deposition and oxidizing said silicon layer.
13. The method of claim 1 wherein at least one of said steps of filling a first and a second portion of the mold is carried out by chemical vapor deposition.
14. The method of claim 13 wherein said chemical vapor deposition is chemical vapor deposition of silicon.
15. The method of claim 1 further including a step of reusing said mold.
16. The method of claim 1 wherein said material of said first portion and said material of said second portion have different electrical conductivity.
17. The method of claim 1 wherein said material of said first portion and said material of said second portion have different electronic energy band structure.
18. The method of claim 1 wherein said material of said first portion and said material of said second portion have different residual stress.
19. The method of claim 1 wherein said material of said first portion and said material of said second portion have different dopant concentrations.
20. The method of claim 1 wherein said material of said first portion is a reinforcement for said material of said second portion.
21. The method of claim 1 wherein at least one of said steps of filling a first and a second portion of the mold is carried out by plating.
22. The method of claim 1 further comprising after filling said second portion, filling a third portion of said mold with a material having properties at least one of which is different from the material filling said first portion of said mold, and at least one of which is different from the material filling said second portion of said mold.
23. The method of claim 22 wherein filling said first, second and third portions is carried out by thin film deposition, and no patterning is performed between the filling of the first, second and third portions and the mold has a variable wall-to-wall spacing whereby a micromechanical element with variable controlled material properties is formed.
24. A thin film structure, comprising: a network of members shaped as ribs or tubes having a thickness and wherein an extent of said thin film structure in any direction is greater than about twice said thickness, a first portion of said structure being composed of a material having properties, and a second portion of said structure being composed of material having properties at least one of which is different from the material composing said first portion of said structure.
25. The structure of claim 24 having a lowest extent between about 5 microns and about 250 microns.
26. The structure of claim 24 or 25 wherein said thin film structure has a film thickness between about 5 microns and about 15 microns.
27. The structure of claim 24 wherein said members form substantially rigid portions connected by substantially flexible links.
28. The structure of claim 27 wherein said substantially rigid portions are networks of said members.
29. The structure of claim 28 wherein said networks are honeycomb-shaped.
30. The structure of claim 27 wherein said substantially rigid portions and said substantially flexible links form a machine.
31. The structure of claim 30 wherein said machine is powered by an actuator.
32. The structure of claim 31 wherein said actuator dilates as a result of ohmic heating.
33. The structure of claim 24 wherein said material of said first portion and said material of said second portion have different electrical conductivity.
34. The structure of claim 24 wherein said material of said first portion and said material of said second portion have different electronic energy band structure.
35. The structure of claim 24 wherein said material of said first portion and said material of said second portion have different residual stress.
36. The structure of claim 24 wherein said material of said first portion and said material of said second portion have different dopant concentration.
37. The structure of claim 24 wherein said material of said first portion is a reinforcement for said material of said second portion.
38. The structure of claim 24 wherein a third portion of said structure is composed of a material having properties at least one of which is different from the material of which said first portion of said structure is composed, and at least one of which is different from the material of which said second portion of said structure is composed.
39. A thin film structure, comprising: a network of members shaped as ribs or tubes having a thickness and a bimorph for lifting said network out of a mold and wherein an extent of said thin film structure in any direction is greater than about twice said thickness.
PCT/US1996/007109 1995-06-07 1996-05-16 Multilayer high vertical aspect ratio thin film structures WO1996041368A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP50059097A JP2001517155A (en) 1995-06-07 1996-05-16 High vertical aspect ratio multilayer thin film structure
AU57960/96A AU5796096A (en) 1995-06-07 1996-05-16 Multilayer high vertical aspect ratio thin film structures
EP96914671A EP0838084A4 (en) 1995-06-07 1996-05-16 Multilayer high vertical aspect ratio thin film structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/485,815 1995-06-07
US08/485,815 US5645684A (en) 1994-03-07 1995-06-07 Multilayer high vertical aspect ratio thin film structures

Publications (1)

Publication Number Publication Date
WO1996041368A1 true WO1996041368A1 (en) 1996-12-19

Family

ID=23929541

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1996/007109 WO1996041368A1 (en) 1995-06-07 1996-05-16 Multilayer high vertical aspect ratio thin film structures

Country Status (5)

Country Link
US (1) US5645684A (en)
EP (1) EP0838084A4 (en)
JP (1) JP2001517155A (en)
AU (1) AU5796096A (en)
WO (1) WO1996041368A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500961A (en) * 1998-01-09 2002-01-15 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Micromechanical structural elements
EP2084754A2 (en) * 2006-10-09 2009-08-05 Solexel, Inc. Template for three-dimensional thin-film solar cell manufacturing and methods of use
WO2011023470A1 (en) * 2009-08-27 2011-03-03 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US9397250B2 (en) 2006-10-09 2016-07-19 Solexel, Inc. Releasing apparatus for separating a semiconductor substrate from a semiconductor template
US9401276B2 (en) 2010-02-12 2016-07-26 Solexel, Inc. Apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates
US9748414B2 (en) 2011-05-20 2017-08-29 Arthur R. Zingher Self-activated front surface bias for a solar cell
US10744235B2 (en) 2009-12-21 2020-08-18 Janssen Sciences Ireland Unlimited Company Degradable removable implant for the sustained release of an active compound
US10829864B2 (en) 2009-01-15 2020-11-10 Trutag Technologies, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053734A1 (en) 1993-11-16 2002-05-09 Formfactor, Inc. Probe card assembly and kit, and methods of making same
US5770076A (en) * 1994-03-07 1998-06-23 The Regents Of The University Of California Micromachined capsules having porous membranes and bulk supports
US5660680A (en) * 1994-03-07 1997-08-26 The Regents Of The University Of California Method for fabrication of high vertical aspect ratio thin film structures
US8033838B2 (en) 1996-02-21 2011-10-11 Formfactor, Inc. Microelectronic contact structure
EP1136440A1 (en) * 2000-03-24 2001-09-26 Interuniversitair Micro-Elektronica Centrum Vzw Method of improving mechanical strenghtin micro electro mechanical systems and devices produced thereof
US5976994A (en) * 1997-06-13 1999-11-02 Regents Of The University Of Michigan Method and system for locally annealing a microstructure formed on a substrate and device formed thereby
US6187412B1 (en) 1997-06-27 2001-02-13 International Business Machines Corporation Silicon article having columns and method of making
US6074890A (en) * 1998-01-08 2000-06-13 Rockwell Science Center, Llc Method of fabricating suspended single crystal silicon micro electro mechanical system (MEMS) devices
JP2002509808A (en) * 1998-01-15 2002-04-02 キオニックス・インコーポレイテッド Integrated large area microstructures and micromechanical devices
US6756247B1 (en) 1998-01-15 2004-06-29 Timothy J. Davis Integrated large area microstructures and micromechanical devices
US6238580B1 (en) * 1998-02-20 2001-05-29 The Aerospace Corporation Method of HF vapor release of microstructures
AU3108700A (en) 1998-12-02 2000-06-19 Massachusetts Institute Of Technology Integrated palladium-based micromembranes for hydrogen separation and hydrogenation/dehydrogenation reactions
US20020071169A1 (en) 2000-02-01 2002-06-13 Bowers John Edward Micro-electro-mechanical-system (MEMS) mirror device
US6753638B2 (en) * 2000-02-03 2004-06-22 Calient Networks, Inc. Electrostatic actuator for micromechanical systems
US6586841B1 (en) 2000-02-23 2003-07-01 Onix Microsystems, Inc. Mechanical landing pad formed on the underside of a MEMS device
US6887391B1 (en) 2000-03-24 2005-05-03 Analog Devices, Inc. Fabrication and controlled release of structures using etch-stop trenches
US6698295B1 (en) 2000-03-31 2004-03-02 Shipley Company, L.L.C. Microstructures comprising silicon nitride layer and thin conductive polysilicon layer
US7026697B2 (en) * 2000-03-31 2006-04-11 Shipley Company, L.L.C. Microstructures comprising a dielectric layer and a thin conductive layer
US6676416B1 (en) 2000-05-11 2004-01-13 Zyvex Corporation Ribbon cable and electrical connector for use with microcomponents
US6510359B1 (en) 2000-05-11 2003-01-21 Zyvex Corporation Method and system for self-replicating manufacturing stations
US6398280B1 (en) 2000-05-11 2002-06-04 Zyvex Corporation Gripper and complementary handle for use with microcomponents
US6672795B1 (en) 2000-05-11 2004-01-06 Zyvex Corporation System and method for coupling microcomponents
US6628041B2 (en) 2000-05-16 2003-09-30 Calient Networks, Inc. Micro-electro-mechanical-system (MEMS) mirror device having large angle out of plane motion using shaped combed finger actuators and method for fabricating the same
US6585383B2 (en) 2000-05-18 2003-07-01 Calient Networks, Inc. Micromachined apparatus for improved reflection of light
US6768590B2 (en) * 2000-05-19 2004-07-27 Shipley Company, L.L.C. Method of fabricating optical filters
US6560384B1 (en) 2000-06-01 2003-05-06 Calient Networks, Inc. Optical switch having mirrors arranged to accommodate freedom of movement
US6677225B1 (en) 2000-07-14 2004-01-13 Zyvex Corporation System and method for constraining totally released microcomponents
US6561725B1 (en) 2000-08-21 2003-05-13 Zyvex Corporation System and method for coupling microcomponents utilizing a pressure fitting receptacle
US6545796B1 (en) * 2000-09-13 2003-04-08 Agere Systems Inc. Article comprising a freestanding micro-tube and method therefor
US6825967B1 (en) 2000-09-29 2004-11-30 Calient Networks, Inc. Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same
US6506620B1 (en) 2000-11-27 2003-01-14 Microscan Systems Incorporated Process for manufacturing micromechanical and microoptomechanical structures with backside metalization
US6479311B1 (en) 2000-11-27 2002-11-12 Microscan Systems, Inc. Process for manufacturing micromechanical and microoptomechanical structures with pre-applied patterning
US6479315B1 (en) 2000-11-27 2002-11-12 Microscan Systems, Inc. Process for manufacturing micromechanical and microoptomechanical structures with single crystal silicon exposure step
US6888979B2 (en) 2000-11-29 2005-05-03 Analog Devices, Inc. MEMS mirrors with precision clamping mechanism
US7183633B2 (en) * 2001-03-01 2007-02-27 Analog Devices Inc. Optical cross-connect system
US6566251B2 (en) 2001-03-29 2003-05-20 Georgia Tech Research Corporation Method for selective deposition of materials in micromachined molds
US7240420B1 (en) 2001-06-19 2007-07-10 Zyvex Labs, Llc System and method for post-fabrication reduction of minimum feature size spacing of microcomponents
US6583031B2 (en) 2001-07-25 2003-06-24 Onix Microsystems, Inc. Method of making a MEMS element having perpendicular portion formed from substrate
US6813412B2 (en) * 2001-07-24 2004-11-02 Michael J. Daneman Mems element having perpendicular portion formed from substrate
US6678458B2 (en) 2001-08-17 2004-01-13 Zynex Corporation System and method for precise positioning of microcomponents
US6544863B1 (en) 2001-08-21 2003-04-08 Calient Networks, Inc. Method of fabricating semiconductor wafers having multiple height subsurface layers
US20090065429A9 (en) * 2001-10-22 2009-03-12 Dickensheets David L Stiffened surface micromachined structures and process for fabricating the same
US20040240034A1 (en) * 2001-11-30 2004-12-02 Scharf Bruce R. Diffraction compensation using a patterned reflector
US6745567B1 (en) 2001-12-28 2004-06-08 Zyvex Corporation System and method for positional movement of microcomponents
US6973365B1 (en) 2001-12-28 2005-12-06 Zyvex Corporation System and method for handling microcomponent parts for performing assembly of micro-devices
US6679055B1 (en) 2002-01-31 2004-01-20 Zyvex Corporation Electrothermal quadmorph microactuator
US20030161949A1 (en) * 2002-02-28 2003-08-28 The Regents Of The University Of California Vapor deposition of dihalodialklysilanes
US7022604B2 (en) * 2002-04-09 2006-04-04 Micron Technology, Inc. Method of forming spatial regions of a second material in a first material
US6837723B1 (en) 2002-05-24 2005-01-04 Zyvex Corporation Self-actuating connector for coupling microcomponents
US7031566B2 (en) * 2002-06-04 2006-04-18 Lake Shore Cryotronics, Inc. Spectral filter for green and shorter wavelengths
AU2003302230A1 (en) * 2002-10-16 2004-06-18 Lake Shore Cryotronics, Inc. Method of manufacturing a spectral filter for green and longer wavelengths
US7096568B1 (en) 2003-07-10 2006-08-29 Zyvex Corporation Method of manufacturing a microcomponent assembly
US6952041B2 (en) 2003-07-25 2005-10-04 Robert Bosch Gmbh Anchors for microelectromechanical systems having an SOI substrate, and method of fabricating same
WO2005037070A2 (en) * 2003-10-11 2005-04-28 The Regents Of The University Of California Method and system for nerve repair, nanoknife, mems platform and uses thereof
US7025619B2 (en) * 2004-02-13 2006-04-11 Zyvex Corporation Sockets for microassembly
US7068125B2 (en) 2004-03-04 2006-06-27 Robert Bosch Gmbh Temperature controlled MEMS resonator and method for controlling resonator frequency
US6956219B2 (en) * 2004-03-12 2005-10-18 Zyvex Corporation MEMS based charged particle deflector design
US7081630B2 (en) * 2004-03-12 2006-07-25 Zyvex Corporation Compact microcolumn for automated assembly
SG118264A1 (en) * 2004-06-29 2006-01-27 Sony Corp A magnetic material and a MEMS device using the magnetic material
US8420435B2 (en) * 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US20090107545A1 (en) * 2006-10-09 2009-04-30 Soltaix, Inc. Template for pyramidal three-dimensional thin-film solar cell manufacturing and methods of use
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US7410907B2 (en) * 2005-03-31 2008-08-12 Lucent Technologies Inc. Fabricating integrated devices using embedded masks
US7314382B2 (en) 2005-05-18 2008-01-01 Zyvex Labs, Llc Apparatus and methods of manufacturing and assembling microscale and nanoscale components and assemblies
TW200708540A (en) * 2005-08-17 2007-03-01 Sunonwealth Electr Mach Ind Co The self-assembly process of polyimide films
WO2007092852A2 (en) 2006-02-06 2007-08-16 Mynosys Cellular Devices, Inc. Microsurgical cutting instruments
US8512581B2 (en) * 2006-10-09 2013-08-20 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US20080264477A1 (en) * 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
WO2011072161A2 (en) 2009-12-09 2011-06-16 Solexel, Inc. High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using thin planar semiconductors
US8293558B2 (en) * 2006-10-09 2012-10-23 Solexel, Inc. Method for releasing a thin-film substrate
US8035028B2 (en) 2006-10-09 2011-10-11 Solexel, Inc. Pyramidal three-dimensional thin-film solar cells
US8168465B2 (en) * 2008-11-13 2012-05-01 Solexel, Inc. Three-dimensional semiconductor template for making high efficiency thin-film solar cells
US20100304521A1 (en) * 2006-10-09 2010-12-02 Solexel, Inc. Shadow Mask Methods For Manufacturing Three-Dimensional Thin-Film Solar Cells
US7999174B2 (en) * 2006-10-09 2011-08-16 Solexel, Inc. Solar module structures and assembly methods for three-dimensional thin-film solar cells
US8053665B2 (en) * 2008-11-26 2011-11-08 Solexel, Inc. Truncated pyramid structures for see-through solar cells
US7605377B2 (en) * 2006-10-17 2009-10-20 Zyvex Corporation On-chip reflectron and ion optics
US20100144080A1 (en) * 2008-06-02 2010-06-10 Solexel, Inc. Method and apparatus to transfer coat uneven surface
KR101079369B1 (en) * 2008-11-12 2011-11-02 삼성전기주식회사 Fabricaiton method of probe pin for probe card
US8288195B2 (en) * 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US7943410B2 (en) * 2008-12-10 2011-05-17 Stmicroelectronics, Inc. Embedded microelectromechanical systems (MEMS) semiconductor substrate and related method of forming
US8926803B2 (en) * 2009-01-15 2015-01-06 Solexel, Inc. Porous silicon electro-etching system and method
MY162405A (en) * 2009-02-06 2017-06-15 Solexel Inc Trench Formation Method For Releasing A Thin-Film Substrate From A Reusable Semiconductor Template
US8828517B2 (en) 2009-03-23 2014-09-09 Solexel, Inc. Structure and method for improving solar cell efficiency and mechanical strength
US8656860B2 (en) * 2009-04-14 2014-02-25 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (CVD) reactor
US9099584B2 (en) * 2009-04-24 2015-08-04 Solexel, Inc. Integrated three-dimensional and planar metallization structure for thin film solar cells
CN102460716B (en) 2009-05-05 2015-03-25 速力斯公司 High-productivity porous semiconductor manufacturing equipment
US8445314B2 (en) * 2009-05-22 2013-05-21 Solexel, Inc. Method of creating reusable template for detachable thin film substrate
WO2010138976A1 (en) * 2009-05-29 2010-12-02 Solexel, Inc. Three-dimensional thin-film semiconductor substrate with through-holes and methods of manufacturing
EP2580775A4 (en) 2010-06-09 2014-05-07 Solexel Inc High productivity thin film deposition method and system
EP2601687A4 (en) 2010-08-05 2018-03-07 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
WO2013037414A1 (en) 2011-09-15 2013-03-21 Agilent Technologies, Inc. Fluidic chip with displacable patterned layer for detecting fluid pressure
CN105408742B (en) 2013-07-26 2018-08-24 安捷伦科技有限公司 Piezometry for HPLC application
US9541462B2 (en) * 2014-08-29 2017-01-10 Kionix, Inc. Pressure sensor including deformable pressure vessel(s)
DE102017120290B3 (en) * 2017-09-04 2018-11-08 Infineon Technologies Ag Method for processing a layer structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3936329A (en) * 1975-02-03 1976-02-03 Texas Instruments Incorporated Integral honeycomb-like support of very thin single crystal slices
US4307507A (en) * 1980-09-10 1981-12-29 The United States Of America As Represented By The Secretary Of The Navy Method of manufacturing a field-emission cathode structure

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4063271A (en) * 1972-07-26 1977-12-13 Texas Instruments Incorporated FET and bipolar device and circuit process with maximum junction control
US3962052A (en) * 1975-04-14 1976-06-08 International Business Machines Corporation Process for forming apertures in silicon bodies
JPS5636143A (en) * 1979-08-31 1981-04-09 Hitachi Ltd Manufacture of semiconductor device
US4698900A (en) * 1986-03-27 1987-10-13 Texas Instruments Incorporated Method of making a non-volatile memory having dielectric filled trenches
EP0296348B1 (en) * 1987-05-27 1993-03-31 Siemens Aktiengesellschaft Process for etching holes or grooves in n-type silicium
JPH01138110A (en) * 1987-11-25 1989-05-31 Showa Denko Kk Pipe made of diamond and production thereof
US5221415A (en) * 1989-01-17 1993-06-22 Board Of Trustees Of The Leland Stanford Junior University Method of forming microfabricated cantilever stylus with integrated pyramidal tip
JPH0338061A (en) * 1989-07-05 1991-02-19 Fujitsu Ltd Semiconductor memory
US5131978A (en) * 1990-06-07 1992-07-21 Xerox Corporation Low temperature, single side, multiple step etching process for fabrication of small and large structures
US5271801A (en) * 1990-07-09 1993-12-21 Commissariat A L'energie Atomique Process of production of integrated optical components
DE4202454C1 (en) * 1992-01-29 1993-07-29 Siemens Ag, 8000 Muenchen, De
JPH0722583A (en) * 1992-12-15 1995-01-24 Internatl Business Mach Corp <Ibm> Multilayer circuit device
US5296408A (en) * 1992-12-24 1994-03-22 International Business Machines Corporation Fabrication method for vacuum microelectronic devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3936329A (en) * 1975-02-03 1976-02-03 Texas Instruments Incorporated Integral honeycomb-like support of very thin single crystal slices
US4307507A (en) * 1980-09-10 1981-12-29 The United States Of America As Represented By The Secretary Of The Navy Method of manufacturing a field-emission cathode structure

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500961A (en) * 1998-01-09 2002-01-15 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Micromechanical structural elements
EP2084754A2 (en) * 2006-10-09 2009-08-05 Solexel, Inc. Template for three-dimensional thin-film solar cell manufacturing and methods of use
EP2084754A4 (en) * 2006-10-09 2012-04-25 Solexel Inc Template for three-dimensional thin-film solar cell manufacturing and methods of use
US9397250B2 (en) 2006-10-09 2016-07-19 Solexel, Inc. Releasing apparatus for separating a semiconductor substrate from a semiconductor template
US10829864B2 (en) 2009-01-15 2020-11-10 Trutag Technologies, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US8817237B2 (en) 2009-08-27 2014-08-26 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
WO2011023470A1 (en) * 2009-08-27 2011-03-03 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
US10744235B2 (en) 2009-12-21 2020-08-18 Janssen Sciences Ireland Unlimited Company Degradable removable implant for the sustained release of an active compound
US11395867B2 (en) 2009-12-21 2022-07-26 Janssen Sciences Ireland Unlimited Company Degradable removable implant for the sustained release of an active compound
US9401276B2 (en) 2010-02-12 2016-07-26 Solexel, Inc. Apparatus for forming porous silicon layers on at least two surfaces of a plurality of silicon templates
US9748414B2 (en) 2011-05-20 2017-08-29 Arthur R. Zingher Self-activated front surface bias for a solar cell

Also Published As

Publication number Publication date
JP2001517155A (en) 2001-10-02
US5645684A (en) 1997-07-08
EP0838084A1 (en) 1998-04-29
EP0838084A4 (en) 1999-07-14
AU5796096A (en) 1996-12-30

Similar Documents

Publication Publication Date Title
US5645684A (en) Multilayer high vertical aspect ratio thin film structures
US6015599A (en) High vertical aspect ratio thin film structures
JP3741440B2 (en) Micro assembled particle filter
de Boer et al. Micromachining of buried micro channels in silicon
US7075161B2 (en) Apparatus and method for making a low capacitance artificial nanopore
KR100692593B1 (en) Manufacturing method of mems structure
EP1840081B1 (en) Method for forming a hermetically sealed cavity
US7008812B1 (en) Manufacture of MEMS structures in sealed cavity using dry-release MEMS device encapsulation
Keller et al. Milli-scale polysilicon structures
EP1584105A2 (en) Encapsulation of mems devices using pillar-supported caps
KR100889115B1 (en) Method for forming a cavity structure on soi substrate and cavity structure formed on soi substrate
EP1880977A2 (en) Silicon on metal for MEMS devices
EP1860062A2 (en) Micro-fludidic structure and method of making the same
WO2001094823A1 (en) Controlling physical motion with electrolytically formed bubbles
Ayazi et al. High aspect-ratio polysilicon micromachining technology
NL2023872B1 (en) Microelectromechanical system component comprising a free-hanging or free-standing microchannel
US6429034B1 (en) Method of making high aspect ratio features during surface micromachining
Bhat Micromachining for microelectromechanical systems
Courcimault et al. A sacrificial-polymer-based trench refill process for post-DRIE surface micromachining
JP6171097B2 (en) Non-parallel island etching
KR19980025599A (en) Silicon microstructure manufacturing method
Choi et al. Formation of low-stress multilayered thick polysilicon films for fabrication of microsystems
Smith et al. Materials And Technologies For Microstructure Engineering
WO2002064495A2 (en) Enhanced sacrificial layer etching technique for microstructure release

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BB BG BR BY CA CH CN CZ DE DK EE ES FI GB GE HU IS JP KE KG KP KR KZ LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK TJ TM TR TT UA UG UZ VN AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE LS MW SD SZ UG AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref country code: JP

Ref document number: 1997 500590

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1996914671

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1996914671

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: CA

WWW Wipo information: withdrawn in national office

Ref document number: 1996914671

Country of ref document: EP