WO1997014178A1 - Method and apparatus for chemical processing semiconductor wafers - Google Patents

Method and apparatus for chemical processing semiconductor wafers Download PDF

Info

Publication number
WO1997014178A1
WO1997014178A1 PCT/US1996/016150 US9616150W WO9714178A1 WO 1997014178 A1 WO1997014178 A1 WO 1997014178A1 US 9616150 W US9616150 W US 9616150W WO 9714178 A1 WO9714178 A1 WO 9714178A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
working surface
lower working
chamber
upper working
Prior art date
Application number
PCT/US1996/016150
Other languages
French (fr)
Other versions
WO1997014178B1 (en
Inventor
Ziying Wen
Original Assignee
Ziying Wen
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ziying Wen filed Critical Ziying Wen
Priority to AU72625/96A priority Critical patent/AU7262596A/en
Priority to JP9515144A priority patent/JP2000501231A/en
Publication of WO1997014178A1 publication Critical patent/WO1997014178A1/en
Publication of WO1997014178B1 publication Critical patent/WO1997014178B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Definitions

  • This invention relates generally to the surface preparation of objects with fine surfaces such as semiconductor wafers and particularly to a method and apparatus for both wet and dry processing of such wafers.
  • Microelectronics processing is a matter of surfaces. Processing techniques are concerned with modifying properties less than a few microns below or above the surface of a substrate material.
  • Present complex electronic integrated circuits are formed by using planar processes in which an ultraclean, flat wafer of silicon is used as a substrate upon which a large number of identical devices are built by various oxidation, photolithography, removal, ion bombardment and deposition processes. Therefore, the integrated circuit manufacturing is essentially a sequence of chemical processes.
  • wet processes such as immersion and spray techniques
  • dry processes such as chemical vapor and plasma based techniques
  • Wet processing consists of a series of steps of immersing or spraying the wafers with appropriate chemical solutions.
  • the wet processes for ultraclean wafer surface preparation has been successfully used for the past twenty-five years and are still the predominant methods used in manufacturing circuits.
  • the high cost of the large amount of ultrapure chemicals required in the current wet processes and the treatment of hazardous waste resulting from the processes, together with its incompatibility with the advanced concepts of integrated processing such as cluster tooling, are the main reason for searching for gas processing methods that are less affected by these limitations.
  • the apparatus of the invention includes an enclosed housing of a material unaffected by the chemicals used in the process
  • the housing has an internal cavity that contains a rotatable and vertically movable upper plate having apertures for either admitting chemical fluids or to apply a vacuum for venting the housing or for grasping a wafer which is positioned between the upper plate and a lower plate having apertures appropriately placed in its surface for admitting processing fluids and drying gas
  • the preferred method is to force a thin layer of processing fluid, either gas or liquid, through the narrow space between the surfaces of a semiconductor wafer and plates of the processing apparatus While the fluid passes through the narrow space, it contacts and interacts both chemically and physically with the semiconductor wafer surfaces
  • the narrow space may be from 0 01 mm to 10 mm, depending on the nature of the chemical processes
  • the particular choice of spacing will be one where an optimum fluid flow is created to simultaneously bring fresh chemicals into contact with the surface ofthe semiconductor wafer and remove unwanted reaction products away from the surface of the semiconductor wafer toward the drain in order to prevent the unwanted reaction products from redepositing on the surface of the semiconductor wafer.
  • the chemical flow can be turbulent or laminar, depending on the nature of the process being carried out.
  • the particular choice of narrow space will additionally result in the desired processing ofthe semiconductor wafer surface using a minimum of chemicals, as well as minimize the costs of treating the resulting hazardous wastes.
  • FIGURE 1 is a section elevation view ofthe chemical processor
  • FIGURE 2 is an illustration of the chemical processor with the associated equipment and supplies necessary for chemical processing
  • FIGURE 3 is a plan view taken along the lines 3-3 of FIGURE 1 ;
  • FIGURE 4 is a plan view taken along the lines 4-4 of FIGURE 1 ; and FIGURES 5 and 6 are plan views of alternate embodiments of the plates of FIGURES 3 and 4 showing slots in the plate surface.
  • FIGURES 7-11 show preferred embodiment of a single wafer processing system according to the present invention.
  • FIGURES 12-15 show a preferred embodiment of a multiple wafer semiconductor processing system according to the present invention.
  • FIGURES 16-19 show an apparatus embodying the present invention used in photolithography.
  • FIGURES 21 and 22 depict an apparatus embodying the present invention which can be used in chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • FIGURES 23 and 24 depict an apparatus embodying the present invention which can be used in the metallization process.
  • FIGURE 25 depicts a surface chemical analysis system embodying the present invention. Detailed Description ofthe Invention
  • FIGURE 1 a semiconductor wafer 12 is shown positioned within a chamber having a base 14, sidewalls 16, and a removable top cover 18.
  • the wafer is located between a lower plate 20 and a rotatable and vertically movable upper plate 22.
  • the wafer is generally a thin silicon circular disc between about four and twelve inches in diameter.
  • the wafer 12 is to be processed on both upper and lower surfaces with the lower surface being more thoroughly treated for construction of integrated circuitry.
  • the lower plate 20, and preferably the entire structure, is formed of a material unaffected by the various chemical fluids used in the process (e.g., a synthetic resin polymer sold under the trademark TEFLON) and the plate 20 has a flat circular central surface having a diameter equal to or larger than the diameter ofthe wafer 12 and with a high edge rim or sidewall 16. Between the central surface of plate 20 and rim 16 is a drain slot 24 having a valve 25 at the effluent outlet at its lowest point.
  • a material unaffected by the various chemical fluids used in the process e.g., a synthetic resin polymer sold under the trademark TEFLON
  • the top surface of the lower plate 20 has two or three circular concentric grooves spaced around a central fluid opening 26 which may be a circular hole or may be a slit.
  • a central fluid opening 26 which may be a circular hole or may be a slit.
  • Each of the circular concentric grooves has eight to ten fluid openings 28 which, as with opening 26, extend through the lower plate 20 and base 14 and terminate in a valve 30.
  • the valves are connected through a fluid heater 54 to a common fluid conduit 32 leading from a source of aqueous and gaseous processing chemicals shown in the system diagram of FIGURE 2.
  • Upper plate 22 is formed of the same material as lower plate 40, and is circular with a diameter substantially equal to that of the flat circular surface of the lower plate 20 so that it will fit and rotate within the side walls 16 ofthe lower plate.
  • Upper plate 22 may have a single central fluid entry opening 34 leading through a valve 36 and heater 38 to the source of processing chemicals, or there may be several entry openings leading to valve 36.
  • the upper plate 22 also functions as a vacuum chuck for holding the wafer during part ofthe process or may be used for venting the chamber interior. Therefore the valve 36 is capable of selecting either a flow of fluid into the apparatus or a vacuum applied to the apparatus for securing a wafer disc during a part of the process.
  • Upper plate 22 may also be rotated or oscillated around its axis and is vertically movable by a motor 40 attached to the top cover 18 of the apparatus. The oscillation of the upper plate 22 is for agitation during processing and for the elution of chemicals from a wafer being held by the vacuum chuck.
  • the top cover 18 with the upper plate 22 is lifted and a wafer 12 is held to its vacuum chuck while the vacuum is applied.
  • the plate 22 places the adhering wafer 12 on at least three equally spaced, radially adjustable beveled fingers 42 overlying the lower plate surface and in the side wall 16 which can adjust the height of the wafer 12 from about 0.005 mm. to 10 mm. above the lower plate 20.
  • the vacuum is released from the chuck, the upper plate 22 is raised 0.01 to 10 mm. and a flow of cleaning chemicals is applied to the top surface ofthe wafer 12 through the opening 34 in the upper plate 22.
  • valve 36 is closed and a flow of processing chemicals is applied to the bottom surface through the opening 26 and through one or more ofthe concentric grooves fed by opening 28.
  • An ultraclean wafer surface is obtained in the preferred process by an optimized velocity passage of appropriate chemicals over the wafer surface.
  • the processing fluids are pumped through the openings 26 and 28 as the bottom surface of wafer 12 is positioned very close (e.g. 0.01 - 1.0 mm.) to the lower plate by forcing down the wafer, as needed, with the upper plate.
  • a flow of fluid pumped through only the central opening 26 will not assure that the entire lower surface of the wafer will receive adequate fluid, nor fluid received near the periphery of the wafer will have the same processing power as the fresh fluid emanating from the opening.
  • the surface grooves and the plurality of openings in each groove in the lower plate 20 will assure both an adequate supply of fluid to float the wafer off the lower plate and will provide a fresh supply of fluids to all areas of the wafers bottom surface as the fluid rushes toward the periphery. It is noted that in other embodiments of the present invention varying the flow of fluids pumped through openings 26 and 28 to float the wafer to varying heights above the lower plate may be used in place ofthe radially adjustable beveled fingers 42.
  • Some processing steps may require a soaking period which is provided by closing the valve 25 from the drain slot 24.
  • agitation of the wafer may be very important and locking the wafer to the vacuum chuck and engaging the motor 40 for slow rotation or oscillation ofthe upper plate and wafer will assure that the bottom surface becomes thoroughly processed.
  • Rinsing of a chemically washed wafer usually requires great quantities of deionized water for elution of all traces of chemical from the wafer and to thoroughly rinse the various crevices and wafer holding clamps of the equipment. It should be noted that the apparatus described has no crevices nor holding clamps in which contaminants or chemical solutions can deposit and hide; both the chemicals and the rinsing water merely flow in over the wafer and flow out through the drain. Hence, a relatively small amount of deionized rinse water is required and all possibility of cross- contamination can be eliminated.
  • the chemical supply is shut off and the water supply is passed through the same openings 26, 28 as used for the chemical solutions. This rinsing water passes over the surface of the wafer and through the drain slot 24.
  • the processed and rinsed wafer is dried by passing a dry inert gas such as nitrogen, through the fluid conduits and the openings 26, 28, 34. Then the vacuum chuck is again actuated to hold the cleaned wafer so that the top cover 18 with the upper plate 22 may be removed. Releasing the vacuum will then release the wafer.
  • a dry inert gas such as nitrogen
  • FIGURE 2 illustrates the processing apparatus of FIGURE 1 along with the equipment and supplies to make a computer controlled automated processing unit. Included are fluid supplies including three tanks of chemical liquids 44 and a tank of deionized water 46 and three tanks of dry processing gases 48 and a tank of nitrogen 50. Obviously, other tanks of wet or dry fluids may be added.
  • Each liquid chemical tank has its own valve where the liquid enters a mixing conduit or manifold at the input of a pump 52 followed by a switching valve 33, then a heating element 54.
  • Each dry chemical tank has its own regulator and valve where the fluid is admitted into a mixing conduit or manifold at the input of a pump 53 followed by a switching valve 33 and the heating element 54.
  • the heating element is coupled directly to the mixing input conduit or manifold 32 of FIGURE 1, which is shown connected to the selector valve 36 for admitting cleaning fluids to the top surface ofthe wafer through the top plate 22 in FIGURE 2.
  • a vacuum pump 56 is coupled to the vacuum terminal of the selector valve 36.
  • a second vacuum pump is coupled to the drain valve for the removal of dry chemicals and the effluent of both dry and liquid chemicals is monitored and recycled, as desired, by a monitor and recycle unit 58 coupled to the output of the drain valve 25.
  • a digital computer 60 may be added to control the operation of the process.
  • Each valve, pump, heater and motor is under the control of the computer which may be programmed according to timed sequences.
  • the computer may start at time, tl, with a three minute bath of heated deionized water through the top plate 22, followed at time t2 with one minute of a strong cleaning reagent to the top surface, followed at t3 by three minutes of rinsing, then at t4 a vacuum clamps the wafer to the top plate 22 and adjusts the fingers 42 to position the wafer 0.50 mm. above the surface of the lower plate 20. Then follows a complete cleaning of the lower surface of the wafer, until probably at t50, heated nitrogen may be applied for drying.
  • the procedure is variable, depending upon the nature and requirements of the process, the condition of the substrate wafer and the size ofthe wafer surface. The process steps can be completed sequentially.
  • FIGURE 3 is a plan view of the lower plate 20 as taken along the lines 3-3 of FIGURE 1 and shows the concentric grooves 62 in the plate surface linking the fluid openings 28 for evenly distributing the chemical reactants. If a processing liquid were admitted only through the central opening 26 it would be insufficient to cover the entire wafer surface and if a large quantity of liquid were to enter only through the central opening, the reactant strength would diminish as it flowed outward and covered a greater area. Hence, the grooves and the additional openings 28, as shown in FIGURES 5 and 6, will provide additional, original strength fluid to the uniformity ofthe process.
  • FIGURE 4 is a plan view of the upper plate 22 and shows a single central opening 34 with four narrow radial slits for admitting cleaning fluids and for applying a vacuum to clamp the wafer to the plate.
  • Several holes or narrow slits could be employed and the single hole 34 with radial slits is for illustrative purposes only.
  • FIGURES 7 to 11 shows an automated single-wafer processing system 100 which includes a framework 101 having a base portion 105 and upper chamber 1 10.
  • a base portion 105 there are chemical fluid delivery unit, effluent collector or /14178 PC17US96/16150
  • the wafer processor is contained in the upper chamber 110 which functions as a mini-environment with the capabilities of inner gas purge, gases elevating and flow balance according to the requirements of a particular process.
  • the wafer processor in the upper chamber there are wafer containers 115 and 116 for wafers going to be processed and the wafers having been processed respectively.
  • the wafer containers 115 and 116 are loaded into the chamber through the access door 112 and 113 respectively and are positioned as shown in FIGURES 7 to 11.
  • the upper chamber further includes two robotic transfer units 118 and 119 for loading the wafer from wafer container 115 to the wafer processor and unloading the processed wafer from the processor to wafer container 116 as shown in FIGURE 7 to 8.
  • FIGURE 8 shows transfer unit 118 at the first position for loading the wafer from wafer container 115 and shows transfer unit 119 at the initial position.
  • FIGURE 9 shows the transfer unit 118 at the last position for loading the wafer to wafer processor.
  • FIGURE 10 shows the transfer unit 119 at the first position for unloading the wafer from the processor.
  • FIGURE 11 shows the transfer unit 119 at the last position for unloading the wafer from the processing unit.
  • FIGURES 12 to 15 show a preferred automated multi-wafer semiconductor processing system 200 embodying the present invention.
  • the automated multi-wafer processing system 200 includes a wafer processor unit 201, a back portion 220, a base portion 230 as shown in FIGURE 12.
  • In the base portion 230 there are effluent collector or recycling unit, on-line analyzer or sampling unit, vacuum unit and computer unit.
  • the back portion 220 there are chemical fluid delivery unit and computer interface.
  • the multi-wafer processor 201 is basically a set of single- wafer processors as shown in FIGURE 1. A set of three is used as an example as shown in FIGURE 12.
  • Each single-wafer processor consists of a wafer holding frame 203 with four movable lower teeth 205 and three movable upper teeth 207.
  • the frame 203 can be slid in and out from the working chamber for loading and unloading the wafer.
  • the movable lower teeth 205 and upper teeth 207 are not only for securing the wafer, but also for defining the wafer position in the chamber.
  • the front wall, or other appropriate side wall, can be adapted to function as an access door 209.
  • Wafers are loaded into the processing chamber by opening the door, sliding out the frame 203, inserting the wafer into the teeth 205-207, securing and positioning the wafer with the movable teeth 205-207, sliding frame with the wafer back into the processing chamber and closing the door 209
  • the operation procedure is automated and programmed controlled by the computer control unit After the process is completed, open the accessing door 209, slide the wafer holding frame 203 out the working chamber, and unload the wafer 12
  • the number of the single-wafer processors can be more than three
  • the working chamber of processor is simplified compared to the wafer processor shown in FIGURE 1
  • the chemical fluid is injected and the vacuum is applied through the apertures on the top side ofthe processor chamber
  • the versatility in operation and simplicity in system construction are the very important characteristics of the automated multi-wafer processing system 200
  • the system can be compacted into a structure fairly small in size and light in weight Therefore the automated multi- wafer processing apparatus can not only be used as a processing system but also as a mini-environment storage for storing and transferring wafers That will make the system very useful in the semiconductor processing Application in Etching and Cleaning Processes
  • the sequence of chemical solutions used to clean a wafer depends upon the contaminants presented and the requirement of the process It is reasonable to take the well-known RCA clean as an example since the RCA wet clean method is still widely used in the wafer cleaning process
  • the RCA cleans are based on a two-step process, the Standard Clean- 1, refe ⁇ ed to as SC-1, followed by Standard Clean 2, SC-2
  • the SC-1 solution is typically a 5 1 1 mixture of deionized water, "unstabilized” hydrogen peroxide (30%, “not stabilized”) and ammonium hydroxide (27 w/w% as NH 3 )
  • the working conditions are generally at 70 C for 5-10 minute
  • the SC-2 solution typically consists of 6 1 1 deionized water, hydrogen peroxide (30%, “not stabilized"), hydrochloric acid (37 w/w%), and the working conditions are at 70 C for 510 minute
  • the apparatus of FIGURE 2 can be used to sequentially perform the steps of the BFH etching and RCA clean
  • the lower teeth 42 and the upper plate 22 are set at positions to define proper processing space heights
  • a processing protocol is generated in the computer unit 60 For example,
  • valves 30 and 36 connect valves 30 and 36 to the chemical fluid line for double-side clean, start to inject deionized water to clean the working chamber
  • the optimum parameters for the best processing result according to specification of product and cost of the process can be obtained by adjusting the processing program For example, to avoid micro-roughening ofthe silicon surface, it may be achieved by reducing the ammonia and hydrogen peroxide concentration in
  • the processing quality can be well controlled because of the fully automated programming procedure and the ability of real-time process monitoring or on-line analyzing The reproducibility is also expected to be improved Most existing techniques, for instance, megasonic treatment, brush scrubbing and photochemical techniques can be adopted into the system The system can be easily implemented in the production environment and adapted into those well-established fabrication processes
  • the photolithography process consists the following steps Step 1, cleaning, rinsing and drying the wafer to assure a utraclean surface
  • Step 2 baking the wafer to remove both molecular water and silanol group (Si-OH) for good adhesion between the substrate and resist film by heating the wafer at 200-250 degrees C for 30 minutes
  • Step 3 priming the wafer to promote adhesion with an adhesion promoter such as hexamethyl disilazane (HMDS) for silicon dioxide Step 4, coating the wafer by dynamic dispense, for example, in which the resist is dispensed on rotating wafer.
  • an adhesion promoter such as hexamethyl disilazane (HMDS) for silicon dioxide Step 4
  • Step 5 soft baking or postbaking to remove remaining solvents by heating the wafer at 90-100 degrees C.
  • Step 6 exposing the resist.
  • Step 7 developing the film by washing, or immersing, or spraying method.
  • Step 8 etching the film by wet or dry processes.
  • FIGURE 16 and FIGURE 17 show an apparatus 300 based upon the present invention which can be used to sequentially perform the step 1 to step 5 listed.
  • the wafer is loaded into the processing chamber by sliding out the holding frame 303, inserting and securing the wafer between the movable teeth 305-307, and sliding the frame with the secured wafer back into the processing chamber, where the wafer is positioned on the lower plate 322 which is rotatable and vertically movable, and secured by a vacuum chuck.
  • the wafer surface is cleaned and dried with fluid introduced from the aperture
  • the surface is primed by flowing the adhesion promoter over the surface if it is required. Resist is dispensed from the resist & solvent inlet 332 in the center ofthe upper plate on to the surface ofthe wafer while the wafer is spinning. Purging the chamber using an inert gas from the fluid opening 326 through the space between the surface of the wafer and the upper plate may help the remove of the volatile solvent and improve the adhesion of the resist.
  • FIGURE 18 and FIGURE 19 show an apparatus based upon the present invention which can be used to sequentially perform the step 7 to step 9 listed above
  • FIGURE 20 illustrates a diagram of cluster-tools for photolithography and etching Application in Chemical Vapor Deposition (CVD)
  • a CVD process is designed to supply reactive gasses to the surface under conditions that encourage surface reaction and discourage reaction elsewhere In CVD, one or more gasses react on a surface to form a film
  • the main requirements in CVD are to provide a uniform supply of gaseous reactant to the substrate surface and energy to activate the reactant and promote the reaction
  • FIGURE 21 and FIGURE 22 shown an apparatus 400 based upon the present invention which can be used in the CVD process
  • the wafer is loaded into the working chamber and secured on the upper plate 422 with vacuum chuck
  • the distance of the wafer to the surface of the lower plate 420 is adjusted by moving the upper plate 422 which is rotatable and vertically movable
  • the wafer is cleaned and dried if needed using a chemical fluid admitted from the openings 426 and 428 on the lower plate
  • the wafer and the working chamber are then heated with the heating elements 430, and the desired fluid is introduced into the chamber from the openings on the lower plate into the narrow space between the wafer and the surface of the lower plate
  • the temperature of the fluid can be controlled by the heating units 432
  • the "V" shape of the lower plate surface is designed for better uniformity of the process Spinning or oscillating the wafer during processing results in a better quality film Application in Thin-film Deposition of Metals
  • the vacuum deposition method works best for elements or highly stable compounds of moderate melting points, especially when high purity is required, it is most useful with metallic conductors
  • the film material is transferred from a solid source, through a vacuum, to the substrate forming a metallic thin film on the surface
  • Vacuum deposition of a film requires two things a vacuum and a source of film material
  • FIGURE 23 and FIGURE 24 show an apparatus 500 based upon the present invention which can be used in the metallization process
  • the wafer is loaded into the working chamber and secured on the upper plate 522 with a vacuum chuck
  • the distance ofthe wafer to the surface ofthe lower plate 520 is then adjusted by moving the upper plate which is rotatable and vertically movable.
  • the working chamber is heated using heating elements 521, then the lower plate, which has a thin layer of the film material on the surface, is heated using heating elements 521.
  • a steam of inert gas is introduced into the working chamber from the openings 526 on the lower plate into the narrow space between the wafer and the lower plate to enhance the step side coating and the uniformity of the overall coating of the film material onto the wafer.
  • Step side coating refers to the coating of the sides of depressions etched into the wafer. A better quality film may be obtained by spinning or oscillating the wafer during processing.
  • TXRF Fluorescence
  • SLMS Secondary Ion Mass Spectrometry
  • VPD vapor phase decomposition
  • Vapor Phase Decomposition involves wet-HF vapor preconcentration of contaminant of metals in the oxide layer on the very surface of wafer into a very small volume of solution.
  • the measurement of the metals in the pre-concentrated liquid residue is accomplished by sensitive analytical instruments such as GFAA, TXRF, ICP-MS and SLMS
  • the VPD method permits better detection limit which is very demanded in the semiconductor industry. But there are several disadvantages with the VPD extraction method. The method is limited to extraction of metal elements on silicon oxide layer only. The pre-concentration procedure is very time consuming. The performance is very labor intensive and is difficult to automate and standardize. The extraction efficiency is chemistry dependent and can vary dramatically for different metallic elements and substrates. The operator is constantly exposed to the HF vapor which is known harmful to human health.
  • FIGURE 25 shows a surface chemical analysis system 600 for surface chemical analysis designed based on the present invention.
  • the component of the surface chemical extraction system 600 is almost the same as the surface chemical processing system FIGURE 2. Except the monitoring unit and effluent collection unit or the chemical recycle unit in FIGURE 2 is replaced by an analyzing unit 605 in FIGURE 33.
  • the analyzing unit 605 in FIGURE 25 consists of a sampler 601 for extract collection, a concentrator 602 for sample concentration and analyzers 603 for sample analyses such as ion chromatography and ICP-MS.
  • the wafer is chucked by applying the vacuum to the upper plate 22 and placed 0.005mm-0.500mm above the lower plate by the removable head 18 and vertically movable upper plate 22. Desired chemical fluids are introduced into the narrow space between the wafer surface and the lower plate surface, the extraction solution is collected by the sample collector, and the solution is concentrated by the concentrator. Then the concentrated residue is analyzed by an appropriate instrument, for example, by ICP-MS for metal contaminants and by High Performance Liquid Chromatography (HPLC) for organic contaminants.
  • ICP-MS for metal contaminants
  • HPLC High Performance Liquid Chromatography
  • the surface analysis system 600 shown in FIGURE 25 can be used not only for extraction of metal impurities in the oxide layer of the wafer surface, but also for other inorganic and organic impurity species on the wafer surface and in the substrate, because of the wide choices of the chemistry of chemical fluids.
  • the performance is simple, fast and easily automated. It also provides better extraction selectivity and efficiency.

Abstract

A method and apparatus for processing semiconductor wafer blanks comprises an enclosed chamber with upper and lower plates with a plurality of fluid openings leading from a source of chemical cleaning fluids, flushing fluid and dry nitrogen gas. The top plate also acts as a vacuum chuck to hold the wafer after the top surface has been cleaned and may rotate or oscillate to enhance the cleaning of the lower wafer surface. The method includes a chemical cleaning of the wafer top followed by processing the lower surface by pumping appropriate chemicals through the lower plate center toward the wafer periphery while the wafer is extremely close to the surface so that the outward moving fluids cover the wafer surface and are sparingly used. As the chemicals flow toward the periphery, their strength is renewed by the addition of new chemicals pumped through additional holes.

Description

METHOD AND APPARATUS FOR CHEMICAL PROCESSING SEMICONDUCTOR WAFERS
Field ofthe Invention This invention relates generally to the surface preparation of objects with fine surfaces such as semiconductor wafers and particularly to a method and apparatus for both wet and dry processing of such wafers.
Background ofthe Invention Microelectronics processing is a matter of surfaces. Processing techniques are concerned with modifying properties less than a few microns below or above the surface of a substrate material. Present complex electronic integrated circuits are formed by using planar processes in which an ultraclean, flat wafer of silicon is used as a substrate upon which a large number of identical devices are built by various oxidation, photolithography, removal, ion bombardment and deposition processes. Therefore, the integrated circuit manufacturing is essentially a sequence of chemical processes.
An ultraclean surface preparation before and after the processes necessary for the patterning of microelectronics devices is now more important than ever before as the surface diameter extends toward 300 mm. and the structure dimension shrink below microns It is well known that the device performance, reliability and product yield of silicon circuits are critically affected by the presence of chemical contaminants and particulate impurities on the wafer of device surface.
Current existing methods for ultraclean surface preparation can be divided into two main categories: wet processes, such as immersion and spray techniques, and dry processes such as chemical vapor and plasma based techniques.
Wet processing consists of a series of steps of immersing or spraying the wafers with appropriate chemical solutions. The wet processes for ultraclean wafer surface preparation has been successfully used for the past twenty-five years and are still the predominant methods used in manufacturing circuits. However, the high cost of the large amount of ultrapure chemicals required in the current wet processes and the treatment of hazardous waste resulting from the processes, together with its incompatibility with the advanced concepts of integrated processing such as cluster tooling, are the main reason for searching for gas processing methods that are less affected by these limitations. Although dry processing has shown several advantages in the chemical processing of advanced sub-micron features integrated circuits with high aspect ratio structures, numerous advantages of wet chemical processing often outweigh their "generic" problems in many production applications There is a real need in today's semiconductor fabrication industry to tailor the chemical processes to minimize the manufacturing costs in order to remain competitive in the ever increasing demands of the semiconductor market, while at the same time, to meet the increasing quality demands of the devices The best answer for that is to combine the wet and dry techniques into the processes Thus, there is a need of a method and apparatus for the ultraclean surface preparation that is capable of performing both wet and dry chemical processes
There is also a strong need for a method and apparatus to reduce chemical consumption, to reduce processing steps, and to increase equipment utilization without losing the effectiveness ofthe process There is further need for a method and a system that can be fully automated, well controlled, and integrated with cluster tool environments
Summary ofthe Invention The present invention provides a method and apparatus capable of either liquid or gas processing of semiconductor wafers or other objects having fine surfaces
The apparatus of the invention includes an enclosed housing of a material unaffected by the chemicals used in the process The housing has an internal cavity that contains a rotatable and vertically movable upper plate having apertures for either admitting chemical fluids or to apply a vacuum for venting the housing or for grasping a wafer which is positioned between the upper plate and a lower plate having apertures appropriately placed in its surface for admitting processing fluids and drying gas
The preferred method is to force a thin layer of processing fluid, either gas or liquid, through the narrow space between the surfaces of a semiconductor wafer and plates of the processing apparatus While the fluid passes through the narrow space, it contacts and interacts both chemically and physically with the semiconductor wafer surfaces The narrow space may be from 0 01 mm to 10 mm, depending on the nature of the chemical processes In a preferred embodiment, the particular choice of spacing will be one where an optimum fluid flow is created to simultaneously bring fresh chemicals into contact with the surface ofthe semiconductor wafer and remove unwanted reaction products away from the surface of the semiconductor wafer toward the drain in order to prevent the unwanted reaction products from redepositing on the surface of the semiconductor wafer. In this regard, the chemical flow can be turbulent or laminar, depending on the nature of the process being carried out. In the preferred embodiment, the particular choice of narrow space will additionally result in the desired processing ofthe semiconductor wafer surface using a minimum of chemicals, as well as minimize the costs of treating the resulting hazardous wastes.
Brief Description ofthe Drawings The foregoing aspects and many of the attendant advantages of this invention will become more readily appreciated as the same becomes better understood by reference to the following detailed description, when taken in conjunction with the accompanying drawings, wherein.
FIGURE 1 is a section elevation view ofthe chemical processor; FIGURE 2 is an illustration of the chemical processor with the associated equipment and supplies necessary for chemical processing, FIGURE 3 is a plan view taken along the lines 3-3 of FIGURE 1 ;
FIGURE 4 is a plan view taken along the lines 4-4 of FIGURE 1 ; and FIGURES 5 and 6 are plan views of alternate embodiments of the plates of FIGURES 3 and 4 showing slots in the plate surface.
FIGURES 7-11 show preferred embodiment of a single wafer processing system according to the present invention.
FIGURES 12-15 show a preferred embodiment of a multiple wafer semiconductor processing system according to the present invention.
FIGURES 16-19 show an apparatus embodying the present invention used in photolithography. FIGURES 21 and 22 depict an apparatus embodying the present invention which can be used in chemical vapor deposition (CVD).
FIGURES 23 and 24 depict an apparatus embodying the present invention which can be used in the metallization process.
FIGURE 25 depicts a surface chemical analysis system embodying the present invention. Detailed Description ofthe Invention
While the processing apparatus may be used for different applications, it probably will have its greatest application in the processing of semiconductor wafers.
In FIGURE 1 a semiconductor wafer 12 is shown positioned within a chamber having a base 14, sidewalls 16, and a removable top cover 18. The wafer is located between a lower plate 20 and a rotatable and vertically movable upper plate 22. The wafer is generally a thin silicon circular disc between about four and twelve inches in diameter. In the illustrated processing apparatus the wafer 12 is to be processed on both upper and lower surfaces with the lower surface being more thoroughly treated for construction of integrated circuitry.
The lower plate 20, and preferably the entire structure, is formed of a material unaffected by the various chemical fluids used in the process (e.g., a synthetic resin polymer sold under the trademark TEFLON) and the plate 20 has a flat circular central surface having a diameter equal to or larger than the diameter ofthe wafer 12 and with a high edge rim or sidewall 16. Between the central surface of plate 20 and rim 16 is a drain slot 24 having a valve 25 at the effluent outlet at its lowest point.
The top surface of the lower plate 20 has two or three circular concentric grooves spaced around a central fluid opening 26 which may be a circular hole or may be a slit. Each of the circular concentric grooves has eight to ten fluid openings 28 which, as with opening 26, extend through the lower plate 20 and base 14 and terminate in a valve 30. The valves are connected through a fluid heater 54 to a common fluid conduit 32 leading from a source of aqueous and gaseous processing chemicals shown in the system diagram of FIGURE 2.
Upper plate 22 is formed of the same material as lower plate 40, and is circular with a diameter substantially equal to that of the flat circular surface of the lower plate 20 so that it will fit and rotate within the side walls 16 ofthe lower plate. Upper plate 22 may have a single central fluid entry opening 34 leading through a valve 36 and heater 38 to the source of processing chemicals, or there may be several entry openings leading to valve 36. The upper plate 22 also functions as a vacuum chuck for holding the wafer during part ofthe process or may be used for venting the chamber interior. Therefore the valve 36 is capable of selecting either a flow of fluid into the apparatus or a vacuum applied to the apparatus for securing a wafer disc during a part of the process. Upper plate 22 may also be rotated or oscillated around its axis and is vertically movable by a motor 40 attached to the top cover 18 of the apparatus. The oscillation of the upper plate 22 is for agitation during processing and for the elution of chemicals from a wafer being held by the vacuum chuck.
Although the apparatus described is well suited for production line type of processing a typical single wafer cleaning operation in an initially clean and dry apparatus will now be described.
The top cover 18 with the upper plate 22 is lifted and a wafer 12 is held to its vacuum chuck while the vacuum is applied. In the preferred embodiment, the plate 22 places the adhering wafer 12 on at least three equally spaced, radially adjustable beveled fingers 42 overlying the lower plate surface and in the side wall 16 which can adjust the height of the wafer 12 from about 0.005 mm. to 10 mm. above the lower plate 20. The vacuum is released from the chuck, the upper plate 22 is raised 0.01 to 10 mm. and a flow of cleaning chemicals is applied to the top surface ofthe wafer 12 through the opening 34 in the upper plate 22.
When the wafer top surface is thus cleaned and dried, valve 36 is closed and a flow of processing chemicals is applied to the bottom surface through the opening 26 and through one or more ofthe concentric grooves fed by opening 28. An ultraclean wafer surface is obtained in the preferred process by an optimized velocity passage of appropriate chemicals over the wafer surface. To obtain the desired velocity and to achieve the best effectiveness ofthe dynamic fluids while conserving costly chemicals, the processing fluids are pumped through the openings 26 and 28 as the bottom surface of wafer 12 is positioned very close (e.g. 0.01 - 1.0 mm.) to the lower plate by forcing down the wafer, as needed, with the upper plate.
A flow of fluid pumped through only the central opening 26 will not assure that the entire lower surface of the wafer will receive adequate fluid, nor fluid received near the periphery of the wafer will have the same processing power as the fresh fluid emanating from the opening. The surface grooves and the plurality of openings in each groove in the lower plate 20 will assure both an adequate supply of fluid to float the wafer off the lower plate and will provide a fresh supply of fluids to all areas of the wafers bottom surface as the fluid rushes toward the periphery. It is noted that in other embodiments of the present invention varying the flow of fluids pumped through openings 26 and 28 to float the wafer to varying heights above the lower plate may be used in place ofthe radially adjustable beveled fingers 42.
Some processing steps may require a soaking period which is provided by closing the valve 25 from the drain slot 24. In such a case agitation of the wafer may be very important and locking the wafer to the vacuum chuck and engaging the motor 40 for slow rotation or oscillation ofthe upper plate and wafer will assure that the bottom surface becomes thoroughly processed.
Rinsing of a chemically washed wafer usually requires great quantities of deionized water for elution of all traces of chemical from the wafer and to thoroughly rinse the various crevices and wafer holding clamps of the equipment. It should be noted that the apparatus described has no crevices nor holding clamps in which contaminants or chemical solutions can deposit and hide; both the chemicals and the rinsing water merely flow in over the wafer and flow out through the drain. Hence, a relatively small amount of deionized rinse water is required and all possibility of cross- contamination can be eliminated. After the processing, the chemical supply is shut off and the water supply is passed through the same openings 26, 28 as used for the chemical solutions. This rinsing water passes over the surface of the wafer and through the drain slot 24.
The processed and rinsed wafer is dried by passing a dry inert gas such as nitrogen, through the fluid conduits and the openings 26, 28, 34. Then the vacuum chuck is again actuated to hold the cleaned wafer so that the top cover 18 with the upper plate 22 may be removed. Releasing the vacuum will then release the wafer.
FIGURE 2 illustrates the processing apparatus of FIGURE 1 along with the equipment and supplies to make a computer controlled automated processing unit. Included are fluid supplies including three tanks of chemical liquids 44 and a tank of deionized water 46 and three tanks of dry processing gases 48 and a tank of nitrogen 50. Obviously, other tanks of wet or dry fluids may be added. Each liquid chemical tank has its own valve where the liquid enters a mixing conduit or manifold at the input of a pump 52 followed by a switching valve 33, then a heating element 54. Each dry chemical tank has its own regulator and valve where the fluid is admitted into a mixing conduit or manifold at the input of a pump 53 followed by a switching valve 33 and the heating element 54. The heating element is coupled directly to the mixing input conduit or manifold 32 of FIGURE 1, which is shown connected to the selector valve 36 for admitting cleaning fluids to the top surface ofthe wafer through the top plate 22 in FIGURE 2.
A vacuum pump 56 is coupled to the vacuum terminal of the selector valve 36. A second vacuum pump is coupled to the drain valve for the removal of dry chemicals and the effluent of both dry and liquid chemicals is monitored and recycled, as desired, by a monitor and recycle unit 58 coupled to the output of the drain valve 25. For full computer control, a digital computer 60 may be added to control the operation of the process. Each valve, pump, heater and motor is under the control of the computer which may be programmed according to timed sequences. For example, when a wafer has been installed, the computer may start at time, tl, with a three minute bath of heated deionized water through the top plate 22, followed at time t2 with one minute of a strong cleaning reagent to the top surface, followed at t3 by three minutes of rinsing, then at t4 a vacuum clamps the wafer to the top plate 22 and adjusts the fingers 42 to position the wafer 0.50 mm. above the surface of the lower plate 20. Then follows a complete cleaning of the lower surface of the wafer, until probably at t50, heated nitrogen may be applied for drying. The procedure is variable, depending upon the nature and requirements of the process, the condition of the substrate wafer and the size ofthe wafer surface. The process steps can be completed sequentially.
FIGURE 3 is a plan view of the lower plate 20 as taken along the lines 3-3 of FIGURE 1 and shows the concentric grooves 62 in the plate surface linking the fluid openings 28 for evenly distributing the chemical reactants. If a processing liquid were admitted only through the central opening 26 it would be insufficient to cover the entire wafer surface and if a large quantity of liquid were to enter only through the central opening, the reactant strength would diminish as it flowed outward and covered a greater area. Hence, the grooves and the additional openings 28, as shown in FIGURES 5 and 6, will provide additional, original strength fluid to the uniformity ofthe process.
FIGURE 4 is a plan view of the upper plate 22 and shows a single central opening 34 with four narrow radial slits for admitting cleaning fluids and for applying a vacuum to clamp the wafer to the plate. Several holes or narrow slits could be employed and the single hole 34 with radial slits is for illustrative purposes only.
The foregoing description is of the preferred embodiment, it is apparent that by changing the location of the drainage channel, the apparatus may be used in an inverted position or may be used vertically. It is intended, therefore, to be limited only by the scope ofthe appended claims. Automated Wafer Processing Apparatus
FIGURES 7 to 11, shows an automated single-wafer processing system 100 which includes a framework 101 having a base portion 105 and upper chamber 1 10. In the base portion 105, there are chemical fluid delivery unit, effluent collector or /14178 PC17US96/16150
recycling unit, on-line analyzer or sampling unit, vacuum unit and computer control unit.
As shown in FIGURES 7 and 8, the wafer processor is contained in the upper chamber 110 which functions as a mini-environment with the capabilities of inner gas purge, gases elevating and flow balance according to the requirements of a particular process. Along with the wafer processor in the upper chamber, there are wafer containers 115 and 116 for wafers going to be processed and the wafers having been processed respectively. The wafer containers 115 and 116 are loaded into the chamber through the access door 112 and 113 respectively and are positioned as shown in FIGURES 7 to 11. The upper chamber further includes two robotic transfer units 118 and 119 for loading the wafer from wafer container 115 to the wafer processor and unloading the processed wafer from the processor to wafer container 116 as shown in FIGURE 7 to 8.
FIGURE 8 shows transfer unit 118 at the first position for loading the wafer from wafer container 115 and shows transfer unit 119 at the initial position. FIGURE 9 shows the transfer unit 118 at the last position for loading the wafer to wafer processor. FIGURE 10 shows the transfer unit 119 at the first position for unloading the wafer from the processor. FIGURE 11 shows the transfer unit 119 at the last position for unloading the wafer from the processing unit. FIGURES 12 to 15 show a preferred automated multi-wafer semiconductor processing system 200 embodying the present invention. The automated multi-wafer processing system 200 includes a wafer processor unit 201, a back portion 220, a base portion 230 as shown in FIGURE 12. In the base portion 230, there are effluent collector or recycling unit, on-line analyzer or sampling unit, vacuum unit and computer unit. In the back portion 220, there are chemical fluid delivery unit and computer interface.
The multi-wafer processor 201 is basically a set of single- wafer processors as shown in FIGURE 1. A set of three is used as an example as shown in FIGURE 12. Each single-wafer processor consists of a wafer holding frame 203 with four movable lower teeth 205 and three movable upper teeth 207. The frame 203 can be slid in and out from the working chamber for loading and unloading the wafer. The movable lower teeth 205 and upper teeth 207 are not only for securing the wafer, but also for defining the wafer position in the chamber. The front wall, or other appropriate side wall, can be adapted to function as an access door 209. Wafers are loaded into the processing chamber by opening the door, sliding out the frame 203, inserting the wafer into the teeth 205-207, securing and positioning the wafer with the movable teeth 205-207, sliding frame with the wafer back into the processing chamber and closing the door 209 The operation procedure is automated and programmed controlled by the computer control unit After the process is completed, open the accessing door 209, slide the wafer holding frame 203 out the working chamber, and unload the wafer 12
The number of the single-wafer processors can be more than three The working chamber of processor is simplified compared to the wafer processor shown in FIGURE 1 The chemical fluid is injected and the vacuum is applied through the apertures on the top side ofthe processor chamber
There are several advantages of this simplification (1) less possibility of equipment contamination because of the simple structure and the less moving part in the working chamber, (2) smaller space of working chamber and lighter weight of the processor, and (3) easier in processors construction and simpler in operation
The versatility in operation and simplicity in system construction are the very important characteristics of the automated multi-wafer processing system 200 The system can be compacted into a structure fairly small in size and light in weight Therefore the automated multi- wafer processing apparatus can not only be used as a processing system but also as a mini-environment storage for storing and transferring wafers That will make the system very useful in the semiconductor processing Application in Etching and Cleaning Processes
It is now common to use a series of cleaning processes after etching of silicon wafers For example, Blended Hydrogen Fluoride Acid (BHF) treatment for oxide etching followed by RCA - Hydrogen Fluoride Acid (RCA-HF) last cleaning
The sequence of chemical solutions used to clean a wafer depends upon the contaminants presented and the requirement of the process It is reasonable to take the well-known RCA clean as an example since the RCA wet clean method is still widely used in the wafer cleaning process The RCA cleans are based on a two-step process, the Standard Clean- 1, refeσed to as SC-1, followed by Standard Clean 2, SC-2 In the Standard Clean- 1, the SC-1 solution is typically a 5 1 1 mixture of deionized water, "unstabilized" hydrogen peroxide (30%, "not stabilized") and ammonium hydroxide (27 w/w% as NH3) The working conditions are generally at 70 C for 5-10 minute In the Standard Clean-2, the SC-2 solution typically consists of 6 1 1 deionized water, hydrogen peroxide (30%, "not stabilized"), hydrochloric acid (37 w/w%), and the working conditions are at 70 C for 510 minute
The apparatus of FIGURE 2 can be used to sequentially perform the steps of the BFH etching and RCA clean In the process, the lower teeth 42 and the upper plate 22 are set at positions to define proper processing space heights A processing protocol is generated in the computer unit 60 For example,
*At time-1, connect valves 30 and 36 to the chemical fluid line for double-side clean, start to inject deionized water to clean the working chamber
*At time-2, load the wafer on the lower teeth 1 mm above the lower plate 20 and position the upper plate 22 at 1 mm above the wafer 12
*At time-3, start to inject deionized water from valves 30 and 36 to clean both side ofthe wafer
*At time-4, purge nitrogen into the upper narrow space to dry the back surface ofthe wafer *At time-5, switch valve 36 to the vacuum line and chuck the wafer by applying vacuum
*At time-6, wet the surface with deionized water containing surfactant reagent if it is needed
*At time-7, introduce the BHF mixture which is pre-mixed right before being pumped out the chemical fluid delivery unit and pushed into the lower narrow space for etching the wafer
*At time-8, inject deionized water to rinse out BHF
*At time-9, inject SC-1 solution at 70 degrees C to clean the wafer
*At time- 10, inject deionized water to rinse out SC-1 solution *At time-11, inject SC-2 solution at 70 degrees C to further clean the wafer
*At time-12, inject deionized water for rinsing
*At time- 13, inject dilute hydrofluoric acid for positive -surface preparation
*At time- 14, inject ultrapure nitrogen gas to push the water out the wafer surface *At time-12, spin the wafer while the a hot nitrogen gas is injected to further dry the wafer
*At time-13, initial the position of the holding teeth 42 and the upper plate 22 and unload the wafer After the processing parameters have been carefully set up, the chemicals needed in the process are loaded in the proper containers, and then the processing program is started
The optimum parameters for the best processing result according to specification of product and cost of the process can be obtained by adjusting the processing program For example, to avoid micro-roughening ofthe silicon surface, it may be achieved by reducing the ammonia and hydrogen peroxide concentration in
SC-1 to a minimum level without losing the effectiveness of cleaning
Many advantages of the invention can be easily seen and understood through the example given above The entire processing steps are conducted in one working chamber and carried out by a programmable sequence This eliminates the transfer of wafers between baths, therefore prevent the re-contamination commonly occurred during the transfer It substantially reduce the processing time and consumption of chemicals which includes the deionized water It also reduces the cost for the equipment footprint and utilities' maintenance The operation is very simple and much safer
The processing quality can be well controlled because of the fully automated programming procedure and the ability of real-time process monitoring or on-line analyzing The reproducibility is also expected to be improved Most existing techniques, for instance, megasonic treatment, brush scrubbing and photochemical techniques can be adopted into the system The system can be easily implemented in the production environment and adapted into those well-established fabrication processes
The system's capability of combining dry and wet processes permits the creation and development of new methods and techniques, and will aid the improvement of existing methodologies, such as HF-based cleaning, sulfuric-based cleaning, ozone treatment and chelating agents cleaning Application in Photolithography
In general, the photolithography process consists the following steps Step 1, cleaning, rinsing and drying the wafer to assure a utraclean surface
Step 2, baking the wafer to remove both molecular water and silanol group (Si-OH) for good adhesion between the substrate and resist film by heating the wafer at 200-250 degrees C for 30 minutes
Step 3, priming the wafer to promote adhesion with an adhesion promoter such as hexamethyl disilazane (HMDS) for silicon dioxide Step 4, coating the wafer by dynamic dispense, for example, in which the resist is dispensed on rotating wafer.
Step 5, soft baking or postbaking to remove remaining solvents by heating the wafer at 90-100 degrees C. Step 6, exposing the resist.
Step 7, developing the film by washing, or immersing, or spraying method.
Step 8, etching the film by wet or dry processes.
Step 9, removing the resist and cleaning the surface for a next process such as ion implantation and or dopant diffusion. FIGURE 16 and FIGURE 17 show an apparatus 300 based upon the present invention which can be used to sequentially perform the step 1 to step 5 listed.
The wafer is loaded into the processing chamber by sliding out the holding frame 303, inserting and securing the wafer between the movable teeth 305-307, and sliding the frame with the secured wafer back into the processing chamber, where the wafer is positioned on the lower plate 322 which is rotatable and vertically movable, and secured by a vacuum chuck.
The wafer surface is cleaned and dried with fluid introduced from the aperture
326 on the upper plate 320 while the wafer is heated using the heating elements 334 in the lower plate 322. Drying the surface with hot nitrogen gas while heating up the wafer from the bottom may help the removal of molecular water and the silanol group. After cleaning and drying, the surface is primed by flowing the adhesion promoter over the surface if it is required. Resist is dispensed from the resist & solvent inlet 332 in the center ofthe upper plate on to the surface ofthe wafer while the wafer is spinning. Purging the chamber using an inert gas from the fluid opening 326 through the space between the surface of the wafer and the upper plate may help the remove of the volatile solvent and improve the adhesion of the resist.
After the wafer is soft baked or postbaked by heating the wafer using heating elements 334 in the lower plate 322 while keeping the inert gas flow over the film, the wafer is ready for exposure. FIGURE 18 and FIGURE 19 show an apparatus based upon the present invention which can be used to sequentially perform the step 7 to step 9 listed above
After the resist exposure, the wafer is loaded into the chamber on the lower plate 344 which is rotatable and vertically movable as shown in FIGURE 18. The wafer is secured by the vacuum chuck, and the wafer surface is sequentially developed, rinsed, etched, stripped of resist, cleaned and dried by the programmed introduction of various chemical fluids into the narrow space between the wafer and the upper plate from the apertures 326 and 328 on the upper plate The quality of processing may be improved by rotating, oscillating, or vertically moving the wafer during processing FIGURE 20 illustrates a diagram of cluster-tools for photolithography and etching Application in Chemical Vapor Deposition (CVD)
A CVD process is designed to supply reactive gasses to the surface under conditions that encourage surface reaction and discourage reaction elsewhere In CVD, one or more gasses react on a surface to form a film The main requirements in CVD are to provide a uniform supply of gaseous reactant to the substrate surface and energy to activate the reactant and promote the reaction
FIGURE 21 and FIGURE 22 shown an apparatus 400 based upon the present invention which can be used in the CVD process The wafer is loaded into the working chamber and secured on the upper plate 422 with vacuum chuck The distance of the wafer to the surface of the lower plate 420 is adjusted by moving the upper plate 422 which is rotatable and vertically movable The wafer is cleaned and dried if needed using a chemical fluid admitted from the openings 426 and 428 on the lower plate The wafer and the working chamber are then heated with the heating elements 430, and the desired fluid is introduced into the chamber from the openings on the lower plate into the narrow space between the wafer and the surface of the lower plate The temperature of the fluid can be controlled by the heating units 432 The "V" shape of the lower plate surface is designed for better uniformity of the process Spinning or oscillating the wafer during processing results in a better quality film Application in Thin-film Deposition of Metals
The vacuum deposition method works best for elements or highly stable compounds of moderate melting points, especially when high purity is required, it is most useful with metallic conductors In the process, the film material is transferred from a solid source, through a vacuum, to the substrate forming a metallic thin film on the surface Vacuum deposition of a film requires two things a vacuum and a source of film material
FIGURE 23 and FIGURE 24 show an apparatus 500 based upon the present invention which can be used in the metallization process The wafer is loaded into the working chamber and secured on the upper plate 522 with a vacuum chuck The distance ofthe wafer to the surface ofthe lower plate 520 is then adjusted by moving the upper plate which is rotatable and vertically movable. The working chamber is heated using heating elements 521, then the lower plate, which has a thin layer of the film material on the surface, is heated using heating elements 521. A steam of inert gas is introduced into the working chamber from the openings 526 on the lower plate into the narrow space between the wafer and the lower plate to enhance the step side coating and the uniformity of the overall coating of the film material onto the wafer. Step side coating refers to the coating of the sides of depressions etched into the wafer. A better quality film may be obtained by spinning or oscillating the wafer during processing.
Application in Surface Chemical Analysis
The ever-decreasing dimensions of microelectronics devices demands the refinement of traditional surface and materials characterization techniques and the inception of novel methodologies. Direct surface analyses techniques such as Total Reflection X-Ray
Fluorescence (TXRF), and Secondary Ion Mass Spectrometry (SLMS) have been the common methods for the determination of trace metal ions on a silica surface. The detection limits for these methods are ranged from 1010 to 1015 atoms/cm2.
In the past few years, vapor phase decomposition (VPD) method, an indirect surface analysis method, have been extensively studied and applied to the analysis of metal ion contamination on a silicon surface in combination with Graphite Furnace Atomic Absoφtion Spectroscopy (GFAAS), Inductively Coupled Plasma-Mass Spectrometry (ICP-MS), TXRF or SLMS to achieve lower detection limit
Vapor Phase Decomposition (VPD) involves wet-HF vapor preconcentration of contaminant of metals in the oxide layer on the very surface of wafer into a very small volume of solution. The measurement of the metals in the pre-concentrated liquid residue is accomplished by sensitive analytical instruments such as GFAA, TXRF, ICP-MS and SLMS
The VPD method permits better detection limit which is very demanded in the semiconductor industry. But there are several disadvantages with the VPD extraction method. The method is limited to extraction of metal elements on silicon oxide layer only. The pre-concentration procedure is very time consuming. The performance is very labor intensive and is difficult to automate and standardize. The extraction efficiency is chemistry dependent and can vary dramatically for different metallic elements and substrates. The operator is constantly exposed to the HF vapor which is known harmful to human health.
FIGURE 25 shows a surface chemical analysis system 600 for surface chemical analysis designed based on the present invention. The component of the surface chemical extraction system 600 is almost the same as the surface chemical processing system FIGURE 2. Except the monitoring unit and effluent collection unit or the chemical recycle unit in FIGURE 2 is replaced by an analyzing unit 605 in FIGURE 33.
The analyzing unit 605 in FIGURE 25 consists of a sampler 601 for extract collection, a concentrator 602 for sample concentration and analyzers 603 for sample analyses such as ion chromatography and ICP-MS.
The wafer is chucked by applying the vacuum to the upper plate 22 and placed 0.005mm-0.500mm above the lower plate by the removable head 18 and vertically movable upper plate 22. Desired chemical fluids are introduced into the narrow space between the wafer surface and the lower plate surface, the extraction solution is collected by the sample collector, and the solution is concentrated by the concentrator. Then the concentrated residue is analyzed by an appropriate instrument, for example, by ICP-MS for metal contaminants and by High Performance Liquid Chromatography (HPLC) for organic contaminants. The surface analysis system 600 shown in FIGURE 25 can be used not only for extraction of metal impurities in the oxide layer of the wafer surface, but also for other inorganic and organic impurity species on the wafer surface and in the substrate, because of the wide choices of the chemistry of chemical fluids. The performance is simple, fast and easily automated. It also provides better extraction selectivity and efficiency.
While a number of preferred embodiments of the invention have been illustrated and described, it will be appreciated that various changes can be made therein without departing from the spirit and scope ofthe invention.

Claims

I claim:
1 An apparatus for chemically processing a semiconductor wafer comprising:
(a) at least one chamber, in which a semiconductor wafer is processed, the chamber comprising:
(b) a bottom having portions defining a lower working surface inside the chamber, the lower working surface having at least one entry opening passing through the lower working surface to a conduit, the entry opening in fluid flow communication with and directing processing fluid into the chamber;
(c) a top having portions defining an upper working surface inside the chamber spaced above the lower working surface, the upper working surface having at least one entry opening passing through the upper working surface to the conduit, the entry opening in fluid flow communication with and directing processing fluid into the chamber;
(d) means for supporting a semiconductor wafer between the upper and lower working surfaces to position the semiconductor wafer such that at least one narrow gap is created either
(i) between the surface of the semiconductor wafer and the upper working surface,
(ii) between the surface of the semiconductor wafer and the lower working surface, or
(iii) between the surface of the semiconductor wafer and both the upper and the lower working surfaces;
(e) a side wall surrounding the semiconductor wafer; and
(f) a drainage system for directing the processing fluid out ofthe chamber.
2 The processing apparatus of Claim 1, wherein the drainage system is in the lower working surface.
3. The processing apparatus of Claim 2, wherein the drainage system comprises at least one groove in the lower working surface, wherein the groove is coupled to a drain outlet.
4. The processing apparatus of Claim 1, wherein the upper working surface is coupled to a selector for selecting between a fluid passing into the chamber through the at least one entry opening in the upper working surface and a vacuum applied to the upper working surface through the at least one entry opening
5 The processing apparatus of Claim 4, wherein the upper working surface functions as a vacuum vise for holding the semiconductor wafer being processed
6 The processing apparatus of Claim 4, wherein the upper working surface further comprises means for heating the processing fluid, the heating means being located between the selector and the at least one entry opening
7 The processing apparatus of Claim 1 , further comprising at least one groove in the lower working surface and encircling a central entry opening, wherein any additional entry openings in the lower working surface are located within the at least one groove
8 The processing apparatus of Claim 7, wherein the at least one groove comprises a plurality of grooves disposed concentricity around the central entry opening
9 The processing apparatus of Claim 1, further comprising a valve associated with each opening of the at least one entry openings in the lower working surface for controlling fluid flow through the entry openings into the chamber
10 The processing apparatus of Claim 1 , further comprising a valve in the drainage system to control fluid flow out ofthe chamber
11 The processing apparatus of Claim 1, wherein the means for supporting a semiconductor wafer comprises at least three circumferentially spaced fingers projecting from the side wall and overlying the lower working surface
12 The processing apparatus of Claim 11, wherein the fingers are beveled in the radial direction relative to the center ofthe chamber
13 The processing apparatus of Claim 1, wherein the upper working surface and the lower working surface are substantially flat and are generally of the same size
14. The processing apparatus of Claim 1, wherein one of the working surfaces is rotatable and movable toward or away from the other working surface, the apparatus further comprising a system for rotating and moving the rotatable and movable working surface.
15. The processing apparatus of Claim 14, wherein the system for rotating and moving the working surface comprises an electrical motor attached to the chamber.
16. The processing apparatus of Claim 1, wherein the at least one narrow gap comprises a gap of 0.01 to 10.0 mm, inclusive.
17 A method for chemically processing a semiconductor wafer comprising the steps of:
(a) inserting the wafer between an upper working surface and a lower working surface of an enclosed chamber;
(b) supporting the wafer substantially parallel to the upper and lower working surfaces such that at least one narrow gap is created between at least one surface of the wafer and at least one ofthe working surfaces; and
(c) processing at least one surface ofthe wafer by
(cl) injecting selected processing fluids into the enclosed chamber through at least one entry opening in either the upper working surface, the lower working surface, or both the upper and the lower working surfaces;
(c2) forcing the elected processing fluids through the at least one narrow gap and over the at least one surface ofthe wafer, and
(c3) draining selected processing fluids from the enclosed chamber through at least one drain in the chamber.
18. The method of Claim 17, wherein the selected processing fluids are injected into the enclosed chamber through at least one entry opening below the wafer.
19. The method of Claim 18, wherein the step of supporting the wafer comprises supporting the wafer at a predetermined height above the lower working surface by adjusting a volume flow rate of the selected processing fluids to be injected into the enclosed chamber through the at least one entry opening below the wafer in the step (cl) to thereby float the wafer to the predetermined height above the lower working surface.
20. The method of Claim 17, wherein the selected processing fluids are injected into the enclosed chamber through at least one entry opening above the wafer, and at least one entry opening below the wafer.
21. The method of Claim 20, wherein the step of supporting the wafer comprises supporting the wafer at a predetermined height above the lower working surface by adjusting a volume flow rate of the selected processing fluids to be injected into the enclosed chamber through the at least one entry opening below the wafer in the step (cl) to thereby float the wafer to the predetermined height above the lower working surface.
22. The method of Claim 17, wherein the step of supporting the wafer comprises supporting the wafer at a predetermined height above the lower working surface by adjusting the positions ofthe three beveled fingers spaced around the lower working surface to a predetermined height, relative to the lower working surface, prior to insertion of the wafer into the enclosed chamber, and wherein the step of inserting the wafer into the enclosed chamber comprises the step of inserting the wafer into the enclosed chamber such that the three beveled fingers contact and support the edge portion ofthe wafer.
23 The method of Claim 17, wherein step (cl) of injecting selected processing fluids into the enclosed chamber comprises the step of injecting the selected processing fluids into the enclosed chamber through at least one entry opening above the wafer.
24. The method of Claim 17, wherein the step (c2) of forcing the selected processing fluids through the at least one narrow gap comprises the step of forcing the selected processing fluids through a gap of 0.01 to 10.0 mm, inclusive.
25. The method of Claim 17, where the chemical processing comprises a process of etching the semiconductor wafer, wherein the step (c) is performed wherein the selected processing fluids are for cleaning both sides of the wafer, the method further comprising the steps of:
(d) preparing the semiconductor wafer for etching; (e) attaching the semiconductor wafer to the upper working surface, and
(f) etching the semiconductor wafer by repeating step (c) using etching chemicals as the selected processing fluids
26 The method of Claim 25, wherein the preparing step (d) comprises the step of injecting nitrogen into the chamber to displace the selected processing fluids used for cleaning the wafer
27 The method of Claim 25, wherein the attaching step comprises the step of applying a vacuum through at least one entry opening located in the upper working surface
28 The method of Claim 25, further comprising the step of rinsing out the etching chemicals,
29 The method of Claim 25, further comprising the step of positive surface preparing the semiconductor wafer
30 The method of Claim 29, wherein the step of positive surface preparing the semiconductor wafer comprises the step of repeating step (c) using hydrofluoric acid as the selected processing fluids
31 The method of Claim 25, further comprising the step of cleaning the etched semiconductor wafer,
32 The method of Claim 31, wherein the step cleaning the etched semiconductor wafer comprises the steps of repeating step (c) using SC-1 solution as the selected processing fluids, and repeating step (c) using SC-2 solution as the selected processing fluids
33 The method of Claim 32 further comprising the step of preheating the cleaning solutions SC-1 and SC-2 to a temperature of at least 70 C degrees
34 The method of Claim 31, further comprising the step of rinsing the semiconductor wafer after cleaning
35 The method of Claim 34, further comprising the steps of repeating step (c) using ultrapure nitrogen gas as the selected processing fluids; and spinning the wafer by rotating the upper working surface, the upper working surface being rotatable and vertically movable, while repeating step (c) using hot nitrogen gas as the selected processing fluids.
36. The method of Claim 25, wherein the step (f) of etching comprises the step of repeating step (c) using a premixed Blended Fluoric Acid (BHF) mixture.
37. The method of Claim 17 where the chemical processing of the semiconductor wafer comprises a photolithography process, wherein the step (b) of supporting the wafer comprises the step of attaching the wafer to the lower working surface, the lower working surface being rotatable and movable toward and away from the upper working surface; wherein step (c) is performed using a cleaning solution as the selected processing fluids to clean the top surface of the wafer while baking the wafer using heating elements located in the lower working surface, the method further comprising the steps of:
(d) priming the surface ofthe wafer;
(e) applying resist to the surface ofthe wafer;
(f) exposing the wafer; and
(g) preparing the wafer.
38. The method of Claim 37, wherein the step (d) of priming the surface of the wafer comprises the step of repeating step (c) using an adhesion promoter.
39. The method of Claim 38, wherein the step priming the surface of the wafer comprises the step of repeating step (c) using hexamethyl disilazane (HMDS) for silicon dioxide as the adhesion promoter.
40. The method of Claim 37, wherein the step (g) of preparing the wafer comprises the steps of developing the wafer, rinsing the wafer, and etching the wafer, stripping the resist from the wafer, cleaning the wafer and drying the wafer.
41. The method of Claim 37, wherein the attaching step comprises the step of applying a vacuum through at least one entry opening located in the lower working surface.
42. The method of Claim 37, wherein the step of baking comprises the step of heating the wafer to a temperature of about 200 to 250 C degrees for at least 30 minutes.
43. The method of Claim 37, wherein the step (e) of applying resist to the surface ofthe wafer comprises the steps of: spinning the wafer by rotating the lower working surface; and repeating step (c) using resist as the selected processing fluids.
44. The method of Claim 17, wherein the chemical processing of the semiconductor wafer comprises a chemical vapor deposition process, wherein the step (b) of supporting the wafer comprises the step of attaching the wafer to the upper working surface, the upper working surface being rotatable and movable toward and away from the lower working surface; further comprising the step of forming a film on the surface ofthe wafer by heating the wafer using heating elements located in the upper working surface is performed prior to performing step (c) using one or more gasses chosen to react with the surface as the selected processing fluids.
45. The method of Claim 44, wherein the attaching step comprises the step of applying a vacuum through the at least one entry opening located in the upper working surface.
46. The method of Claim 44, further comprising the step of spinning the wafer by rotating the upper working surface during the step of forming a film on the surface ofthe wafer.
47. The method of Claim 44, further comprising the step of oscillating the wafer by moving the upper working surface toward and away from the lower working surface during the step of forming a film on the surface ofthe wafer.
48. The method of Claim 17, wherein the chemical processing of the semiconductor wafer comprises a thin-film deposition of metals process, wherein the step (b) of supporting the wafer comprises the step of attaching the wafer to the upper working surface, the upper working surface being rotatable and movable toward and away from the lower working surface; wherein step (c) is performed using a steam of gas as the selected processing fluids to form a metallic thin film on the surface of the wafer, the method further comprising the steps of: prior to performing step (c), heating the wafer using heating elements located in the upper working surface, and prior to performing step (c), evacuating the chamber, wherein the steam of gas is introduced into the chamber through the entry openings on the lower working surface
49 The method of Claim 48, wherein the attaching step comprises the step of applying a vacuum through the at least one entry opening located in the upper working surface
50 The method of Claim 48, further comprising the step of spinning the wafer by rotating the upper working surface during the step of introducing a steam of gas into the chamber
51 The method of Claim 48, further comprising the step of oscillating the wafer by moving the upper working surface toward and away from the lower working surface during the step of introducing the steam of gas into the chamber
52 The method of Claim 17, where the chemical processing of the semiconductor wafer comprises a surface chemical analysis process, wherein the step (b) of supporting the wafer comprises the step of attaching the wafer to the upper working surface, the upper working surface being rotatable and movable toward and away from the lower working surface, the method further comprising the steps of
(d) collecting and sampling the selected processing fluids from the at least one drain, and
(e) analyzing the concentrated residue for contaminants
53 The method of Claim 52, wherein the attaching step comprises the step of applying a vacuum through the at least one entry opening located in the upper working surface
54 The method of Claim 52, wherein the step (d) of collecting and sampling the selected processing fluids further comprises the step of concentrating the collected and sampled fluids to form a residue
PCT/US1996/016150 1995-10-13 1996-10-09 Method and apparatus for chemical processing semiconductor wafers WO1997014178A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU72625/96A AU7262596A (en) 1995-10-13 1996-10-09 Method and apparatus for chemical processing semiconductor wafers
JP9515144A JP2000501231A (en) 1995-10-13 1996-10-09 Semiconductor wafer chemical processing method and apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US54307195A 1995-10-13 1995-10-13
US08/543,071 1995-10-13
US08/711,131 US6239038B1 (en) 1995-10-13 1996-09-09 Method for chemical processing semiconductor wafers
US08/711,131 1996-09-09

Publications (2)

Publication Number Publication Date
WO1997014178A1 true WO1997014178A1 (en) 1997-04-17
WO1997014178B1 WO1997014178B1 (en) 1997-05-15

Family

ID=27067229

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1996/016150 WO1997014178A1 (en) 1995-10-13 1996-10-09 Method and apparatus for chemical processing semiconductor wafers

Country Status (5)

Country Link
US (1) US6239038B1 (en)
JP (1) JP2000501231A (en)
AU (1) AU7262596A (en)
TW (1) TW365550B (en)
WO (1) WO1997014178A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999064855A1 (en) * 1998-06-08 1999-12-16 Memc Electronic Materials, Inc. Process for monitoring the concentration of metallic impurities in a wafer cleaning solution
WO2000042637A1 (en) * 1999-01-18 2000-07-20 Kunze Concewitz Horst Method and device for treating tabular substrates, especially silicon wafers for producing microelectronic elements
EP1056122A2 (en) * 1999-05-25 2000-11-29 Ebara Corporation Substrate treating apparatus and method of operating the same
EP1077472A2 (en) * 1999-08-19 2001-02-21 Tokyo Seimitsu Co.,Ltd. Etching apparatus
WO2004114375A1 (en) 2003-06-13 2004-12-29 Sophia Wen Method and apparatus for thin-layer chemical processing of semiconductor wafers
US8056257B2 (en) * 2006-11-21 2011-11-15 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
CN102903604A (en) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 Opening type semiconductor processing device
CN102903605A (en) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 Semiconductor processing device and control method
US20150079802A1 (en) * 2011-07-29 2015-03-19 Wuxi Huaying Microelectronics Technology Co., Ltd. Adjustable Semiconductor Processing Device And Control Method Thereof

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6039059A (en) 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6376374B1 (en) * 1998-05-12 2002-04-23 Semitool, Inc. Process and manufacturing tool architecture for use in the manufacturing of one or more protected metallization structures on a workpiece
DE69937255T2 (en) * 1998-11-20 2008-07-03 Steag RTP Systems, Inc., San Jose QUICK-HEATING AND COOLING DEVICE FOR SEMICONDUCTOR WAFER
US6451114B1 (en) * 1999-04-22 2002-09-17 Quality Microcircuits Corporation Apparatus for application of chemical process to a workpiece
JP4621400B2 (en) * 1999-11-02 2011-01-26 東京エレクトロン株式会社 Semiconductor substrate processing equipment
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US7037797B1 (en) * 2000-03-17 2006-05-02 Mattson Technology, Inc. Localized heating and cooling of substrates
KR100750018B1 (en) * 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 High pressure processing chamber for semiconductor substrate
US6337220B1 (en) * 2001-02-28 2002-01-08 Fairchild Semiconductor Corporation Ion implanter vacuum integrity check process and apparatus
US20020189543A1 (en) * 2001-04-10 2002-12-19 Biberger Maximilian A. High pressure processing chamber for semiconductor substrate including flow enhancing features
US6727494B2 (en) * 2001-04-18 2004-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for detecting contaminating species on a wafer edge
JP2002353181A (en) * 2001-05-30 2002-12-06 Ses Co Ltd Sheet substrate cleaning method and sheet substrate cleaning device
JP3511514B2 (en) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 Substrate purification processing apparatus, dispenser, substrate holding mechanism, substrate purification processing chamber, and substrate purification method using these
JP2003007664A (en) * 2001-06-22 2003-01-10 Ses Co Ltd Method and apparatus for cleaning single wafer
JP4210045B2 (en) * 2001-06-25 2009-01-14 横河電機株式会社 Cleaning device
US7171973B2 (en) * 2001-07-16 2007-02-06 Tokyo Electron Limited Substrate processing apparatus
US6689418B2 (en) * 2001-08-03 2004-02-10 Applied Materials Inc. Apparatus for wafer rinse and clean and edge etching
US7092077B2 (en) * 2001-09-24 2006-08-15 Entegris, Inc. System and method for monitoring contamination
US20040023419A1 (en) * 2001-09-24 2004-02-05 Extraction Systems, Inc System and method for monitoring contamination
US20030086774A1 (en) * 2001-11-07 2003-05-08 Schlumberger Technologies, Inc. System and method for inhibiting motion of semiconductor wafers in a variable-pressure chamber
DE10162191A1 (en) * 2001-12-17 2003-06-18 Wolfgang Coenen Etching device used for etching precision surfaces in the semiconductor industry comprises a reaction chamber for receiving and processing a single object
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6924086B1 (en) * 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
AU2003215238A1 (en) * 2002-02-15 2003-09-09 Supercritical Systems Inc. Pressure enchanced diaphragm valve
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
JP2004055722A (en) * 2002-07-18 2004-02-19 Renesas Technology Corp Cleaning equipment, cleaning method of substrate and method for manufacturing semiconductor device
KR100474579B1 (en) * 2002-08-09 2005-03-10 삼성전자주식회사 Method for manufacturing a standard wafer used in surface analysis system
US7531039B2 (en) * 2002-09-25 2009-05-12 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing system
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US7384484B2 (en) * 2002-11-18 2008-06-10 Dainippon Screen Mfg. Co., Ltd. Substrate processing method, substrate processing apparatus and substrate processing system
US20040108061A1 (en) * 2002-12-06 2004-06-10 Eastman Kodak Company Apparatus and method for making a light-emitting display
US7596886B1 (en) * 2002-12-18 2009-10-06 Lam Research Corporation Method and system to separate and recycle divergent chemistries
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7392815B2 (en) * 2003-03-31 2008-07-01 Lam Research Corporation Chamber for wafer cleaning and method for making the same
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7267723B2 (en) * 2003-05-13 2007-09-11 Dainippon Screen Mfg. Co., Ltd. Treating solution supply nozzle, a substrate treating apparatus having this nozzle, and a method of manufacturing a treating solution supply nozzle
US6860944B2 (en) * 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
CN101303536B (en) * 2003-08-29 2011-02-09 株式会社尼康 Exposure apparatus and device producing method
US7223308B2 (en) * 2003-10-06 2007-05-29 Applied Materials, Inc. Apparatus to improve wafer temperature uniformity for face-up wet processing
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US7582181B2 (en) * 2004-09-30 2009-09-01 Tokyo Electron Limited Method and system for controlling a velocity field of a supercritical fluid in a processing system
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
WO2006107549A1 (en) 2005-04-01 2006-10-12 Fsi International, Inc. Compact duct system incorporating moveable and nestable baffles for use in tools used to process microelectronic workpieces with one or more treatment fluids
US20080271991A1 (en) * 2005-04-15 2008-11-06 Advanced Technology Materials , Inc. Apparatus and Method for Supercritical Fluid Removal or Deposition Processes
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
JP4493034B2 (en) * 2005-11-21 2010-06-30 東京エレクトロン株式会社 Coating film forming method and apparatus
US20070254098A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Apparatus for single-substrate processing with multiple chemicals and method of use
JP5051870B2 (en) * 2006-06-14 2012-10-17 東京エレクトロン株式会社 Light emitting element manufacturing apparatus and light emitting element manufacturing method
CN101484974B (en) 2006-07-07 2013-11-06 Fsi国际公司 Barrier structure, device and method to process microelectronic workpieces
TWI352628B (en) * 2006-07-21 2011-11-21 Akrion Technologies Inc Nozzle for use in the megasonic cleaning of substr
KR100862912B1 (en) * 2006-08-08 2008-10-13 무진전자 주식회사 Device for processing substrate
JP2008210980A (en) * 2007-02-26 2008-09-11 Toshiba Corp Method of forming pattern
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8047899B2 (en) * 2007-07-26 2011-11-01 Macronix International Co., Ltd. Pad and method for chemical mechanical polishing
CN101802975B (en) * 2007-08-07 2012-10-03 Fsi国际公司 Rinsing methodologies for barrier plate and venturi containment systems in tools used to process microelectronic workpieces with one or more treatment fluids, and related apparatuses
KR100874383B1 (en) 2007-10-16 2008-12-18 주식회사 실트론 Apparatus and method of recycling poly silicon
WO2009137032A2 (en) 2008-05-09 2009-11-12 Fsi International, Inc. Tools and methods for processing microelectronic workpieces using process chamber designs that easily transition between open and closed modes of operation
JP5189114B2 (en) * 2010-01-29 2013-04-24 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US8828182B2 (en) 2010-02-12 2014-09-09 Applied Materials, Inc. Process chamber gas flow improvements
JP5254308B2 (en) * 2010-12-27 2013-08-07 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and recording medium storing program for executing liquid processing method
CN102738032B (en) * 2011-04-15 2014-12-31 无锡华瑛微电子技术有限公司 Semiconductor processing apparatus capable of correcting smoothness of working surface
CN102738033B (en) * 2011-04-15 2014-11-26 无锡华瑛微电子技术有限公司 Semiconductor processing apparatus provided with modified column structure
CN102737955B (en) * 2011-04-15 2015-04-15 无锡华瑛微电子技术有限公司 Semiconductor processing device
CN102903624B (en) * 2011-07-29 2015-12-16 无锡华瑛微电子技术有限公司 Temperature control semiconductor processing device
US8973524B2 (en) * 2012-11-27 2015-03-10 Intermolecular, Inc. Combinatorial spin deposition
KR20170134364A (en) 2015-04-01 2017-12-06 비코 프리시젼 서피스 프로세싱 엘엘씨 Apparatus and method for mixing fluids with degradation properties
CN106783669B (en) * 2015-11-25 2019-04-12 无锡华瑛微电子技术有限公司 Semiconductor processing device and method
JP6512307B2 (en) * 2015-12-24 2019-05-15 株式会社島津製作所 ICP mass spectrometer
KR101953349B1 (en) * 2016-02-22 2019-02-28 주식회사 엘지화학 Preparation apparatus for aerogel sheet
TWI726728B (en) * 2020-05-22 2021-05-01 辛耘企業股份有限公司 Wafer rinsing device
CN112563164B (en) * 2020-11-25 2022-07-12 鑫天虹(厦门)科技有限公司 Wafer pre-cleaning machine
US20230062572A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0360678A1 (en) * 1988-09-22 1990-03-28 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US5234499A (en) * 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4663197A (en) 1981-08-26 1987-05-05 Integrated Automation Limited Method and apparatus for coating a substrate
US4600471A (en) 1981-08-26 1986-07-15 Integrated Automation, Limited Method and apparatus for transport and processing of substrate with developing agent
US4521268A (en) 1981-08-26 1985-06-04 Edward Bok Apparatus for deposition of fluid and gaseous media on substrates
NL8103979A (en) 1981-08-26 1983-03-16 Bok Edward METHOD AND APPARATUS FOR APPLYING A FILM LIQUID MEDIUM TO A SUBSTRATE
NL8203318A (en) 1982-08-24 1984-03-16 Integrated Automation DEVICE FOR PROCESSING SUBSTRATES.
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
NL8401776A (en) 1984-06-04 1986-01-02 Bok Edward IMPROVED DOUBLE-FLOATING WAFER TRANSPORT / PROCESSING INSTALLATION.
US4805023A (en) 1985-10-15 1989-02-14 Texas Instruments Incorporated Programmable CCD imager defect compensator
US4924890A (en) 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
JPS63136528A (en) 1986-11-27 1988-06-08 Mitsubishi Electric Corp Applicator for treatment liquid
US5095927A (en) 1987-04-27 1992-03-17 Semitool, Inc. Semiconductor processor gas-liquid separation
DE3815018A1 (en) 1987-05-06 1988-12-01 Dan Science Co CARRIER CLEANING AND DRYING DEVICE
US4996160A (en) 1987-06-09 1991-02-26 The Dow Chemical Company Method and apparatus for quantitative measurement of ionic and organic contaminants remaining on cleaned surfaces
DE3844648C2 (en) 1987-06-23 1992-02-20 Taiyo Sanso Co. Ltd., Osaka, Jp
JPH073634Y2 (en) 1987-12-28 1995-01-30 株式会社トムコ Wafer liquid washing and drying equipment
JP2660248B2 (en) 1988-01-06 1997-10-08 株式会社 半導体エネルギー研究所 Film formation method using light
KR970011658B1 (en) 1988-02-29 1997-07-12 도오교오 에레구토론 사가미 가부시끼가이샤 Wafer support device
US5230743A (en) 1988-05-25 1993-07-27 Semitool, Inc. Method for single wafer processing in which a semiconductor wafer is contacted with a fluid
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
KR910006087B1 (en) 1988-06-29 1991-08-12 삼성반도체통신 주식회사 Cleaning machine of semiconductor wafers
JPH07101679B2 (en) 1988-11-01 1995-11-01 三菱電機株式会社 Wafer for electronic device, rod-shaped substrate for wafer, and electronic device
US5129955A (en) 1989-01-11 1992-07-14 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method
US4962049A (en) 1989-04-13 1990-10-09 Applied Materials, Inc. Process for the plasma treatment of the backside of a semiconductor wafer
US5158100A (en) 1989-05-06 1992-10-27 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefor
JPH02146428U (en) 1989-05-15 1990-12-12
JPH0628223Y2 (en) 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5000795A (en) 1989-06-16 1991-03-19 At&T Bell Laboratories Semiconductor wafer cleaning method and apparatus
US5009240A (en) 1989-07-07 1991-04-23 United States Of America Wafer cleaning method
JPH03130368A (en) 1989-09-22 1991-06-04 Applied Materials Inc Cleaning of semiconductor wafer process device
JP2529431B2 (en) 1990-02-09 1996-08-28 大陽酸素株式会社 Cleaning equipment
US5232511A (en) 1990-05-15 1993-08-03 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous mixed acid vapors
US5078801A (en) 1990-08-14 1992-01-07 Intel Corporation Post-polish cleaning of oxidized substrates by reverse colloidation
JPH0793270B2 (en) 1991-02-15 1995-10-09 株式会社半導体プロセス研究所 Semiconductor manufacturing apparatus and method of using the same
US5144711A (en) 1991-03-25 1992-09-08 Westech Systems, Inc. Cleaning brush for semiconductor wafer
JP2920850B2 (en) 1991-03-25 1999-07-19 東京エレクトロン株式会社 Semiconductor surface treatment method and apparatus
US5148832A (en) 1991-10-28 1992-09-22 Lin Fu Tung Faucet having resiliently-packed rotatable spout
US5240552A (en) * 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
JP3191392B2 (en) 1992-04-07 2001-07-23 神鋼電機株式会社 Sealed container for clean room
US5294259A (en) 1992-05-18 1994-03-15 International Business Machines Corporation Fluid treatment device
US5224503A (en) 1992-06-15 1993-07-06 Semitool, Inc. Centrifugal wafer carrier cleaning apparatus
US5275690A (en) 1992-06-17 1994-01-04 Santa Barbara Research Center Method and apparatus for wet chemical processing of semiconductor wafers and other objects
US5232563A (en) 1992-07-27 1993-08-03 Motorola, Inc. Method of cleaning a semiconductor wafer
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
JP2839801B2 (en) * 1992-09-18 1998-12-16 三菱マテリアル株式会社 Wafer manufacturing method
US5338390A (en) 1992-12-04 1994-08-16 International Business Machines Corporation Contactless real-time in-situ monitoring of a chemical etching process
US5336371A (en) 1993-03-18 1994-08-09 At&T Bell Laboratories Semiconductor wafer cleaning and rinsing techniques using re-ionized water and tank overflow
US5489341A (en) 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
US5534106A (en) * 1994-07-26 1996-07-09 Kabushiki Kaisha Toshiba Apparatus for processing semiconductor wafers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0360678A1 (en) * 1988-09-22 1990-03-28 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US5259407A (en) * 1990-06-15 1993-11-09 Matrix Inc. Surface treatment method and apparatus for a semiconductor wafer
US5234499A (en) * 1990-06-26 1993-08-10 Dainippon Screen Mgf. Co., Ltd. Spin coating apparatus

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999064855A1 (en) * 1998-06-08 1999-12-16 Memc Electronic Materials, Inc. Process for monitoring the concentration of metallic impurities in a wafer cleaning solution
WO2000042637A1 (en) * 1999-01-18 2000-07-20 Kunze Concewitz Horst Method and device for treating tabular substrates, especially silicon wafers for producing microelectronic elements
EP1056122A2 (en) * 1999-05-25 2000-11-29 Ebara Corporation Substrate treating apparatus and method of operating the same
EP1056122A3 (en) * 1999-05-25 2001-05-30 Ebara Corporation Substrate treating apparatus and method of operating the same
US6321463B1 (en) 1999-05-25 2001-11-27 Ebara Corporation Substrate treating apparatus and method of operating the same
EP1077472A3 (en) * 1999-08-19 2006-01-18 Tokyo Seimitsu Co.,Ltd. Etching apparatus
EP1077472A2 (en) * 1999-08-19 2001-02-21 Tokyo Seimitsu Co.,Ltd. Etching apparatus
WO2004114375A1 (en) 2003-06-13 2004-12-29 Sophia Wen Method and apparatus for thin-layer chemical processing of semiconductor wafers
US7938906B2 (en) 2003-06-13 2011-05-10 Wuxi Huayingmicro, Ltd. Method and apparatus for dynamic thin-layer chemical processing of semiconductor wafers
US8056257B2 (en) * 2006-11-21 2011-11-15 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
CN102903604A (en) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 Opening type semiconductor processing device
CN102903605A (en) * 2011-07-29 2013-01-30 无锡华瑛微电子技术有限公司 Semiconductor processing device and control method
US20150079802A1 (en) * 2011-07-29 2015-03-19 Wuxi Huaying Microelectronics Technology Co., Ltd. Adjustable Semiconductor Processing Device And Control Method Thereof
US10283389B2 (en) 2011-07-29 2019-05-07 Wuxi Huaying Microelectronics Technology Co., Ltd Adjustable semiconductor processing device and control method thereof

Also Published As

Publication number Publication date
JP2000501231A (en) 2000-02-02
TW365550B (en) 1999-08-01
US6239038B1 (en) 2001-05-29
AU7262596A (en) 1997-04-30

Similar Documents

Publication Publication Date Title
US6239038B1 (en) Method for chemical processing semiconductor wafers
US7399713B2 (en) Selective treatment of microelectric workpiece surfaces
US7432177B2 (en) Post-ion implant cleaning for silicon on insulator substrate preparation
US6212789B1 (en) Semiconductor device manufacturing system
US7360546B2 (en) Cleaning apparatus for semiconductor wafer
US20040261817A1 (en) Foreign matter removing apparatus, substrate treating apparatus, and substrate treating method
US20030084918A1 (en) Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
WO1997014178B1 (en) Method and apparatus for chemical processing semiconductor wafers
US20020144973A1 (en) Selective treatment of the surface of a microelectronic workpiece
US6164133A (en) Method and apparatus for pre-processing of semiconductor substrate surface analysis
US20050027387A1 (en) Substrate processing apparatus and substrate processing method
WO1999046064A1 (en) Selective treatment of the surface of a microelectronic workpiece
US8146447B2 (en) Contamination analysis unit and method thereof, and reticle cleaning system
US20070272657A1 (en) Apparatus and method for single substrate processing
JPH05121388A (en) Method and apparatus for cleaning semiconductor device
JPH09162156A (en) Treating method and treating system
JP2965876B2 (en) Substrate processing apparatus and processing tank used therein
WO2002029857A1 (en) Method of cleaning electronic device
WO2003041149A1 (en) Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
JP2000308859A (en) Treating device and treating method
JPH08181137A (en) Oxide film, method of forming that and semiconductor device
JPH11300296A (en) Method and device for cleaning treatment
KR100422911B1 (en) Spin type wet cleaning device
JPH0878387A (en) Manufacture of semiconductor device
Wolke et al. Application of moist ozone gas phase for removal of resist and organic contamination in a novel tank type processor

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AU CA CN IL JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref country code: JP

Ref document number: 1997 515144

Kind code of ref document: A

Format of ref document f/p: F

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: CA