WO1998002910A1 - Automated semiconductor processing systems - Google Patents

Automated semiconductor processing systems Download PDF

Info

Publication number
WO1998002910A1
WO1998002910A1 PCT/US1997/012331 US9712331W WO9802910A1 WO 1998002910 A1 WO1998002910 A1 WO 1998002910A1 US 9712331 W US9712331 W US 9712331W WO 9802910 A1 WO9802910 A1 WO 9802910A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor
articles
article
carriage
carrousel
Prior art date
Application number
PCT/US1997/012331
Other languages
French (fr)
Inventor
Jeffry A. Davis
Gary L. Curtis
Original Assignee
Semitool, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/680,463 external-priority patent/US5664337A/en
Application filed by Semitool, Inc. filed Critical Semitool, Inc.
Priority to JP10506255A priority Critical patent/JP2000514956A/en
Publication of WO1998002910A1 publication Critical patent/WO1998002910A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Definitions

  • This invention relates to automated semiconductor wafer processing systems for performing liquid and gaseous processing of wafers. Such systems can be used to process semiconductor wafers, data disks, semiconductor substrates and similar articles requiring very low contaminant levels.
  • various manufacturing steps involve the application of processing liquids and gases to the articles being processed.
  • the application and removal of these processing fluids to and from the exposed surfaces of the wafers are enhanced by movement of the wafers within the processing chamber.
  • Processing is also enhanced by centrifugal action of the semiconductor wafers which improves movement of fluids across the wafer surfaces, such as when liquids are sprayed upon the wafer and then move across the wafer surfaces due to centrifugal forces acting upon the liquids as the wafers spin.
  • centrifugal processor In one type of prior art centrifugal processor, several wafer carriers are put in holders or carriers in a spaced substantially circular array around the axis of rotation. The rotor with loaded carriers of wafers is then rotated within a processing chamber which is typically enclosed within a processing bowl or vessel. In the center of the vessel and at other peripheral locations are fluid manifolds with spray nozzles or similar inlets that are connected to a source of deionized water, heated nitrogen, or other processing chemicals both liquids and gases. These or other processing fluids are thus applied to the wafers to effect washing, drying or other processing.
  • Stationary retainer bars are typically provided adjacent the open top side of the wafer carrier to prevent the wafers from being displaced if the rotor should stop in an upside-down position.
  • the rotors are also typically controlled to stop in a right-side-up position.
  • This type of spin rinser dryer is normally termed an axial or on-axis spin rinser dryer.
  • semiconductor processing machines of similar configuration are also used for centrifugal chemical etching or other chemical processing.
  • the required chemicals are pressurized or pumped to the processing chamber and valves control the supply of such chemicals into the chamber.
  • the chemical processing can be following by associated rinsing and drying operations.
  • processing chemicals adds to the complexity of the processing because highly reactive chemicals may impinge upon the wafer surfaces at different angles, fluid velocities, with differing flow rates, and with other dynamically varying effects. This variability can cause different etch rates or other variations in chemical processing which is difficult to overcome.
  • Process uniformity within a batch and repeatability from batch to batch have been major considerations in semiconductor processing, and in particular centrifugal semiconductor processing.
  • the issue is particularly of interest in the case of batch centrifugal processing because the wafers are held in closely spaced arrays using wafer carriers.
  • the structural parts of the carriers necessarily restrict access of fluids to the wafer surfaces. This has almost invariably led to different processing results for wafers in different positions within a carrier, even though processing has occurred in the same batch.
  • carriers have been designed to reduce their effects on processing fluid distribution within the processing chamber, it has been impossible to eliminate their effects on uniformity and repeatability of processing results.
  • a further area of significance in the processing of semiconductor articles includes the handling and coordination of wafer carriers commonly used to support semiconductor wafers in various stages of processing and translocation between processes. Wafer carriers are often susceptible to picking up undesirable contaminants. Carriers which have been contaminated can in some processing schemes be used to carry more than one batch of wafers. This increases the potential for spreading contamination amongst multiple wafers and batches.
  • Fig. 1 is a perspective view showing a preferred semiconductor processing system according to the present invention.
  • Fig. 2 is a perspective view similar to Fig. 1 showing the preferred semiconductor processing system with portions broken away to better illustrate some of the principal components thereof.
  • Fig. 3 is a front elevational view of the processing system of Fig. 1 .
  • Fig. 4 is a partial side elevational view of portions of the interface section of the processing system of Fig. 1.
  • Fig. 5 is a perspective view showing selected components of the processing system of Fig. 1.
  • Fig. 6 is a plan view showing selected components of the processing system of Fig. 1.
  • Fig. 7 is a perspective view showing a preferred carrousel subassembly forming a part of the processing system of Fig. 1 .
  • Fig. 8 is a perspective view showing a preferred article transfer subassembly forming a part of the processing system of Fig. 1 .
  • Figs. 9-21 are a series of views illustrating how the processing system of Fig. 1 transfers semiconductor wafers onto the carrousel in preparation for processing in the associated processing stations.
  • Fig. 22 is a perspective view of a transfer implement which is utilized in the system of Fig. 1.
  • Fig. 23 is a perspective view of a preferred centrifugal processor rotor utilized in the system of Fig. 1.
  • Fig. 24 is a fragmentary, perspective view of the centrifugal processor rotor of Fig. 23, with the some portions removed to better show underlying structures.
  • Fig. 25 is a fragmentary, perspective view of the centrifugal processor rotor shown in Fig. 23, at a processing step subsequent to that shown in Fig. 24. Some portions are removed to show the underlying structures.
  • Fig. 26 is a fragmentary, perspective view of the centrifugal processor rotor shown in Fig. 23, at a processing step subsequent to that shown in Fig. 25. Some portions are removed to show the underlying structures.
  • Fig. 27 is a fragmentary, perspective view of the centrifugal processor rotor shown in Fig. 23, at a processing step subsequent to that shown in Fig. 26. Some portions are removed to show the underlying structures.
  • Fig. 28 is a side elevational view showing a further embodiment of the invention having a rotor and transfer implement mounted upon a robotic arm assembly.
  • Fig. 29 is a front elevational view of the rotor shown in Fig. 28.
  • Fig. 30 is a front elevational view similar to Fig. 29 with a transfer implement positioned in front of the rotor.
  • Fig. 31 is a perspective view showing portions of the rotor and transfer implement shown in Fig. 28.
  • Fig. 32 is a control system schematic block diagram of a preferred control system used in the processing system of Fig. 1.
  • Figs. 1 and 2 generally show a preferred processing system 1 1 constructed in accordance with the novel aspects of the inventions.
  • the processing system includes a frame 13 which is connected with a housing 12.
  • the housing 12 and frame 13 rests upon a supporting surface (not shown) .
  • the housing is most preferably constructed to form an enclosure which is substantially or fully encloses the machine and defines a working space 18 within which the wafers 80 or other semiconductor articles are moved and processed in relative protection from dust and contamination.
  • Fig. 1 does not show the full enclosure of housing 12 to improve the illustration. Specifically, the top or roof has been removed for purposes of illustration.
  • the roof can advantageously be provided with a series of ultrafine filters (not shown) through which air, nitrogen or other work space gas is supplied to working space 18.
  • Fig. 1 shows that the processing system 11 includes an interface section
  • the interface section also includes mechanisms for transferring wafers from wafer carriers 79 and for inventorying both the wafers and carriers upon a carrousel 720. Preferred forms of these mechanisms will be described in detail below after further introduction of some additional basic features of the processing system. Processing Stations Generally
  • Processing system 11 also includes a processing section 8.
  • the processing section includes one or more individual processing stations 19 which can be of various constructions. Centrifugal or immersion type stations can be used.
  • the processing stations 19 are each centrifugal processing stations which include a processing vessel 201 which partially encloses a processing chamber defined therewithin.
  • the processing vessels also preferably mate with a movable door 202 which can be moved between the closed positions generally shown and the retracted position shown at one station in Fig. 2.
  • the processing stations 19 are mounted within processing station console 203 which have associated supporting fluid supplies for providing processing liquids and gases as needed for the particular processes being carried out at that station.
  • Stations 19 can all be the same, each be different, or there can be more than one of a particular type coupled with one or more other associated stations within the same processing system.
  • the semiconductor articles are processed in batches.
  • the wafers within a batch are arranged in a linear batch array in which the individual wafers or other articles are spaced, substantially parallel and aligned with central normal axes of the disk-shaped wafers aligned to form a longitudinal central batch axis (axis not illustrated).
  • the size of the wafers can vary.
  • the number of wafers can also vary, but at this time typically will include 25 or 50 wafers because industry standard wafer carriers 79 have such capacities.
  • Figs. 1 and 2 further show a robotic conveyor, which is generally indicated by the numeral 15.
  • Robotic conveyor 15 includes a mounting conveyor beam or rail 7 upon which a movable conveyor robot subassembly 5 is mounted and moves relative to the rail.
  • the conveyor 15 conveys the semiconductor wafers or other articles 80 within the processing system, specifically between, to and from, the inventory carrousel 720 and the processing stations 19.
  • the robotic device can be of various designs.
  • One design is that available from Semitool, Inc. of Kalispell, Montana as part of processing systems sold under the trademark MAGNUM. Further detailed description of suitable conveyor devices and other aspects of the processing system can also be implemented in a manner shown in described in the pending U .S. Patent Applications Serial No. 08/236,424 filed April 28, 1994; Serial No. 08/415,927 filed March 31 , 1995; Serial No. 08/415,240 filed April 3, 1995 which are hereby incorporated by reference in their entirety. Such forms of apparatus are also described in corresponding PCT Applications which were published by the World Intellectual Property Organization under PCT Publication Nos. WO 95/30238; WO 95/30240; WO 30239; all of which are incorporated by reference .
  • the construction includes an articulated arm 16.
  • Fig. 5 better illustrates that the preferred articulated arm includes an upper arm portion 741 , lower arm portion 742, and hand portion 743.
  • Articulated arm 16 uses hand 743 and an attached engagement head which can be oriented into various planes of orientation and various positions.
  • the conveyor robot has a distal end 17 which is used to mount an engagement implement which is preferably of the construction detailed below or equivalents thereto.
  • the distal end 17 may move along assorted courses of travel to deliver the semiconductor articles to various individual or plural work stations 19. Each of these various courses of travel will be discussed in greater detail, hereinafter. While the present invention is described as being useful in combination with a washing or chemical processing stations, it will be appreciated that the same device may find utility in other applications.
  • Figs. 1 and 2 also show that processing system 11 preferably includes an input-output or interface section 14.
  • the current invention in-part focuses on the novel construction used for interface section 14.
  • Interface section 14 is constructed using the processor framework 13 and enclosure wall structure 12.
  • the interface section has a front end wall 701 which is advantageously arranged along a hall or gallery within a clean room.
  • Front wall 701 includes an interface opening 702.
  • Interface opening 702 is provided with an interface door 703 which is preferably at least partially transparent to allow observation by a human operator.
  • Door 703 is preferably operated by a suitable power door operator 709 which can be a linear screw drive or many other suitable mechanisms.
  • the front wall 701 is also preferably provided with an operator control module or station 704 which is accessible from the clean room end of the system and can be of various constructions.
  • operator module 704 includes a touch screen display and control panel 705.
  • disk drive 706 for providing control programming information, and other manually depressible control buttons (such as emergency stop) not specifically shown, but generally referred to as 707.
  • Interface section 14 also preferably includes a carrousel support framework
  • Carrousel support framework 710 which is mounted in an elevated position within the interface section enclosure.
  • Carrousel support framework 710 includes a central frame opening
  • Fig. 5 shows portions of the carrousel inventory mechanism used to support a plurality of wafers 80 or other semiconductor articles being processed.
  • Carrousel assembly 720 includes a carrousel mounting plate 721 which is secured within opening 711 of the carrousel support framework 710 using fasteners 729 (Fig. 6).
  • Support plate 721 is connected to and carries a carrousel main housing 722 which is detachable for maintenance and other purposes.
  • Carrousel main housing 722 has internal features which support and mount a carrousel drive motor 747 (shown in phantom in Fig. 7).
  • the output of the carrousel drive motor is in the form of a carrousel rotor shaft 723.
  • the lower end of shaft 723 has a suitable angular position encoder 745 coupled at its lower end by coupling 746.
  • An encoder support bracket 744 is attached to frame 13 or other suitable supporting structure to stabilize portions of the encoder against rotation with shaft 723.
  • the carrousel assembly further includes a plurality of carrousel support arms 725 which extend outwardly and are arranged to provide four cantilevered beam portions which can be advantageously used to support wafers 80 and wafer carriers 79.
  • the carrousel support arms 725 connect in an overlapping square-shaped array to form a central square 726 which is overlaid with a carrousel central support panel 727.
  • Each carrousel support arm 725 is preferably constructed so as to receive one or more support brackets 728.
  • Support brackets 728 can be mounted in any suitable fashion. As shown, support brackets 728 rest over arms 725 and are secured thereto by fasteners (not shown).
  • Each support bracket 728 includes an upper or first rest or support 730, and a second or lower rest or support 731.
  • the upper rest 730 is preferably provided with a series of grooves or notches 732 (see Fig. 6) along opposing inner, upper surfaces. Grooves 732 serve as supporting receivers into which are received individual wafers 80.
  • the lower, second supports 731 are used for receiving and supporting wafer carriers 79. As shown, the lower supports 731 are constructed so as to form a semiconductor article carrier support.
  • Article carrier support 731 is advantageously provided with constructional surface details (not shown) which serve to help retain the wafer carriers 79 against unintended movement after being placed upon supports 731. This maintains the carriers in position when the carrousel rotor rotates to a desired angular position.
  • the specific features used will vary in conformance with the particular carrier design used.
  • the interface section also preferably includes a mid-level deck 750 which extends and portions which extend beneath such deck.
  • Deck 750 is preferably perforated using perforations or apertures (not shown) which allow clean air or other work space gas to pass downwardly from upper air supply and filtration units (not shown) which provide filtered air into upper reaches of the processing system enclosure. This arrangement tends to take any generated particles or contaminants downwardly in the stream of filtered air or other working space gas.
  • the preferred carrousel construction shown in Figs. 5-7 illustrates a system designed to accommodate approximately four hundred (400) wafers. Such wafers are typically supplied in wafer carriers 79 which have the capacity of twenty five (25) wafers each. Carrousel 720 thus is capable of supporting both the wafers and sixteen ( 16) associated wafer carriers in inventory positions upon the carrousel.
  • the carrousel construction and arrangement shown allows the inventoried wafers and carriers to be properly accessed at four different angular positions of the carrousel. Access can occur using either a wafer transfer apparatus 800 or robotic conveyor 15. This arrangement also allows the robotic conveyor to access one arm of the carrousel while another arm of the carrousel is being loaded or unloaded using the transfer subsystem 800.
  • the semiconductor article transfer mechanism 800 is shown in greater detail in Fig. 8.
  • Mechanism 800 is advantageously supported by a subframe 802 which either forms part of machine framework 13 or is otherwise appropriately supported within the enclosure 12.
  • Subframe 802 can be of various constructions.
  • Fig. 8 shows that subframe 802 includes a lateral stage guide rail 803 which mounts a laterally moveable transfer main subassembly 810. Lateral motion is provided to horizontally move the main subassembly 810 back and forth using a suitable later stage drive.
  • the lateral stage drive includes a lateral stage drive motor 804 which drives an associated screw actuator or other suitable drive assembly which moves the main subassembly 810 horizontally back and forth along support rail 803.
  • the Lateral stage drive operates directly upon the supporting frame guide 803 and a lateral stage follow 812 which forms a part of main subassembly 810.
  • a variety of lateral stage guide and drive constructions are suitable for use in this invention.
  • the article transfer main subassembly 810 also includes a main part 811.
  • Main part 811 is mounted for elevation change such as by mounting for vertical motion relative to the lateral stage follower 812.
  • the connection between lateral stage follower 812 and main piece 81 1 is actuated by a first elevator actuator 823 which is mounted within main part 811.
  • the lateral stage 812 and main part 811 together form a transfer first carriage which is mounted to the frame for movement relative thereto. As shown, the first carriage is mounted for both horizontal and vertical motion.
  • the first carriage preferably includes at least one feature for supporting at least one article carrier 79 on the first carriage .
  • the carrier support features can be constructed according to a variety of alternative designs; however, a preferred construction will be detailed next.
  • the article transfer mechanism 800 further includes two upper decks 831 and 832 which form a part of the first carriage and are connected to the main part 811. As shown, first deck 831 is connected to the main part in a fixed relationship, although a moveable mounting is alternatively possible.
  • First deck 831 has two wafer carrier receptacles 833 formed therein. Receptacles 833 are shaped and sized so as to support bottom edge surfaces of wafer carriers 79. Receptacles 833 also each have an open portion or receptacle opening within the receptacle which is open through deck 831. These receptacle openings allows for the free passage of article lift heads 821 up through the receptacle and deck. The lift heads also pass up through an aperture formed in the bottom of carriers 79 in order to lift wafers 80 from the wafer carriers 79.
  • the carrier support on the first carriage also includes a second or upper deck 832.
  • Second deck 832 also has receptacles 833 for receiving wafer carriers 79 and supporting the carriers thereon.
  • Receptacles 833 in the second deck also have openings which allow the wafer lift heads 821 to extend therethrough when elevated as explained below.
  • the lift heads 821 associated with the first deck can be considered a first set of lift heads, and those associated with the second deck can be considered a second set of lift heads.
  • a plurality of lift heads is shown and preferred, it is alternatively possible to use a single lift head and a single deck, with resulting reduced capacity of the transfer mechanism.
  • First and second decks 831 and 832 are advantageously provided with a suitable number of carrier positioners 846 which facilitate easy placement of the carriers 79 into the receptacles 833.
  • Carrier detectors 847 are also advantageously included at receptacles 833 to allow detection of the carriers when placed in a proper position within the receptacles.
  • the first and second deck pieces 831 and 832 are advantageously constructed, mounted and arranged so as to facilitate their loading with wafer carriers and wafers held in the carriers. This loading is intended to occur through the interface opening 702.
  • the loading is advantageously done by bringing both decks into close proximity to the opening so that either a robotic or human operator can set the carriers loaded with wafers into receptacles 833 through opening 702.
  • the construction shown includes a first deck 831 and second deck 832 which are both capable of being placed adjacent opening 702. Deck 831 is in closest proximity without special modification or movement beyond that provided by the lateral stage in properly positioning the subassembly 810 toward the opening 702. This is illustrated in Fig. 9. As Fig. 9. As Fig.
  • Fig. 9 further shows, the second deck 832 is slidably connected to the first deck 831 or other parts of the main part 811.
  • Fig. 8 shows a preferred construction for accomplishing this which uses a guide rail 840.
  • Guide rail 840 slidably connects the two decks and allows linear motion in the direction substantially defined by the longitudinal axis of guide rail 840.
  • Second deck 832 is moved relative to first deck 831 using an upper deck actuating driver or motor 842.
  • the actuator advantageously includes a linear drive, such as a helical screw and ball bearing follower which slides the upper deck relative to the lower deck to assume positions as is illustrated in more complete detail in Figs. 9-12. The position shown in Fig.
  • the transfer subassembly 810 also includes at least one second carriage .
  • the second carriage includes the wafer lift heads 821 described above .
  • the lift heads serve as supports for wafers or other semiconductor articles being transferred.
  • the lift heads are supported upon upstanding lift head extension rods 820.
  • the lift heads and portions of the lifting rods extend through the openings in the receptacles 833, such as shown in Fig. 14.
  • One of the second carriages include the first set of lift heads which extends through the first deck 831.
  • the other second carriage includes the second set of lift heads which extend through the second deck 832.
  • the second carriages are preferably operated in an independent manner using the construction which will now be described.
  • the second carriages also include transverse second carriage members 813.
  • the transverse second carriage members 813 form a connecting bar which supports the lift rods 820 near the ends of each connecting bar.
  • the connecting bars, lift rods and lift heads move upwardly and downwardly as the parts of the second carriage assemblies.
  • These second carriage assemblies are move by second carriage assembly operators.
  • these operators include a suitable linear drive mechanism, such as a helical screw drive.
  • the drive shown in Fig. 8 includes a drive motor 814 which drives a screw member 841.
  • a screw drive follower 842 is nonrotatably supported within a guide channel 843 formed in the side of the main part 811.
  • the transverse members 813 are connected to the drive followers 842 by fasteners 844. This construction provides vertically moveable second carriage assemblies which each move independently relative to the main piece 811 using second carriage elevator motors 814.
  • wafer lift heads 821 are preferably provided with a series of wafer or other semiconductor article receiving grooves or other similar receiving features 822 which allow an array of wafers or other articles to be held therein. Transfer of Wafers Between Carriers and Carrousel
  • Figs. 9-21 illustrate the preferred operation and methods according to the invention.
  • the methods described in this section include loading the processor and those steps involved in transferring wafers 80 from carriers 79 to the carrousel array held by carrousel 720.
  • Fig. 9 shows an initial stage of the methods wherein the wafer transfer has been controlled by positioning the upper deck 832 of the transfer first carriage toward the opening 702 (not shown in Fig. 9 see Fig. 1).
  • the illustrated carriers 79 and supported wafers 80 are awaiting loading onto the upper deck 832.
  • the carriers are then manipulated manually or by machine to perform loading of the carrier or carriers through the opening 702 and onto the upper deck.
  • the loading is preferably performed so as to provide positioning of the carriers onto the deck and into the carrier support receptacles 833, or other features used to properly position the carriers upon the transfer first carriage.
  • operation preferably proceeds by retracting or otherwise moving the upper deck into the position shown in Fig. 12.
  • This retracting step allows access to the carrier receptacles 833 formed on the lower deck 831.
  • This causes a presenting of the second set of carrier receptacles in preparation for loading of carriers thereon in the same manner as just described above .
  • Fig. 13 shows the second set of carriers loaded onto the lower deck 831. With this action the transfer mechanism is fully loaded with wafer carriers having wafers contained therein.
  • Fig. 14 illustrates the step of separating the wafer 80 or other semiconductor articles from the carriers 79.
  • the separating of the articles from the carriers can be effected by raising or elevating the lifting heads 822.
  • the raising or extending step is preferably powered using the second carriage operators 814 which lift the heads relative to the first carriage of the transfer mechanism.
  • Fig. 15 shows a further stage of the transfer process wherein the two carrier-loads over the upper deck 832 are moved to effect a positioning of the wafers over the wafer supports provided on the carrousel.
  • the carrousel is adjusted as needed by moving the carrousel angularly into the aligned pre-loading position shown in that Fig.
  • the step of translating the lateral stage of the transfer mechanism toward the open wafer support brackets 728 receptacles or receivers is performed.
  • the first set of wafers is first positioned over the wafer supports on brackets 728 at the desired positions.
  • Fig. 16 then shows the upper deck lifting heads retracted downwardly after a retracting step has been performed upon the upper deck second carriage.
  • This retracting step causes a downward lowering and transferring of the wafers from the receiving grooves 822 in the lifting heads 821 to the receiving grooves 732 formed in the carrousel wafer supports 730.
  • Fig. 17 shows that the wafer lifted from the lower deck 831 are similarly transferred to the carrousel wafer supports. It should be noted that more efficient use of space is accomplished by placing the second set of wafers into closer proximity with the first set of wafers, than is otherwise allowed due to the size and geometry of the wafer carriers. This is indicated by elimination of the medial gap 850 (Fig. 16) as indicated in Fig. 17.
  • the result is to form two parallel carrousel batch arrays each having fifty (50) or other suitable number of wafers, starting with twenty five (25) from each wafer carrier. Although this configuration is preferred it is alternatively possible to use less or more numbers of carriers to form a single carrousel batch array.
  • Fig. 18 shows the wafer transfer subassembly fully retracted away from the carrousel and prepared to accept another group of four (4) loaded wafer carriers to load another arm of the carrousel.
  • the carrousel Prior to undertaking such loading and transferring, the carrousel is affected by moving the carrousel angularly as illustrated in Fig. 19. This rotating of the carrousel also performs an aligning or positioning step so that the robotic wafer conveyer 15 can interact with the carrousel batch arrays.
  • Fig. 20 shows the robotic conveyor 15 after positioning the conveyor into a carrousel engagement position.
  • the wafer engagement implement 140 is extended under the wafers held on the carrousel.
  • the conveyor then performs a lifting step which separates the wafers from their supported positions on brackets 728.
  • the conveyor then performs a series of conveying movements, such as illustrated in Fig. 21.
  • the moving or conveying step is performed to relocate the wafers into position for loading into the desired processing station 19. More specific explanation about the loading (installing) and unloading of the wafers into the processing stations 19 will be given below after first considering the preferred construction of the engagement implements and corresponding rotors which can advantageously be employed in the invention.
  • a first embodiment of preferred centrifugal processor rotor used in the present invention is generally indicated by the numeral 10 in Fig. 23.
  • the centrifugal processor rotor forms part of the larger machine or processing system 11 described above.
  • Figs. 24-27 show a first preferred embodiment of rotor 10 and article transfer implement 140 in different positions in order to illustrate the various features of each and their cooperation to perform the novel operational methods described herein.
  • Fig. 22 shows the transfer implement 140 alone .
  • Fig. 23 shows the rotor alone .
  • the centrifugal processor rotor 10 includes a rotor frame 20.
  • the rotor frame has a front portion or plate 21 which is defined by a peripheral edge 22.
  • the front portion 21 further defines a substantially centrally disposed opening or aperture 23, and a pair of mounting apertures 24.
  • the front portion or plate 21 has a forwardly facing surface 25, and an opposite rearward facing surface 26.
  • the rear portion 30 has a peripheral edge 31 , and further defines a major aperture 32, and a minor aperture 33.
  • the minor aperture is disposed in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20.
  • the rear portion further defines a pair of mounting apertures 34.
  • the rear portion 30 has a main body 35 which is substantially planar, and circular in shape, and which has substantially the same diametrical dimensions as the front portion 21.
  • the main body 35 is further defined by an exterior facing surface 36, and an opposite , interior facing surface 37, respectively.
  • the individual front and rear portions 21 and 30, respectively, are held together in a suitable construction, such as the illustrated substantially coaxial and parallel spaced relation by means of rotor frame members 40 which are spaced about the rotor.
  • Each of the rotor frame members 40 have a first end 41 , which is fixed on the front portion 21 by utilizing conventional fastening methods, and an opposite, second or distal end 42, which is mounted on the rear portion 30 by using the same techniques.
  • the location of the first and second plates in the given orientation described above defines a processing cavity 43 therebetween.
  • a pair of laterally disposed support members, or combs 50 are borne by the rotor frame 20 and are positioned in the cavity 43.
  • the combs 50 include a first comb 51 , and an opposite, second comb 52 which are individually affixed on the interior facing surfaces 26 and 37 of the first and second portions 21, and 30 respectively.
  • the first and second combs extend substantially normally outwardly relative to the surfaces 26 and 37, as shown.
  • the first and second combs 51 and 52 are disposed to hold the wafers or other semiconductor articles being processed. This can advantageously be in the form of the illustrated substantially parallel, spaced configuration shown.
  • Each of the first and second combs has a frame portion 53, which is affixed on the front portion 21 , and the rear portion 30, by using conventional fastening techniques Further, each of the first and second combs has a comb portion 54 which is defined by an undulating peripheral edge 55.
  • the undulating peripheral edges 55 are positioned in inwardly facing relation, one to the other, and are operable to engage the semiconductor articles as will be discussed in further detail in the paragraphs which follow.
  • the peripheral edge may be provided in various materials or with various surface coatings which will protect the semiconductor articles which come into contact with same .
  • One preferred construction utilizes a tetrafluoroethylene polymer plastic material. Others materials and constructions are alternatively possible.
  • Fig. 23 further shows a pair of base combs, identified hereinafter as first and second base combs 61 and 62, respectively. These base combs are affixed by conventional fastening techniques on the front and rear portions 21 and 30 respectively.
  • the pair of base combs are shown disposed in parallel spaced relationship, and are generally aligned with the rotational axis of the rotor.
  • the first and second base combs in combination with the first and second laterally disposed combs 51 and 52, define an article receiving assembly or receiver 63 which is operable to hold, support or cradle the articles in desired processing positions.
  • the receiver is also preferably constructed to otherwise orient the semiconductor articles in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20.
  • the centrifugal processor rotor 10 includes a pair of retainer assemblies 80.
  • the retainer assemblies 80 will be identified hereinafter as a first retainer assembly 81 , and a second retainer assembly 82, respectively.
  • Each retainer assembly 80 includes a pair of end pieces 83.
  • the end pieces are identified as a first or forward end piece 84, and a second or rearward end piece 85.
  • the first end piece 84 has a main body 90 which has a first end 91 , and an opposite second end 92.
  • the main body is further defined by an interior facing surface 93, and an opposite, exterior facing surface 94.
  • the main body 90 also has a substantially linear portion 95, and a curved portion 96.
  • the main body 90 is substantially curvilinear in its overall shape.
  • a centrally disposed aperture 97 is formed in the linear portion 95.
  • an engagement member 100 extends normally outwardly relative to the exterior facing surface 94.
  • a biasing member or spring 102 is borne by the rotor frame 20.
  • the spring has a main body 103, with a first end 104 which is fixed by a conventional fastener on the rear surface 26 of the front portion 21; and a second end 105,which is fixed in a predetermined location on the linear portion 95 of the main body 90.
  • the operation of the biasing member or spring 102 will be discussed in greater detail hereinafter. As will be recognized, from a study of Figs.
  • the main body 90 is mounted for rotational movement about a front pin (not shown) and which is received in the individual mounting apertures 24.
  • the mounting pin is further in mating relationship and received in the aperture 97.
  • Fig. 25 illustrates that the second end piece 85, of the respective retainer assemblies 80, has a main body 110 which includes a first end 11 1 , and an opposite, second end 112.
  • the main body 110 further is defined by an interior facing surface 113; an opposite, exterior facing surface 114; a linear portion 115; and a curved portion 116 which is positioned at the first end 111 thereof.
  • a centrally disposed aperture 117 is formed in the linear portion 115.
  • a rear pin 120 is received in mating relation in the aperture 34. The rear pin 120 is also received in the central aperture 117 thereby rendering the main body 110 rotatable about the rear pin 120.
  • first longitudinally disposed member 121 Fastened on the first end 91 and 111 of the first and second end pieces 84 and 85 respectively, is a first longitudinally disposed member 121. Further, fixed on the second end 92 and 112 of the first and second end pieces 84 and 85, respectively is a second, longitudinally disposed member 122.
  • the first and second longitudinally disposed members 121, and 122 are suitably oriented, such as in the fixed substantially parallel spaced relationship shown. These members are also further oriented in substantially parallel relationship to the axis of rotation of the rotor frame 20.
  • the first longitudinally disposed member 121 includes an inside facing peripheral edge 123 which is coated with a material that does not harm or contaminate the semiconductor articles which are being processed.
  • the respective retainer assemblies 80 move along predetermined paths of travel 130 between a first, or open position 131 (Fig. 23), and a second, or closed position 132 (Fig. 26). As will be recognized by a study of Fig. 27, the respective retainer assemblies 80, when disposed in the second position 132, secure the individual semiconductor articles on the object receiving assembly 63 for centrifugal processing. Further, it should be understood that when the individual retainer assemblies 80 are positioned in the second position 132 (Fig.
  • the second longitudinally disposed members 122 are operable, under the influence of centrifugal force imparted to the respective longitudinally disposed members 122 by the rotation of the rotor frame 20, to exert radially inward forces on the semiconductor articles thereby securing them in substantially coaxial alignment relative to the rotor frame 20.
  • the centrifugal processor rotor 10 of the present invention works in combination with a transfer implement which is designated generally by the numeral 140 in Fig. 22.
  • the transfer implement 140 includes a face plate 141 which is releasably secured on the distal end 17 of the arm 16.
  • the face plate has a main body 142 which is defined by a left portion 143; a right portion 144; and bridging portions 145 which connect the left and right portions 143 and 144 together.
  • the face plate 141 includes an inside facing surface 150, and an outside facing surface 151. The outside facing surface is releasably secured in juxtaposed relation relative to the distal end 17 of the robotic arm 16.
  • a pair of apertures, 152 are individually formed in the face place 141.
  • the individual apertures have a first end 153; and an opposite, second end 154.
  • the respective apertures further have a vertically oriented portion 155, and a substantially horizontally oriented portion 156.
  • the individual apertures 152 are substantially curvilinear in shape.
  • the transfer implement 140 includes a pair of arms 160 which extend substantially normally, outwardly relative to the inside facing surface 150 of the main body 142.
  • each of the arms includes a first arm 161 , and a second arm 162 of substantially identical dimensions.
  • Each of the arms 161 and 162 has a generally upwardly oriented surface which has a number of repeating undulations or grooves 163 formed therein.
  • the upwardly facing surface may be coated or treated with a material which protects and does not substantially contaminate the semiconductor articles while being transported.
  • a gap 164 is defined between the first and second arms 161, and 162. It should be recognized that the gap 164 is larger than the gap 64 which is defined between the first and second base combs 61 and 62 respectively.
  • the transfer implement 140 is moveable along a given course of travel 170.
  • the course of travel comprises a first component 171, (Fig. 24); a second component 172 (Figs. 5 and 6); and a third component 173 (Fig. 27).
  • the first and third components 171, and 173, are substantially parallel to each other, and the second component 172 is substantially transversely disposed relative to the first and second components.
  • the transfer implement 140 while traveling along the first course of travel 171 , cooperates with the individual engagement members 100 at the end of the first course.
  • Continued movement of the transfer implement 140 along the second component 172 imparts force to the retainer assemblies, thereby effectively urging the retainer assemblies along their respective paths of travel 130, from the first position 131 , to the second position 132.
  • Fig. 24 shows that the transfer implement carries the individual wafers or other articles in spaced, substantially parallel relation in a batch array.
  • the transfer implement 140 while moving along the first course of travel 171 cooperates with the respective engagement members 100 by receiving the respective engagement members in the individual apertures 152.
  • the respective engagement members are located at the first end 153 of the individual apertures 152.
  • movement of the transfer implement 141 along the second course 172 has the effect of urging the individual engagement members along the sides of the respective apertures 152, from the first end 153, to the second end 154 thereof.
  • the article or object receiving assembly 63 carries or cradles the individual silicon wafers 180 in substantially the same orientation as the transfer implement 140.
  • Fig. 27 shows that the object receiving assembly 63 passes through the gap 164 which is defined between the first and second arms 161 and 162 as the transfer implement 164 moves along the second course of travel 172. Once the plurality of wafers 180 are disposed in rested relation on the article receiving assembly 63, the transfer implement 140 moves along the third course of travel 173 out of the cavity 43. As will be seen by a study of Fig.
  • the second longitudinally disposed member 122 Upon rotation of the rotor frame 20, the second longitudinally disposed member 122 is acted upon by centrifugal force thereby further urging the first longitudinally member 121 into increased radially inward force transmitting relation relative to the wafers 180.
  • the biasing member 102 is a spring or other member which operates when the retainer assemblies 80 are in their first, or open position 131 to bias and urge the retainer assemblies 80.
  • the retainers are biased in the direction of the first position
  • the transfer implement 140 would move along the third course of travel 173 into the cavity 43.
  • the engagement members 100 would be received in the apertures 152, and oriented at the second end 154 thereof.
  • the transfer implement 140 would then travel along the second course of travel 172, in the direction of the first course 171.
  • This movement of the transfer implement 140 effectively moves the engagement members along the path of travel 130, from the second position 132, to the first position 131.
  • this movement causes the longitudinally disposed members 121 to move out of tangential force engaging relation relative to wafers 180.
  • the engagement members 100 are oriented at the first end 153 of the respective apertures. Further, as the transfer implement 140 moves along the second course 172, the individual arms 160 engage, and cradie the wafers 180 thereby lifting them out of engagement with the article receiving assembly 63. The transfer implement then moves along the first course of travel 171 out of the cavity 43 and on to another work station. Operational Description of First Rotor and Transfer Implement
  • the centrifugal processor rotor 10 is best seen by a reference to Fig. 23.
  • the centrifugal processor rotor 10 for treating semiconductor articles, such as silicon wafers 180 includes a rotor frame 20 defining a cavity 43.
  • a retainer assembly 80 is borne by the rotor frame 20 and positioned in the cavity 43.
  • the retainer assembly 80 is moveable along a path of travel 130 from a first, open position 131 , to a second closed position 132.
  • An object receiving assembly 63 is borne by the rotor frame 20 and positioned in a given location in the cavity 43.
  • the object receiving assembly 63 supports the semiconductor articles in the cavity 43 for centrifugal processing.
  • Still another aspect of the present invention includes a centrifugal processor rotor 10 for treating semiconductor wafers 180 comprising a rotor frame 20 defining a cavity 43 and having a predetermined axis of rotation.
  • a pair of retainer assemblies 80 are borne by the rotor frame.
  • Each retainer assembly 80 is rotatable about a given axis, and has at least one member 121 which moves along a given path of travel 130 from a first position 131 to a second position 132.
  • An object receiving assembly 63 is borne by the rotor frame 20 and is located in the cavity 43. The object receiving assembly positions the semiconductor wafers 180 in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20.
  • a transfer implement 140 is moveable along a course of travel 170 into, and out of, the cavity 43.
  • the transfer implement 140 supports the plurality of silicon wafers 180 in a predetermined orientation.
  • the transfer implement 140 cooperates with the retainer assemblies 80, and further movement of the transfer implement 140 along the course of travel 170 following mating cooperation with the retainer assemblies 80, carries the semiconductor wafers 180 into resting relation onto the object receiving assembly 63.
  • This movement of the transfer implement 140 along the course of travel 170 simultaneously urges the longitudinally disposed members 121 of the respective retainer assemblies 80 along their individual paths of travel 130 from the first position 131 , to the second position 132.
  • Still a further aspect of the present invention includes a method for centrifugally treating a plurality of semiconductor wafers 180.
  • the method for treating semiconductor wafers 180 comprises providing a rotor frame 20 which defines a cavity 43; providing a movable retainer assembly 80 which is borne by the rotor frame 20, and which moves along a given path of travel 130; providing an object receiving assembly 63 which is borne by the rotor frame 43; providing a transfer implement 140 which is moveable along a given course of travel 170, and which carries the plurality of silicon wafers 180 in a predetermined orientation into the cavity 43; urging the transfer implement 140 along the course of travel 170, the transfer implement 140 while moving along the course of travel 170 cooperating with the retainer assembly 80, and effectively imparting force to the retainer assembly 80 to urge the retainer assembly 80 along its respective path of travel 130, while simultaneously carrying the individual wafers 180 into rested relation onto the object receiving assembly 63.
  • the retainer assembly 80 secures the individual semiconductor wafers 180 in fixed substantially coaxial orientation relative to the rotor frame 20.
  • the method further includes the step of imparting rotational movement to the rotor frame 20 thereby creating centrifugal force which acts upon the respective semiconductor wafers 180 by means of the retainer assembly 80.
  • the centrifugal processor rotor 10 of the present invention provides a convenient means by which semiconductor articles, such as a plurality of semiconductor wafers 180, can be centrifugally processed in a manner which avoids the shortcomings identified with the prior art practices and other devices.
  • Figs. 8-11 show a further preferred rotor and transfer implement combination according to this invention.
  • This combination includes a rotor assembly 310 which bears similarity to rotor 10 described above .
  • Parts which are common to both rotor constructions and transfer implement constructions are similarly numbered with regard to the second embodiment using numbers in the 300's and 400's in lieu of numbers ranging from 10 up into the 100's.
  • Corresponding parts with corresponding reference numbers are determined by adding 300 to the first embodiment reference numbers. Not all features have been numbered in both embodiments to simplify and clarify the illustrations. Description of the common features of both embodiments will not be repeated. Additional description is provided below in connection with changed or noteworthy aspects of the second embodiment.
  • Fig. 28 shows the robotic transfer device 15 having first, second, and third arm portions 501 , 502 and 503, respectively; which can also be thought of as upper arm 501, forearm 502 and hand 503.
  • the second embodiment engagement implement 440 is mounted at the distal end of the mechanical arm assembly 15.
  • the transfer implement has cantilevered arm members 460 which extend from the face plate 441.
  • the upper and inward surfaces of the arm members have array support features in the form of grooves 463 (Fig. 31) and intervening ridges or projections which act to space the wafers 180 into the spaced parallel batch array.
  • the face plate also serves as a retainer actuator in the form of two apertures 452 which are appropriately shaped to provide camming or similar displacement action when the implement is engaged and moved relative to lever arms 521.
  • Lever arms 521 are pivotally mounted in the front rotor plate 321.
  • Apertures 452 form part of an article retainer operator which functions to pivot lever arms 521.
  • Fig. 29 shows how the lever arms can be pivoted between upper or retracted open positions shown in solid lines, and lower or contracted closed positions shown in phantom lines. This is accomplished by lowering the transfer implement downwardly from the upper or loading and unloading position shown in Fig. 30 to a lowered retracted position shown by phantom lines 531 in Fig. 30. To function in this capacity the retainer operator apertures 452 are positioned over the lever arm end extensions 522. The transfer implement is then raised to move the lever arms up and into the open positions. The transfer implement is lowered to move the lever arms down and into extended or closed positions.
  • Fig. 28 further illustrates that the transfer implement 440 can be used to mount a visual sensing subsystem 600.
  • Visual sensing subsystem 600 is advantageously used to monitor the position of the transfer implement, and to monitor the condition of the rotor.
  • the visual sensing subsystem utilizes a television camera or similar image detection device 601.
  • Image detector 601 can be a charge coupled device image detector similar to video cameras or other suitable sensors.
  • the image detector 601 has a light gathering lens 602 which collects light beamed toward the lens over a viewing range which is only partially suggested by view lines 605 shown in Fig. 28.
  • the lens 602 is positioned adjacent to a viewing opening 611 (Fig. 30) formed in the transfer implement face plate 441.
  • the image detector 601 is advantageously mounted to the face plate 441 using a camera mounting bracket 613 which is adjustably secured thereto using suitable fasteners 614 which are received through slotted mounting apertures 615 which allow vertical adjustment.
  • the camera can alternatively be mounted directly upon the robot or at other suitable locations using a variety of adjustable mounts.
  • the output signals from the image detector 601 are communicated via a suitable signal cable 620 or other suitable image conveying conduit.
  • the image information from camera 601 is communicated to a computer which serves as the central control processor.
  • the image information is utilized with supporting image analysis computer software which allows items of the machinery to be recognized and used to verify proper operating conditions.
  • image analysis software is commercially available from several sources.
  • the software is customized to recognize specific features such as the lever arm end extensions 522, so that verification can be had that the lever arms are retracted upwardly and are not positioned downwardly such that installation of a batch of wafers would cause interference and breakage of the wafers as the batch is attempted to be installed within the rotor 310.
  • Other verifications can also be performed using the image detection subsystem, such as explained below.
  • Fig. 28 shows the preferred second embodiment rotor 310 in side elevational detail.
  • the front and back rotor parts 321 and 330 are joined by several longitudinal rotor frame members 340 which are spaced about the rotor at suitable radial positions. This provides an annular rotor frame or framework 320.
  • the front part 321 of the rotor frame is provided with a receiving opening 323.
  • the receiving opening allows a batch of wafers to be installed within the rotor.
  • the wafers 180 are not supported upon any carrier or other array supporting piece or pieces which stay in the processing chamber. Instead the wafer batch array is installed into the processing chamber in an array formation defined by the transfer implement, and then transferred to a receiver which is on the rotor.
  • the receiver is generally referred to by the reference number 363.
  • the receiver advantageously includes a receiving space or cavity 343 adjacent the receiving opening 323. In the preferred construction shown, the receiving cavity is substantially encompassed along the sides and rear end within the rotor frame 320.
  • the rotor frame is left with numerous open spaces to allow fluid access to the batch array of wafers when held in the receiver.
  • the receiver assembly also preferably includes one or more receiver array supports 350.
  • array supports 350 are provided in the form of combs having receiving grooves and intervening ridges or projections. The edges of wafers are captured in the receiving grooves and spacing between adjacent wafers is maintained by the intervening projections.
  • the receiver 363 includes four stationary supports 350 each being fitted with the support combs which directly contact the edges of the wafers.
  • the front piece 321 of the rotor frame includes the receiver opening 323.
  • the receiver opening is preferably provided with cutouts 563 which allow sufficient clearance for the transfer implement to move downwardly and transfer the edges of the wafers into supporting contact with the supports 350. Sufficient clearance is also provided to allow the transfer implement to move downwardly to allow free travel clearance between the transfer implement supports on arms 460 and the adjacent portions of the wafers resting in the receiver supports 350. This downward transfer and clearance is illustrated in Fig. 30.
  • the rotor assembly 310 further includes a complementary pair of retainer assemblies 380.
  • the retainers 380 each include a longitudinal main retainer member 390 which is mounted for pivotal action by front and back retainer end pieces 384 and 385.
  • the front and back end pieces extend through apertures formed in the adjacent rotor frame pieces 321 and 330, respectively.
  • Bushings or other suitable bearings 386 are provided to improve pivotal support.
  • the front end pieces 384 are connected to the lever arms 521. Lever arms 521 and end extensions 522 serve as part of the retainer operators used to operate the retainers between open and closed positions.
  • the rear end pieces 385 are connected to a rear lever arm 596.
  • the rear lever arm has a ball (not shown) mounted at the distal end thereof.
  • the ball engages with either or two detents (not shown) formed along the rear face of the rear rotor part 330.
  • This construction provides a restraint which maintains the retainers in either open or closed positions.
  • the retainers 380 also preferably include contacting bars which have undulating groove and projection faces similar to the stationary receiver members 350. Biasing springs are not shown similar to spring 102, but could be utilized to add additional biasing forces to the retainers into the open, closed or both positions.
  • the retainers 380 are preferably constructed so as provide automatic centrifugal motivation which urges the retainers into a closed position to engage and securely hold wafers or other articles being processed. This is preferably done by providing appropriate balance to the main retainer member 390 relative to the pivotal mounts at each end. When the rotor rotates the center of gravity of the retainer assemblies causes the retainer support members to pivot into a closed position wherein the support members are extending inward in a nearly radial orientation toward the rotational axis.
  • the processing system preferably operates using certain methods for centrifugally processing batches of semiconductor articles, such as the illustrated wafers 180.
  • the novel methods can according to one aspect of this invention involve supporting plural semiconductor articles in a batch array upon a suitable transfer implement, such as the transfer implements 140 and 440 described herein.
  • the batch of articles typically are relatively thin wafer shaped articles which can be circular disks or panels having other possible shapes.
  • the supporting advantageously involves arranging the articles in a spaced parallel relationship to form the batch processing array.
  • the articles are preferably spaced approximately equal amounts, although irregular spacings may bear some advantage in particular circumstances.
  • the articles can be supported upon peripheral edges thereof to form the array.
  • the supporting step is preferably done by inserting the peripheral edges of the articles within grooves or receptacles formed along supporting surfaces of the transfer implement, such as at grooves 163 or 463.
  • the supporting can also be defined to include abutting the marginal portions of the wafers or other articles against the intervening projections formed between the grooves to provide endwise support against displacement in the longitudinal directions.
  • the novel methods can in another aspect of the invention include moving the transfer implement and supported batch array to and into a processing station, such as processing stations 19, which are adapted to receive and support the batch array which is formed without a carrier which remains in with the wafers throughout centrifugal processing.
  • the moving step or steps include moving the batch array on the implement to the processing station and aligning the batch array with a processing vessel main opening, such as opening 203 (Fig. 31).
  • the aligning operation occurs by positioning and orienting the array on the implement so as to be approximately aligned with the receiver formed on the rotor, such as receiver 463 on rotor 310.
  • the moving step can additionally be defined by inserting the batch array of articles through the main opening of the processing vessel. Such inserting step can be accomplished by positioning the transfer implement and supported batch array within the receiver, such as receiver 463.
  • a retainer open positioning step which causes positioning of the movable article retainers, such as retainers 81 and 82, into retracted or open positions.
  • the retainers are laterally withdrawn away from the receiver opening to allow clear access for insertion of the batch array and supporting transfer implement in through the receiver opening and into longitudinally aligned or appropriate stopping position within the receiver.
  • the opening or positioning of the retainers is advantageously accomplished at the end of the prior cycle of processing when the transfer implement is moved upwardly, thus engaging the retainer actuators in the form of receptacles 152 with the ends of the retainers to effect a lifting operation of the retainers.
  • the methods further preferably include the step of engaging the batch array with the receiver to support the plural semiconductor articles using the receiver in a batch array upon the supporting features of the receiver. This is advantageously accomplished by lowering the transfer implement as indicated in Fig. 30 in phantom lines 531.
  • the step of lowering or otherwise displacing the transfer implement and supported wafers laterally with respect to the longitudinal axis of the array and axis of rotation, causes a transferring to occur. This transferring results in transfer of the wafers from the transfer implement onto supporting surfaces and features of the batch receiver.
  • This transferring is preferably done in a manner which involves longitudinally aligning corresponding grooves which are on the transfer implement with receiving grooves in the article receiver. This results in the individual semiconductor articles being supported in a manner the same or substantially similar to the supporting step described above in connection with supporting the articles in a batch array on the transfer implement , as explained above .
  • the novel methods preferably include repositioning or otherwise moving at least one movable article retainer into a closed position.
  • the article retainer or retainers are in juxtaposition with the plural semiconductor articles held in the receiver. More preferably, the article retainers are in direct physical contact with the semiconductor articles, such as along peripheral edge surfaces thereof.
  • the article retainer or retainers are repositioned in a retainer close positioning step .
  • This retainer close positioning step is performed using the preferred embodiments shown, as a simultaneous operation or actuation associated with the engaging step described above, although simultaneous actuation may not be needed in some forms of the invention.
  • This closing is effected in a manner which is the complement of the retainer opening operation or open positioning step described above.
  • the methods further include retracting or withdrawing the transfer implement from the processing chamber. This is advantageously done using the robotic transfer 15 and moving the transfer implement outwardly along a line of travel which is in the same approximate orientation as the travel into the processing chamber.
  • the transfer implement moves downwardly or otherwise in a laterally displacing mode of action.
  • This causes force to be transferred between the transfer implement retainer actuator openings, such as openings 152 and 452, against the exposed ends or the retainer mechanisms ( 100 and 522), bringing about movement of the retainers 81 , 82 and 381 , 382 into the closed positions.
  • the contacting surfaces of the retainers may either be slightly spaced or brought in direct physical engagement with the articles being processed so as to effect an initial or preliminary urging or biasing which involves forcing of the semiconductor articles.
  • This preliminary forcing or urging helps to seat the articles within the receiver grooves and minimizes the chance of vibration or movement of the articles, particularly as the rotor increases in angular speed. Such movement can be problematic in some processing operations, and is more generally undesirable.
  • the methods further include closing the processing chamber opening using a movable processing chamber door to provide a substantially enclosed processing chamber.
  • Fig. 31 this is accomplished by moving the processing chamber door 202 upwardly and across the opening 203.
  • Other configurations are alternatively possible .
  • the methods further include rotating the rotor and supported wafers or other semiconductor articles.
  • the rotating step is preferably performed to provide better access to processing fluids supplied to the processing chamber.
  • the supply of processing fluids can occur in the form of liquids sprayed into the processing chamber, or gases which are emitted into the processing chamber.
  • the rotating action is further useful without fluid application to spin liquids from the surfaces of the articles being processed, and to aid in drying liquids from the exposed surfaces of the wafers.
  • the centrifugal action provides improved gaseous contact to aid in drying or other gaseous processing phases.
  • the novel methods further include maintaining or biasing the articles into their desired processing positions during centrifugal processing. This is advantageously accomplished by providing automatic centrifugal biasing action using the article retainer operators.
  • the article retainer operators respond to centrifugal forces developed during rotation of the rotor.
  • the retainer operators preferably have a restraining means, such as the biasing spring member 102 or the detent restraint which help to lock the restraints into the closed position during rotation.
  • the restraining action can also be accentuated by designing the balancing of the retainer operators such that the contacting surfaces of the retainers go past a radial orientation which is pointing directly at the central axis of rotation and positions the retainer operators beyond this point to produce an action which maintains the retainers in a fully closed position until they are affirmatively released by the retainer actuator provided in the form of the transfer implement and its opening operation described above .
  • the methods can also further include opening the processing chamber opening by retracting the movable processing chamber door. This is done in a manner complementary to the door closing step listed above .
  • the novel methods also preferably include verifying retainer positions before any insertion of the transfer implement is attempted. This helps to reduce the risk of possible damage to the machine or articles being processed. The verifying can be performed in anticipation of the unloading phase of the processing. Verifying can best be accomplished using the image sensor 601 which looks at the open processing chamber and recognizes either or both the lever arms 521 and ends 522 using image analysis software which is commercially available. If the lever arms are in a closed position, then it is appropriate for the transfer implement to proceed with insertion to progress in unloading the machine .
  • Verifying steps can also be used prior to unloading to verify that the retainer actuator lever arms are in the desired closed positions. Additional verifying can be performed after loading the articles into the rotor, to assure that the retainers are in closed positions before spinning the articles.
  • the novel methods also preferably include inserting an unloaded transfer implement into the processing chamber to unload the batch array from the rotor.
  • the inserting step is best prefaced with a set of moving and related steps explained above in connection with the transfer implement when loaded with a batch of articles.
  • the arms 140 and 160 are inserted in a complementary relationship avoiding the receiver supports 63 and 463.
  • the transfer implement is brought into the receiver opening in a relatively low condition associated with insertion to load and retraction after loading the wafers onto the rotor article receiver.
  • the steps further include longitudinally aligning or stopping the transfer implement in a desired position in anticipation of lifting and transferring the articles onto the transfer implement .
  • the axial aligning step brings corresponding grooves of the article supports into registration.
  • the novel methods in another aspect include lifting or otherwise laterally displacing the transfer implement to cause an engaging of the articles supported on the receiver article supports. This effects a transferring and brings the transfer implement into a supporting action for the articles.
  • the lateral displacing action of the transfer implement also preferably causes a simultaneous actuation of the article retainers on the rotor. This releases the wafers or other articles and allows upward or other appropriate lateral displacement so that the wafers are brought into a retractable orientation and position for removal of the articles from the processing station.
  • the methods also in another aspect include retracting the transfer implement and supported batch array of articles from the processing station. In further aspects the retracted batch array can then be prepared and controlled for repeating some or all of the above processing steps at a second or subsequent processing station as the particular requirements may be .
  • Control System Fig. 32 shows a preferred control system used in processor 11.
  • the control system advantageously uses a modular design which incorporate commercially available computer modules, such as Intel 80486 or equivalent based computer or computer boards, to perform various functions.
  • Fig. 32 shows the human operator interaction station 704
  • the first such station 704 has an associated control processor 1341 of conventional design and an electrically attached display and control panel 705.
  • Control and display panel 704 is accessible from the front or clean room side of processor 1 1.
  • Additional control stations can alternatively be provided at central processing control rooms, at the grey room side of the processing system, or at other desired locations and connected to added input ports 1360.
  • Control stations are connected using a standard network interface hub
  • Network hub 1350 is connected to a central controller, such as a computer file server 1351. Hub 1350 can also be used to connect an outside control or monitoring station at ports 1360 for additional control capabilities, data acquisition, or monitoring of processing and control functions.
  • Hub 1350 is further connected to processor control modules 1361-1363, which are also conventional computers without displays.
  • processor control modules 1361-1363 are each associated with processing stations 19 respectively. Similar, added modules are used as needed for the particular number and types of stations 19 used in system 11. These station control modules allow independent processing routines to be run at the processing stations and for data to be recorded indicating the processing performed in each particular batch being run by each processing station.
  • Processing station control modules are connected to and interact with the processing station motors, plumbing, etc which are collectively identified with the processing station number 19 in Fig. 32.
  • Fig. 32 further shows an interface subsystem controller 1381, which again is a computer.
  • Interface subsystem controller 381 is electrically connected to various features of the interface subsystem to both control operation and receive confirmatory signals of movements and positions.
  • the interface controller 381 is preferably connected to the interface section to receive signals through a number of optical fibers 1386 used to convey signals from positional encoders for the first and second carriages 1382, limit switches 1383 which detect the limit of travel of the carriages and elevators, and wafer detectors 1384 which detect wafer carriers and wafers held in the interface section.
  • the system is preferably constructed so that most or all sensed signals used in the control and operation of the interface are communicated by optical fiber to eliminate the risk of crosstalk between signal lines.
  • Fig. 32 still further shows a conveyor control module in the form of a computer 1391 without display which is electrically connected to various parts of the conveyor, such as the mechanical arm drive motors 1256, 1271 and 1301, encoder 1220, and other components thereof not specifically illustrated.
  • the conveyor control module also preferably receives a number of signals through optical fibers 1396.
  • Optical fibers 1396 are used to convey signals from angular position encoders and motor encoders for the conveyor 15 which are for simplicity exemplified by encoder 1220 in Fig. 32.
  • Limit switches for the conveyor are exemplified by limit switch 1278 in Fig. 32.
  • Hall effect sensors 1395 are used in sensing operation of the motors of the conveyor.
  • the system is preferably constructed so that all sensed signals used in the control and operation of the conveyor are communicated by optical fiber to eliminate the risk of cross-talk between signal lines and provide a smaller cable bundle which is moved in connection with tram motion up and down the track.
  • the optical fiber transmitted signals are converted into electronic signals by an optical fiber signal converter 1397 which is connected to reconvey the signals to computer 1391.
  • the invention is useful for designing and manufacturing automated semiconductor processing systems.

Abstract

A semiconductor processing system (11) for wafers (80) or other semiconductor articles. The system (11) uses an interface section (14) at an end of the machine accessible from the clean room. A plurality of processing stations (202) are arranged away from the clean room interface (14). A transfer subsystem (800) removes wafers (80) from supporting carriers (79), and positions both the wafers (80) and carriers (79) onto a carrousel (720) which is used as an inventory storage. Wafers (80) are shuttled between the inventory (720) and processing stations (202) by a robotic conveyor (15) which is oriented to move toward and away from the interface end (14). The system (11) processes the wafers (80) without wafer carriers (73) being loaded into the processing stations (202).

Description

DESCRIPTION AUTOMATED SEMICONDUCTOR PROCESSING SYSTEMS Technical Field
This invention relates to automated semiconductor wafer processing systems for performing liquid and gaseous processing of wafers. Such systems can be used to process semiconductor wafers, data disks, semiconductor substrates and similar articles requiring very low contaminant levels. Background Art
The processing of semiconductor wafers has become of great economic significance due to the large volume of integrated circuits, data disks, and similar articles being produced.
The size of features used in integrated circuits and data disks have decreased significantly, thus providing greater integration and greater capacity. This has been possible due to improved lithography techniques and improved processing.
The reduction in feature size has been limited by contamination. This is true because various contaminating particles, crystals, metals and organics lead to defects in the resulting products. The limitations on feature size caused by contaminants have prevented full utilization of the resolution capability of known lithography techniques.
Thus there remains an acute need for improved methods and systems for processing semiconductor wafers, data disks and similar articles requiring very low levels of contamination during processing.
During the fabrication of semiconductor components, various manufacturing steps involve the application of processing liquids and gases to the articles being processed. The application and removal of these processing fluids to and from the exposed surfaces of the wafers are enhanced by movement of the wafers within the processing chamber. Processing is also enhanced by centrifugal action of the semiconductor wafers which improves movement of fluids across the wafer surfaces, such as when liquids are sprayed upon the wafer and then move across the wafer surfaces due to centrifugal forces acting upon the liquids as the wafers spin.
As one example, after semiconductor wafers have been cleaned, they must be dried. This is not a trivial process because any water that remains on the surface of a semiconductor wafer has at least some potential of leaving some form of residue which may interfere with subsequent operations or cause defects in the resulting products. Centrifugal action aids in the removal of water and other processing liquids so that such residues are not as likely to occur because the fluid is applied to the surface and then moves outwardly and is removed from the surfaces. Drying is also benefitted because less liquid remains on the wafer surfaces, so drying speed is increased. This saves processing time and reduces the risk of residue or contamination due to particle adhesion.
In one type of prior art centrifugal processor, several wafer carriers are put in holders or carriers in a spaced substantially circular array around the axis of rotation. The rotor with loaded carriers of wafers is then rotated within a processing chamber which is typically enclosed within a processing bowl or vessel. In the center of the vessel and at other peripheral locations are fluid manifolds with spray nozzles or similar inlets that are connected to a source of deionized water, heated nitrogen, or other processing chemicals both liquids and gases. These or other processing fluids are thus applied to the wafers to effect washing, drying or other processing.
Other prior art spin rinser dryers have been built for drying batches of wafers held in a single wafer carrier. The wafer carrier and supported wafers are held within a rotor. The rotor has an opening for receiving the carrier with the wafers positioned in an array with the centerpoints of the wafers at or nearly aligned with the axis of rotation. Typically a small offset is used so that the wafers will seat into the wafer carriers as centrifugal forces are developed during rotation. The water, nitrogen or other processing fluids come into the chamber along the sides rather than through a manifold mounted at the center. The rinsing, other liquids application, or drying take place as the rotor spins with the carrier and wafers held therein. Stationary retainer bars are typically provided adjacent the open top side of the wafer carrier to prevent the wafers from being displaced if the rotor should stop in an upside-down position. The rotors are also typically controlled to stop in a right-side-up position. This type of spin rinser dryer is normally termed an axial or on-axis spin rinser dryer. Additionally semiconductor processing machines of similar configuration are also used for centrifugal chemical etching or other chemical processing. In this regard, the required chemicals are pressurized or pumped to the processing chamber and valves control the supply of such chemicals into the chamber. The chemical processing can be following by associated rinsing and drying operations. The application of processing chemicals adds to the complexity of the processing because highly reactive chemicals may impinge upon the wafer surfaces at different angles, fluid velocities, with differing flow rates, and with other dynamically varying effects. This variability can cause different etch rates or other variations in chemical processing which is difficult to overcome.
Process uniformity within a batch and repeatability from batch to batch have been major considerations in semiconductor processing, and in particular centrifugal semiconductor processing. The issue is particularly of interest in the case of batch centrifugal processing because the wafers are held in closely spaced arrays using wafer carriers. In addition to inherent variations in the application of processing fluids to the wafers, there are also variations associated with how wafers are held within the carriers. The structural parts of the carriers necessarily restrict access of fluids to the wafer surfaces. This has almost invariably led to different processing results for wafers in different positions within a carrier, even though processing has occurred in the same batch. Although carriers have been designed to reduce their effects on processing fluid distribution within the processing chamber, it has been impossible to eliminate their effects on uniformity and repeatability of processing results.
While the apparatus and methods utilized heretofore have operated with varying degrees of success, they have also sometimes suffered problems with regard to contamination or particle additions which can occur during processing. As the features and geometries of the discrete components formed on the semiconductor devices have become smaller and more densely packed, the need for more stringent contamination control has become increasingly difficult.
Thus there has been a need in the art of semiconductor wafer and similar article processing for a centrifugal processing machine which provides improved uniformity of process results while minimizing the possibility of contamination. This must be done without substantial risk of damage to the semiconductor wafers.
A further area of significance in the processing of semiconductor articles includes the handling and coordination of wafer carriers commonly used to support semiconductor wafers in various stages of processing and translocation between processes. Wafer carriers are often susceptible to picking up undesirable contaminants. Carriers which have been contaminated can in some processing schemes be used to carry more than one batch of wafers. This increases the potential for spreading contamination amongst multiple wafers and batches. These and other considerations have led to a novel semiconductor processing system as described herein, with various benefits and advantages which are described or inherent from the construction and description given herein. Brief Description of the Drawings One or more preferred forms in the invention are described herein with reference to the accompanying drawings. The drawings are briefly described below.
Fig. 1 is a perspective view showing a preferred semiconductor processing system according to the present invention. Fig. 2 is a perspective view similar to Fig. 1 showing the preferred semiconductor processing system with portions broken away to better illustrate some of the principal components thereof.
Fig. 3 is a front elevational view of the processing system of Fig. 1 . Fig. 4 is a partial side elevational view of portions of the interface section of the processing system of Fig. 1.
Fig. 5 is a perspective view showing selected components of the processing system of Fig. 1.
Fig. 6 is a plan view showing selected components of the processing system of Fig. 1. Fig. 7 is a perspective view showing a preferred carrousel subassembly forming a part of the processing system of Fig. 1 .
Fig. 8 is a perspective view showing a preferred article transfer subassembly forming a part of the processing system of Fig. 1 .
Figs. 9-21 are a series of views illustrating how the processing system of Fig. 1 transfers semiconductor wafers onto the carrousel in preparation for processing in the associated processing stations.
Fig. 22 is a perspective view of a transfer implement which is utilized in the system of Fig. 1.
Fig. 23 is a perspective view of a preferred centrifugal processor rotor utilized in the system of Fig. 1.
Fig. 24 is a fragmentary, perspective view of the centrifugal processor rotor of Fig. 23, with the some portions removed to better show underlying structures.
Fig. 25 is a fragmentary, perspective view of the centrifugal processor rotor shown in Fig. 23, at a processing step subsequent to that shown in Fig. 24. Some portions are removed to show the underlying structures. Fig. 26 is a fragmentary, perspective view of the centrifugal processor rotor shown in Fig. 23, at a processing step subsequent to that shown in Fig. 25. Some portions are removed to show the underlying structures.
Fig. 27 is a fragmentary, perspective view of the centrifugal processor rotor shown in Fig. 23, at a processing step subsequent to that shown in Fig. 26. Some portions are removed to show the underlying structures.
Fig. 28 is a side elevational view showing a further embodiment of the invention having a rotor and transfer implement mounted upon a robotic arm assembly. Fig. 29 is a front elevational view of the rotor shown in Fig. 28.
Fig. 30 is a front elevational view similar to Fig. 29 with a transfer implement positioned in front of the rotor.
Fig. 31 is a perspective view showing portions of the rotor and transfer implement shown in Fig. 28. Fig. 32 is a control system schematic block diagram of a preferred control system used in the processing system of Fig. 1.
Best Modes for Carrying Out the Invention and Disclosure of Invention Processing System Generally
Figs. 1 and 2 generally show a preferred processing system 1 1 constructed in accordance with the novel aspects of the inventions. The processing system includes a frame 13 which is connected with a housing 12. The housing 12 and frame 13 rests upon a supporting surface (not shown) . The housing is most preferably constructed to form an enclosure which is substantially or fully encloses the machine and defines a working space 18 within which the wafers 80 or other semiconductor articles are moved and processed in relative protection from dust and contamination.
Fig. 1 does not show the full enclosure of housing 12 to improve the illustration. Specifically, the top or roof has been removed for purposes of illustration. The roof can advantageously be provided with a series of ultrafine filters (not shown) through which air, nitrogen or other work space gas is supplied to working space 18.
Fig. 1 shows that the processing system 11 includes an interface section
14 which includes mechanisms and features for inputting and outputting the wafers 80 or other semiconductor articles being processed. The interface section also includes mechanisms for transferring wafers from wafer carriers 79 and for inventorying both the wafers and carriers upon a carrousel 720. Preferred forms of these mechanisms will be described in detail below after further introduction of some additional basic features of the processing system. Processing Stations Generally
Processing system 11 also includes a processing section 8. The processing section includes one or more individual processing stations 19 which can be of various constructions. Centrifugal or immersion type stations can be used. In a preferred form of the invention, the processing stations 19 are each centrifugal processing stations which include a processing vessel 201 which partially encloses a processing chamber defined therewithin. The processing vessels also preferably mate with a movable door 202 which can be moved between the closed positions generally shown and the retracted position shown at one station in Fig. 2.
The processing stations 19 are mounted within processing station console 203 which have associated supporting fluid supplies for providing processing liquids and gases as needed for the particular processes being carried out at that station. Stations 19 can all be the same, each be different, or there can be more than one of a particular type coupled with one or more other associated stations within the same processing system.
As shown, the semiconductor articles are processed in batches. The wafers within a batch are arranged in a linear batch array in which the individual wafers or other articles are spaced, substantially parallel and aligned with central normal axes of the disk-shaped wafers aligned to form a longitudinal central batch axis (axis not illustrated). The size of the wafers can vary. The number of wafers can also vary, but at this time typically will include 25 or 50 wafers because industry standard wafer carriers 79 have such capacities. Robotic Conveyor
Figs. 1 and 2 further show a robotic conveyor, which is generally indicated by the numeral 15. Robotic conveyor 15 includes a mounting conveyor beam or rail 7 upon which a movable conveyor robot subassembly 5 is mounted and moves relative to the rail. The conveyor 15 conveys the semiconductor wafers or other articles 80 within the processing system, specifically between, to and from, the inventory carrousel 720 and the processing stations 19.
The robotic device can be of various designs. One design is that available from Semitool, Inc. of Kalispell, Montana as part of processing systems sold under the trademark MAGNUM. Further detailed description of suitable conveyor devices and other aspects of the processing system can also be implemented in a manner shown in described in the pending U .S. Patent Applications Serial No. 08/236,424 filed April 28, 1994; Serial No. 08/415,927 filed March 31 , 1995; Serial No. 08/415,240 filed April 3, 1995 which are hereby incorporated by reference in their entirety. Such forms of apparatus are also described in corresponding PCT Applications which were published by the World Intellectual Property Organization under PCT Publication Nos. WO 95/30238; WO 95/30240; WO 30239; all of which are incorporated by reference .
In the preferred robotic transfer device 15 the construction includes an articulated arm 16. Fig. 5 better illustrates that the preferred articulated arm includes an upper arm portion 741 , lower arm portion 742, and hand portion 743. Articulated arm 16 uses hand 743 and an attached engagement head which can be oriented into various planes of orientation and various positions. The conveyor robot has a distal end 17 which is used to mount an engagement implement which is preferably of the construction detailed below or equivalents thereto. The distal end 17 may move along assorted courses of travel to deliver the semiconductor articles to various individual or plural work stations 19. Each of these various courses of travel will be discussed in greater detail, hereinafter. While the present invention is described as being useful in combination with a washing or chemical processing stations, it will be appreciated that the same device may find utility in other applications. Input-Output Interface Section
Figs. 1 and 2 also show that processing system 11 preferably includes an input-output or interface section 14. The current invention in-part focuses on the novel construction used for interface section 14. Interface section 14 is constructed using the processor framework 13 and enclosure wall structure 12. The interface section has a front end wall 701 which is advantageously arranged along a hall or gallery within a clean room. Front wall 701 includes an interface opening 702. Interface opening 702 is provided with an interface door 703 which is preferably at least partially transparent to allow observation by a human operator. Door 703 is preferably operated by a suitable power door operator 709 which can be a linear screw drive or many other suitable mechanisms. The front wall 701 is also preferably provided with an operator control module or station 704 which is accessible from the clean room end of the system and can be of various constructions. As shown, operator module 704 includes a touch screen display and control panel 705. Also appropriately included are a disk drive 706 for providing control programming information, and other manually depressible control buttons (such as emergency stop) not specifically shown, but generally referred to as 707.
Interface section 14 also preferably includes a carrousel support framework
710 which is mounted in an elevated position within the interface section enclosure. Carrousel support framework 710 includes a central frame opening
711 (Fig. 1) which is used to mount an inventory carrousel which will be more fully described below. The specific form of the carrousel support framework can easily vary depending upon the specific form in which the carrousel or other inventory storage is constructed. Inventory Carrousel
Fig. 5 shows portions of the carrousel inventory mechanism used to support a plurality of wafers 80 or other semiconductor articles being processed. Carrousel assembly 720 includes a carrousel mounting plate 721 which is secured within opening 711 of the carrousel support framework 710 using fasteners 729 (Fig. 6). Support plate 721 is connected to and carries a carrousel main housing 722 which is detachable for maintenance and other purposes. Carrousel main housing 722 has internal features which support and mount a carrousel drive motor 747 (shown in phantom in Fig. 7). The output of the carrousel drive motor is in the form of a carrousel rotor shaft 723. The lower end of shaft 723 has a suitable angular position encoder 745 coupled at its lower end by coupling 746. An encoder support bracket 744 is attached to frame 13 or other suitable supporting structure to stabilize portions of the encoder against rotation with shaft 723.
The carrousel assembly further includes a plurality of carrousel support arms 725 which extend outwardly and are arranged to provide four cantilevered beam portions which can be advantageously used to support wafers 80 and wafer carriers 79. As shown, the carrousel support arms 725 connect in an overlapping square-shaped array to form a central square 726 which is overlaid with a carrousel central support panel 727. Each carrousel support arm 725 is preferably constructed so as to receive one or more support brackets 728. Support brackets 728 can be mounted in any suitable fashion. As shown, support brackets 728 rest over arms 725 and are secured thereto by fasteners (not shown).
Each support bracket 728 includes an upper or first rest or support 730, and a second or lower rest or support 731. The upper rest 730 is preferably provided with a series of grooves or notches 732 (see Fig. 6) along opposing inner, upper surfaces. Grooves 732 serve as supporting receivers into which are received individual wafers 80. The lower, second supports 731 are used for receiving and supporting wafer carriers 79. As shown, the lower supports 731 are constructed so as to form a semiconductor article carrier support. Article carrier support 731 is advantageously provided with constructional surface details (not shown) which serve to help retain the wafer carriers 79 against unintended movement after being placed upon supports 731. This maintains the carriers in position when the carrousel rotor rotates to a desired angular position. The specific features used will vary in conformance with the particular carrier design used.
The interface section also preferably includes a mid-level deck 750 which extends and portions which extend beneath such deck. Deck 750 is preferably perforated using perforations or apertures (not shown) which allow clean air or other work space gas to pass downwardly from upper air supply and filtration units (not shown) which provide filtered air into upper reaches of the processing system enclosure. This arrangement tends to take any generated particles or contaminants downwardly in the stream of filtered air or other working space gas.
The preferred carrousel construction shown in Figs. 5-7 illustrates a system designed to accommodate approximately four hundred (400) wafers. Such wafers are typically supplied in wafer carriers 79 which have the capacity of twenty five (25) wafers each. Carrousel 720 thus is capable of supporting both the wafers and sixteen ( 16) associated wafer carriers in inventory positions upon the carrousel. The carrousel construction and arrangement shown allows the inventoried wafers and carriers to be properly accessed at four different angular positions of the carrousel. Access can occur using either a wafer transfer apparatus 800 or robotic conveyor 15. This arrangement also allows the robotic conveyor to access one arm of the carrousel while another arm of the carrousel is being loaded or unloaded using the transfer subsystem 800. Article Transfer Subsystem
The semiconductor article transfer mechanism 800 is shown in greater detail in Fig. 8. Mechanism 800 is advantageously supported by a subframe 802 which either forms part of machine framework 13 or is otherwise appropriately supported within the enclosure 12. Subframe 802 can be of various constructions. Fig. 8 shows that subframe 802 includes a lateral stage guide rail 803 which mounts a laterally moveable transfer main subassembly 810. Lateral motion is provided to horizontally move the main subassembly 810 back and forth using a suitable later stage drive. As shown, the lateral stage drive includes a lateral stage drive motor 804 which drives an associated screw actuator or other suitable drive assembly which moves the main subassembly 810 horizontally back and forth along support rail 803. The Lateral stage drive operates directly upon the supporting frame guide 803 and a lateral stage follow 812 which forms a part of main subassembly 810. A variety of lateral stage guide and drive constructions are suitable for use in this invention.
The article transfer main subassembly 810 also includes a main part 811. Main part 811 is mounted for elevation change such as by mounting for vertical motion relative to the lateral stage follower 812. The connection between lateral stage follower 812 and main piece 81 1 is actuated by a first elevator actuator 823 which is mounted within main part 811.
The lateral stage 812 and main part 811 together form a transfer first carriage which is mounted to the frame for movement relative thereto. As shown, the first carriage is mounted for both horizontal and vertical motion. The first carriage preferably includes at least one feature for supporting at least one article carrier 79 on the first carriage . The carrier support features can be constructed according to a variety of alternative designs; however, a preferred construction will be detailed next.
The article transfer mechanism 800 further includes two upper decks 831 and 832 which form a part of the first carriage and are connected to the main part 811. As shown, first deck 831 is connected to the main part in a fixed relationship, although a moveable mounting is alternatively possible. First deck 831 has two wafer carrier receptacles 833 formed therein. Receptacles 833 are shaped and sized so as to support bottom edge surfaces of wafer carriers 79. Receptacles 833 also each have an open portion or receptacle opening within the receptacle which is open through deck 831. These receptacle openings allows for the free passage of article lift heads 821 up through the receptacle and deck. The lift heads also pass up through an aperture formed in the bottom of carriers 79 in order to lift wafers 80 from the wafer carriers 79.
As shown, the carrier support on the first carriage also includes a second or upper deck 832. Second deck 832 also has receptacles 833 for receiving wafer carriers 79 and supporting the carriers thereon. Receptacles 833 in the second deck also have openings which allow the wafer lift heads 821 to extend therethrough when elevated as explained below. The lift heads 821 associated with the first deck can be considered a first set of lift heads, and those associated with the second deck can be considered a second set of lift heads. Although a plurality of lift heads is shown and preferred, it is alternatively possible to use a single lift head and a single deck, with resulting reduced capacity of the transfer mechanism.
First and second decks 831 and 832 are advantageously provided with a suitable number of carrier positioners 846 which facilitate easy placement of the carriers 79 into the receptacles 833. Carrier detectors 847 are also advantageously included at receptacles 833 to allow detection of the carriers when placed in a proper position within the receptacles.
The first and second deck pieces 831 and 832 are advantageously constructed, mounted and arranged so as to facilitate their loading with wafer carriers and wafers held in the carriers. This loading is intended to occur through the interface opening 702. The loading is advantageously done by bringing both decks into close proximity to the opening so that either a robotic or human operator can set the carriers loaded with wafers into receptacles 833 through opening 702. To facilitate this, the construction shown includes a first deck 831 and second deck 832 which are both capable of being placed adjacent opening 702. Deck 831 is in closest proximity without special modification or movement beyond that provided by the lateral stage in properly positioning the subassembly 810 toward the opening 702. This is illustrated in Fig. 9. As Fig. 9 further shows, the second deck 832 is slidably connected to the first deck 831 or other parts of the main part 811. Fig. 8 shows a preferred construction for accomplishing this which uses a guide rail 840. Guide rail 840 slidably connects the two decks and allows linear motion in the direction substantially defined by the longitudinal axis of guide rail 840. Second deck 832 is moved relative to first deck 831 using an upper deck actuating driver or motor 842. The actuator advantageously includes a linear drive, such as a helical screw and ball bearing follower which slides the upper deck relative to the lower deck to assume positions as is illustrated in more complete detail in Figs. 9-12. The position shown in Fig. 9 is an overlapping position in which the upper deck is positioned adjacent to the loading and unloading opening 702 for easy access. The position shown in Fig. 12 depicts the upper deck in a staggered relationship with the lower deck which allows both decks to support wafer carriers thereon. The transfer subassembly 810 also includes at least one second carriage .
As shown, the second carriage includes the wafer lift heads 821 described above . The lift heads serve as supports for wafers or other semiconductor articles being transferred. In the exemplary construction shown, the lift heads are supported upon upstanding lift head extension rods 820. The lift heads and portions of the lifting rods extend through the openings in the receptacles 833, such as shown in Fig. 14.
In the preferred construction shown there are two second carriages. One of the second carriages include the first set of lift heads which extends through the first deck 831. The other second carriage includes the second set of lift heads which extend through the second deck 832. The second carriages are preferably operated in an independent manner using the construction which will now be described.
The second carriages also include transverse second carriage members 813. The transverse second carriage members 813 form a connecting bar which supports the lift rods 820 near the ends of each connecting bar. The connecting bars, lift rods and lift heads move upwardly and downwardly as the parts of the second carriage assemblies. These second carriage assemblies are move by second carriage assembly operators. In the preferred construction, these operators include a suitable linear drive mechanism, such as a helical screw drive. The drive shown in Fig. 8 includes a drive motor 814 which drives a screw member 841. A screw drive follower 842 is nonrotatably supported within a guide channel 843 formed in the side of the main part 811. The transverse members 813 are connected to the drive followers 842 by fasteners 844. This construction provides vertically moveable second carriage assemblies which each move independently relative to the main piece 811 using second carriage elevator motors 814.
It is further noteworthy that the wafer lift heads 821 are preferably provided with a series of wafer or other semiconductor article receiving grooves or other similar receiving features 822 which allow an array of wafers or other articles to be held therein. Transfer of Wafers Between Carriers and Carrousel
Figs. 9-21 illustrate the preferred operation and methods according to the invention. The methods described in this section include loading the processor and those steps involved in transferring wafers 80 from carriers 79 to the carrousel array held by carrousel 720. Fig. 9 shows an initial stage of the methods wherein the wafer transfer has been controlled by positioning the upper deck 832 of the transfer first carriage toward the opening 702 (not shown in Fig. 9 see Fig. 1). The illustrated carriers 79 and supported wafers 80 are awaiting loading onto the upper deck 832. The carriers are then manipulated manually or by machine to perform loading of the carrier or carriers through the opening 702 and onto the upper deck. The loading is preferably performed so as to provide positioning of the carriers onto the deck and into the carrier support receptacles 833, or other features used to properly position the carriers upon the transfer first carriage.
After the carriers have been positioned upon upper deck 832, then operation preferably proceeds by retracting or otherwise moving the upper deck into the position shown in Fig. 12. This retracting step allows access to the carrier receptacles 833 formed on the lower deck 831. This causes a presenting of the second set of carrier receptacles in preparation for loading of carriers thereon in the same manner as just described above . Fig. 13 shows the second set of carriers loaded onto the lower deck 831. With this action the transfer mechanism is fully loaded with wafer carriers having wafers contained therein.
Fig. 14 illustrates the step of separating the wafer 80 or other semiconductor articles from the carriers 79. The separating of the articles from the carriers can be effected by raising or elevating the lifting heads 822. The raising or extending step is preferably powered using the second carriage operators 814 which lift the heads relative to the first carriage of the transfer mechanism.
Fig. 15 shows a further stage of the transfer process wherein the two carrier-loads over the upper deck 832 are moved to effect a positioning of the wafers over the wafer supports provided on the carrousel. To effect this step, the carrousel is adjusted as needed by moving the carrousel angularly into the aligned pre-loading position shown in that Fig. Thereafter the step of translating the lateral stage of the transfer mechanism toward the open wafer support brackets 728 receptacles or receivers is performed. The first set of wafers is first positioned over the wafer supports on brackets 728 at the desired positions.
Fig. 16 then shows the upper deck lifting heads retracted downwardly after a retracting step has been performed upon the upper deck second carriage.
This retracting step causes a downward lowering and transferring of the wafers from the receiving grooves 822 in the lifting heads 821 to the receiving grooves 732 formed in the carrousel wafer supports 730. Fig. 17 shows that the wafer lifted from the lower deck 831 are similarly transferred to the carrousel wafer supports. It should be noted that more efficient use of space is accomplished by placing the second set of wafers into closer proximity with the first set of wafers, than is otherwise allowed due to the size and geometry of the wafer carriers. This is indicated by elimination of the medial gap 850 (Fig. 16) as indicated in Fig. 17. The result is to form two parallel carrousel batch arrays each having fifty (50) or other suitable number of wafers, starting with twenty five (25) from each wafer carrier. Although this configuration is preferred it is alternatively possible to use less or more numbers of carriers to form a single carrousel batch array.
Fig. 18 shows the wafer transfer subassembly fully retracted away from the carrousel and prepared to accept another group of four (4) loaded wafer carriers to load another arm of the carrousel. Prior to undertaking such loading and transferring, the carrousel is affected by moving the carrousel angularly as illustrated in Fig. 19. This rotating of the carrousel also performs an aligning or positioning step so that the robotic wafer conveyer 15 can interact with the carrousel batch arrays.
Fig. 20 shows the robotic conveyor 15 after positioning the conveyor into a carrousel engagement position. In this positioning step the wafer engagement implement 140 is extended under the wafers held on the carrousel. The conveyor then performs a lifting step which separates the wafers from their supported positions on brackets 728. The conveyor then performs a series of conveying movements, such as illustrated in Fig. 21. The moving or conveying step is performed to relocate the wafers into position for loading into the desired processing station 19. More specific explanation about the loading (installing) and unloading of the wafers into the processing stations 19 will be given below after first considering the preferred construction of the engagement implements and corresponding rotors which can advantageously be employed in the invention. First Processing Rotor and Transfer Implement
A first embodiment of preferred centrifugal processor rotor used in the present invention is generally indicated by the numeral 10 in Fig. 23. The centrifugal processor rotor forms part of the larger machine or processing system 11 described above. Figs. 24-27 show a first preferred embodiment of rotor 10 and article transfer implement 140 in different positions in order to illustrate the various features of each and their cooperation to perform the novel operational methods described herein. Fig. 22 shows the transfer implement 140 alone . Fig. 23 shows the rotor alone .
The centrifugal processor rotor 10 includes a rotor frame 20. The rotor frame has a front portion or plate 21 which is defined by a peripheral edge 22. The front portion 21 further defines a substantially centrally disposed opening or aperture 23, and a pair of mounting apertures 24. The front portion or plate 21 has a forwardly facing surface 25, and an opposite rearward facing surface 26. Mounted in suitable relationship, such as the substantially parallel spaced relationship relative to the front plate 21, is a rear portion or second plate 30. The rear portion 30 has a peripheral edge 31 , and further defines a major aperture 32, and a minor aperture 33. The minor aperture is disposed in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20. The rear portion further defines a pair of mounting apertures 34. The rear portion 30 has a main body 35 which is substantially planar, and circular in shape, and which has substantially the same diametrical dimensions as the front portion 21. The main body 35 is further defined by an exterior facing surface 36, and an opposite , interior facing surface 37, respectively. The individual front and rear portions 21 and 30, respectively, are held together in a suitable construction, such as the illustrated substantially coaxial and parallel spaced relation by means of rotor frame members 40 which are spaced about the rotor. Each of the rotor frame members 40 have a first end 41 , which is fixed on the front portion 21 by utilizing conventional fastening methods, and an opposite, second or distal end 42, which is mounted on the rear portion 30 by using the same techniques. The location of the first and second plates in the given orientation described above defines a processing cavity 43 therebetween.
As best seen by reference to Fig. 23, a pair of laterally disposed support members, or combs 50 are borne by the rotor frame 20 and are positioned in the cavity 43. The combs 50 include a first comb 51 , and an opposite, second comb 52 which are individually affixed on the interior facing surfaces 26 and 37 of the first and second portions 21, and 30 respectively. The first and second combs extend substantially normally outwardly relative to the surfaces 26 and 37, as shown. The first and second combs 51 and 52 are disposed to hold the wafers or other semiconductor articles being processed. This can advantageously be in the form of the illustrated substantially parallel, spaced configuration shown.
Each of the first and second combs has a frame portion 53, which is affixed on the front portion 21 , and the rear portion 30, by using conventional fastening techniques Further, each of the first and second combs has a comb portion 54 which is defined by an undulating peripheral edge 55. The undulating peripheral edges 55 are positioned in inwardly facing relation, one to the other, and are operable to engage the semiconductor articles as will be discussed in further detail in the paragraphs which follow. The peripheral edge may be provided in various materials or with various surface coatings which will protect the semiconductor articles which come into contact with same . One preferred construction utilizes a tetrafluoroethylene polymer plastic material. Others materials and constructions are alternatively possible.
Fig. 23 further shows a pair of base combs, identified hereinafter as first and second base combs 61 and 62, respectively. These base combs are affixed by conventional fastening techniques on the front and rear portions 21 and 30 respectively. The pair of base combs are shown disposed in parallel spaced relationship, and are generally aligned with the rotational axis of the rotor. The first and second base combs, in combination with the first and second laterally disposed combs 51 and 52, define an article receiving assembly or receiver 63 which is operable to hold, support or cradle the articles in desired processing positions. The receiver is also preferably constructed to otherwise orient the semiconductor articles in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20. Fig. 25 shows that the base combs define a gap 64 therebetween and which has a given cross-sectional dimension. The individual base combs 61 and 62 each have a frame portion 65 which is affixed on the surfaces 26 and 37 respectively. The individual base combs further include an undulating peripheral edge 66 having receiving grooves and interposed projections. As best illustrated by reference to Figs. 4 and 5, the centrifugal processor rotor 10 includes a pair of retainer assemblies 80. The retainer assemblies 80 will be identified hereinafter as a first retainer assembly 81 , and a second retainer assembly 82, respectively. As will be appreciated by a study of the drawings, the first and second retainer assemblies 81 , and 82 are substantially mirror images of each other, and therefore the features of only one of the retainer assemblies will described in detail hereinafter. Each retainer assembly 80 includes a pair of end pieces 83. The end pieces are identified as a first or forward end piece 84, and a second or rearward end piece 85. The first end piece 84 has a main body 90 which has a first end 91 , and an opposite second end 92. The main body is further defined by an interior facing surface 93, and an opposite, exterior facing surface 94. The main body 90 also has a substantially linear portion 95, and a curved portion 96.
As illustrated in Fig. 24, the main body 90 is substantially curvilinear in its overall shape. A centrally disposed aperture 97 is formed in the linear portion 95. Further, an engagement member 100 extends normally outwardly relative to the exterior facing surface 94. A biasing member or spring 102 is borne by the rotor frame 20. The spring has a main body 103, with a first end 104 which is fixed by a conventional fastener on the rear surface 26 of the front portion 21; and a second end 105,which is fixed in a predetermined location on the linear portion 95 of the main body 90. The operation of the biasing member or spring 102 will be discussed in greater detail hereinafter. As will be recognized, from a study of Figs. 3 and 4, the main body 90 is mounted for rotational movement about a front pin (not shown) and which is received in the individual mounting apertures 24. The mounting pin is further in mating relationship and received in the aperture 97. Fig. 25 illustrates that the second end piece 85, of the respective retainer assemblies 80, has a main body 110 which includes a first end 11 1 , and an opposite, second end 112. The main body 110 further is defined by an interior facing surface 113; an opposite, exterior facing surface 114; a linear portion 115; and a curved portion 116 which is positioned at the first end 111 thereof. A centrally disposed aperture 117 is formed in the linear portion 115. A rear pin 120 is received in mating relation in the aperture 34. The rear pin 120 is also received in the central aperture 117 thereby rendering the main body 110 rotatable about the rear pin 120.
Fastened on the first end 91 and 111 of the first and second end pieces 84 and 85 respectively, is a first longitudinally disposed member 121. Further, fixed on the second end 92 and 112 of the first and second end pieces 84 and 85, respectively is a second, longitudinally disposed member 122. The first and second longitudinally disposed members 121, and 122 are suitably oriented, such as in the fixed substantially parallel spaced relationship shown. These members are also further oriented in substantially parallel relationship to the axis of rotation of the rotor frame 20. The first longitudinally disposed member 121 includes an inside facing peripheral edge 123 which is coated with a material that does not harm or contaminate the semiconductor articles which are being processed.
The respective retainer assemblies 80 move along predetermined paths of travel 130 between a first, or open position 131 (Fig. 23), and a second, or closed position 132 (Fig. 26). As will be recognized by a study of Fig. 27, the respective retainer assemblies 80, when disposed in the second position 132, secure the individual semiconductor articles on the object receiving assembly 63 for centrifugal processing. Further, it should be understood that when the individual retainer assemblies 80 are positioned in the second position 132 (Fig. 27), the second longitudinally disposed members 122 are operable, under the influence of centrifugal force imparted to the respective longitudinally disposed members 122 by the rotation of the rotor frame 20, to exert radially inward forces on the semiconductor articles thereby securing them in substantially coaxial alignment relative to the rotor frame 20.
The centrifugal processor rotor 10 of the present invention works in combination with a transfer implement which is designated generally by the numeral 140 in Fig. 22. The transfer implement 140 includes a face plate 141 which is releasably secured on the distal end 17 of the arm 16. The face plate has a main body 142 which is defined by a left portion 143; a right portion 144; and bridging portions 145 which connect the left and right portions 143 and 144 together. Further, the face plate 141 includes an inside facing surface 150, and an outside facing surface 151. The outside facing surface is releasably secured in juxtaposed relation relative to the distal end 17 of the robotic arm 16.
A pair of apertures, 152 are individually formed in the face place 141. In this embodiment, the individual apertures have a first end 153; and an opposite, second end 154. The respective apertures further have a vertically oriented portion 155, and a substantially horizontally oriented portion 156. As best seen by reference to Fig. 24, the individual apertures 152 are substantially curvilinear in shape.
The transfer implement 140 includes a pair of arms 160 which extend substantially normally, outwardly relative to the inside facing surface 150 of the main body 142. In this regard, each of the arms includes a first arm 161 , and a second arm 162 of substantially identical dimensions. Each of the arms 161 and 162 has a generally upwardly oriented surface which has a number of repeating undulations or grooves 163 formed therein. The upwardly facing surface may be coated or treated with a material which protects and does not substantially contaminate the semiconductor articles while being transported.
As best seen by reference to Figs. 2 and 7, a gap 164 is defined between the first and second arms 161, and 162. It should be recognized that the gap 164 is larger than the gap 64 which is defined between the first and second base combs 61 and 62 respectively.
The transfer implement 140 is moveable along a given course of travel 170. The course of travel comprises a first component 171, (Fig. 24); a second component 172 (Figs. 5 and 6); and a third component 173 (Fig. 27). The first and third components 171, and 173, are substantially parallel to each other, and the second component 172 is substantially transversely disposed relative to the first and second components. As will be recognized, the transfer implement 140, while traveling along the first course of travel 171 , cooperates with the individual engagement members 100 at the end of the first course. Continued movement of the transfer implement 140 along the second component 172, imparts force to the retainer assemblies, thereby effectively urging the retainer assemblies along their respective paths of travel 130, from the first position 131 , to the second position 132. Further, the movement of the transfer implement 140 along the second course 172 brings the semiconductor articles, here illustrated as a plurality of silicon wafers 180 into resting relation onto the object receiving assembly 63. Fig. 24 shows that the transfer implement carries the individual wafers or other articles in spaced, substantially parallel relation in a batch array.
The transfer implement 140 while moving along the first course of travel 171 cooperates with the respective engagement members 100 by receiving the respective engagement members in the individual apertures 152. As seen in Fig. 25, when the transfer implement 140 is located at the end of the first course 171 , and at the beginning of the second course 172, the respective engagement members are located at the first end 153 of the individual apertures 152. As best understood by a comparison of Figs. 5 and 6, movement of the transfer implement 141 along the second course 172 has the effect of urging the individual engagement members along the sides of the respective apertures 152, from the first end 153, to the second end 154 thereof. This movement of the engagement members 100 along the individual apertures 152 draws the engagement members 100 generally radially inwardly, thereby defining the paths of travel 130 which are substantially arcuate in shape (Fig. 23). It is also noteworthy that the apertures 152 are shaped to allow installation over the engagement members 100 for the entire range of positions which the engagement members can assume.
The article or object receiving assembly 63 carries or cradles the individual silicon wafers 180 in substantially the same orientation as the transfer implement 140. Fig. 27 shows that the object receiving assembly 63 passes through the gap 164 which is defined between the first and second arms 161 and 162 as the transfer implement 164 moves along the second course of travel 172. Once the plurality of wafers 180 are disposed in rested relation on the article receiving assembly 63, the transfer implement 140 moves along the third course of travel 173 out of the cavity 43. As will be seen by a study of Fig. 27, the movement of the individual retainer assemblies 80 along the paths of travel 130 between the first position 131 and second the position 132 orients the first longitudinally disposed members 121 in tangential, force engaging relation relative to the peripheral edge 181 of wafers 180. This effectively secures the individual wafers in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20.
Upon rotation of the rotor frame 20, the second longitudinally disposed member 122 is acted upon by centrifugal force thereby further urging the first longitudinally member 121 into increased radially inward force transmitting relation relative to the wafers 180.
In addition to the centrifugal biasing which occurs, the biasing member 102 is a spring or other member which operates when the retainer assemblies 80 are in their first, or open position 131 to bias and urge the retainer assemblies 80. The retainers are biased in the direction of the first position
131, and in the direction of the second position 132. This accomplishes the desired conditions of either being held in the opened or closed retainer positions.
To remove the individual wafers 180 from the rotor frame 20, the reverse of the process outlined above would be followed. In particular, the transfer implement 140 would move along the third course of travel 173 into the cavity 43. At the end of the third course of travel 173, the engagement members 100 would be received in the apertures 152, and oriented at the second end 154 thereof. The transfer implement 140 would then travel along the second course of travel 172, in the direction of the first course 171. This movement of the transfer implement 140 effectively moves the engagement members along the path of travel 130, from the second position 132, to the first position 131. As will be recognized, this movement causes the longitudinally disposed members 121 to move out of tangential force engaging relation relative to wafers 180.
At the end of the second course, the engagement members 100 are oriented at the first end 153 of the respective apertures. Further, as the transfer implement 140 moves along the second course 172, the individual arms 160 engage, and cradie the wafers 180 thereby lifting them out of engagement with the article receiving assembly 63. The transfer implement then moves along the first course of travel 171 out of the cavity 43 and on to another work station. Operational Description of First Rotor and Transfer Implement
The operation of the preferred embodiment of the present invention is believed to be readily apparent but is briefly summarized at this point.
The centrifugal processor rotor 10 is best seen by a reference to Fig. 23. The centrifugal processor rotor 10 for treating semiconductor articles, such as silicon wafers 180, includes a rotor frame 20 defining a cavity 43. A retainer assembly 80 is borne by the rotor frame 20 and positioned in the cavity 43. The retainer assembly 80 is moveable along a path of travel 130 from a first, open position 131 , to a second closed position 132. An object receiving assembly 63 is borne by the rotor frame 20 and positioned in a given location in the cavity 43. The object receiving assembly 63 supports the semiconductor articles in the cavity 43 for centrifugal processing.
Still another aspect of the present invention includes a centrifugal processor rotor 10 for treating semiconductor wafers 180 comprising a rotor frame 20 defining a cavity 43 and having a predetermined axis of rotation. A pair of retainer assemblies 80 are borne by the rotor frame. Each retainer assembly 80 is rotatable about a given axis, and has at least one member 121 which moves along a given path of travel 130 from a first position 131 to a second position 132. An object receiving assembly 63 is borne by the rotor frame 20 and is located in the cavity 43. The object receiving assembly positions the semiconductor wafers 180 in substantially coaxial alignment relative to the axis of rotation of the rotor frame 20. A transfer implement 140 is moveable along a course of travel 170 into, and out of, the cavity 43. The transfer implement 140 supports the plurality of silicon wafers 180 in a predetermined orientation. Upon movement of the transfer implement 140 along the course of travel 170, the transfer implement 140 cooperates with the retainer assemblies 80, and further movement of the transfer implement 140 along the course of travel 170 following mating cooperation with the retainer assemblies 80, carries the semiconductor wafers 180 into resting relation onto the object receiving assembly 63. This movement of the transfer implement 140 along the course of travel 170 simultaneously urges the longitudinally disposed members 121 of the respective retainer assemblies 80 along their individual paths of travel 130 from the first position 131 , to the second position 132.
Still a further aspect of the present invention includes a method for centrifugally treating a plurality of semiconductor wafers 180. The method for treating semiconductor wafers 180 comprises providing a rotor frame 20 which defines a cavity 43; providing a movable retainer assembly 80 which is borne by the rotor frame 20, and which moves along a given path of travel 130; providing an object receiving assembly 63 which is borne by the rotor frame 43; providing a transfer implement 140 which is moveable along a given course of travel 170, and which carries the plurality of silicon wafers 180 in a predetermined orientation into the cavity 43; urging the transfer implement 140 along the course of travel 170, the transfer implement 140 while moving along the course of travel 170 cooperating with the retainer assembly 80, and effectively imparting force to the retainer assembly 80 to urge the retainer assembly 80 along its respective path of travel 130, while simultaneously carrying the individual wafers 180 into rested relation onto the object receiving assembly 63. The retainer assembly 80 secures the individual semiconductor wafers 180 in fixed substantially coaxial orientation relative to the rotor frame 20. The method further includes the step of imparting rotational movement to the rotor frame 20 thereby creating centrifugal force which acts upon the respective semiconductor wafers 180 by means of the retainer assembly 80.
Therefore, the centrifugal processor rotor 10 of the present invention provides a convenient means by which semiconductor articles, such as a plurality of semiconductor wafers 180, can be centrifugally processed in a manner which avoids the shortcomings identified with the prior art practices and other devices. Description of Second Rotor and Transfer Implement Assembly
Figs. 8-11 show a further preferred rotor and transfer implement combination according to this invention. This combination includes a rotor assembly 310 which bears similarity to rotor 10 described above . Parts which are common to both rotor constructions and transfer implement constructions are similarly numbered with regard to the second embodiment using numbers in the 300's and 400's in lieu of numbers ranging from 10 up into the 100's. Corresponding parts with corresponding reference numbers are determined by adding 300 to the first embodiment reference numbers. Not all features have been numbered in both embodiments to simplify and clarify the illustrations. Description of the common features of both embodiments will not be repeated. Additional description is provided below in connection with changed or noteworthy aspects of the second embodiment.
Fig. 28 shows the robotic transfer device 15 having first, second, and third arm portions 501 , 502 and 503, respectively; which can also be thought of as upper arm 501, forearm 502 and hand 503. The second embodiment engagement implement 440 is mounted at the distal end of the mechanical arm assembly 15.
The transfer implement has cantilevered arm members 460 which extend from the face plate 441. The upper and inward surfaces of the arm members have array support features in the form of grooves 463 (Fig. 31) and intervening ridges or projections which act to space the wafers 180 into the spaced parallel batch array.
The face plate also serves as a retainer actuator in the form of two apertures 452 which are appropriately shaped to provide camming or similar displacement action when the implement is engaged and moved relative to lever arms 521. Lever arms 521 are pivotally mounted in the front rotor plate 321. Apertures 452 form part of an article retainer operator which functions to pivot lever arms 521. Fig. 29 shows how the lever arms can be pivoted between upper or retracted open positions shown in solid lines, and lower or contracted closed positions shown in phantom lines. This is accomplished by lowering the transfer implement downwardly from the upper or loading and unloading position shown in Fig. 30 to a lowered retracted position shown by phantom lines 531 in Fig. 30. To function in this capacity the retainer operator apertures 452 are positioned over the lever arm end extensions 522. The transfer implement is then raised to move the lever arms up and into the open positions. The transfer implement is lowered to move the lever arms down and into extended or closed positions.
Fig. 28 further illustrates that the transfer implement 440 can be used to mount a visual sensing subsystem 600. Visual sensing subsystem 600 is advantageously used to monitor the position of the transfer implement, and to monitor the condition of the rotor. The visual sensing subsystem utilizes a television camera or similar image detection device 601. Image detector 601 can be a charge coupled device image detector similar to video cameras or other suitable sensors. The image detector 601 has a light gathering lens 602 which collects light beamed toward the lens over a viewing range which is only partially suggested by view lines 605 shown in Fig. 28. The lens 602 is positioned adjacent to a viewing opening 611 (Fig. 30) formed in the transfer implement face plate 441. The image detector 601 is advantageously mounted to the face plate 441 using a camera mounting bracket 613 which is adjustably secured thereto using suitable fasteners 614 which are received through slotted mounting apertures 615 which allow vertical adjustment. The camera can alternatively be mounted directly upon the robot or at other suitable locations using a variety of adjustable mounts. The output signals from the image detector 601 are communicated via a suitable signal cable 620 or other suitable image conveying conduit.
The image information from camera 601 is communicated to a computer which serves as the central control processor. The image information is utilized with supporting image analysis computer software which allows items of the machinery to be recognized and used to verify proper operating conditions. Such image analysis software is commercially available from several sources. The software is customized to recognize specific features such as the lever arm end extensions 522, so that verification can be had that the lever arms are retracted upwardly and are not positioned downwardly such that installation of a batch of wafers would cause interference and breakage of the wafers as the batch is attempted to be installed within the rotor 310. Other verifications can also be performed using the image detection subsystem, such as explained below.
Fig. 28 shows the preferred second embodiment rotor 310 in side elevational detail. The front and back rotor parts 321 and 330 are joined by several longitudinal rotor frame members 340 which are spaced about the rotor at suitable radial positions. This provides an annular rotor frame or framework 320.
The front part 321 of the rotor frame is provided with a receiving opening 323. The receiving opening allows a batch of wafers to be installed within the rotor. In the preferred version shown the wafers 180 are not supported upon any carrier or other array supporting piece or pieces which stay in the processing chamber. Instead the wafer batch array is installed into the processing chamber in an array formation defined by the transfer implement, and then transferred to a receiver which is on the rotor. The receiver is generally referred to by the reference number 363. The receiver advantageously includes a receiving space or cavity 343 adjacent the receiving opening 323. In the preferred construction shown, the receiving cavity is substantially encompassed along the sides and rear end within the rotor frame 320. The rotor frame is left with numerous open spaces to allow fluid access to the batch array of wafers when held in the receiver.
The receiver assembly also preferably includes one or more receiver array supports 350. As shown, array supports 350 are provided in the form of combs having receiving grooves and intervening ridges or projections. The edges of wafers are captured in the receiving grooves and spacing between adjacent wafers is maintained by the intervening projections. The receiver 363 includes four stationary supports 350 each being fitted with the support combs which directly contact the edges of the wafers.
The front piece 321 of the rotor frame includes the receiver opening 323. The receiver opening is preferably provided with cutouts 563 which allow sufficient clearance for the transfer implement to move downwardly and transfer the edges of the wafers into supporting contact with the supports 350. Sufficient clearance is also provided to allow the transfer implement to move downwardly to allow free travel clearance between the transfer implement supports on arms 460 and the adjacent portions of the wafers resting in the receiver supports 350. This downward transfer and clearance is illustrated in Fig. 30.
The rotor assembly 310 further includes a complementary pair of retainer assemblies 380. The retainers 380 each include a longitudinal main retainer member 390 which is mounted for pivotal action by front and back retainer end pieces 384 and 385. The front and back end pieces extend through apertures formed in the adjacent rotor frame pieces 321 and 330, respectively. Bushings or other suitable bearings 386 are provided to improve pivotal support. The front end pieces 384 are connected to the lever arms 521. Lever arms 521 and end extensions 522 serve as part of the retainer operators used to operate the retainers between open and closed positions.
The rear end pieces 385 are connected to a rear lever arm 596. The rear lever arm has a ball (not shown) mounted at the distal end thereof. The ball engages with either or two detents (not shown) formed along the rear face of the rear rotor part 330. This construction provides a restraint which maintains the retainers in either open or closed positions. The retainers 380 also preferably include contacting bars which have undulating groove and projection faces similar to the stationary receiver members 350. Biasing springs are not shown similar to spring 102, but could be utilized to add additional biasing forces to the retainers into the open, closed or both positions.
The retainers 380 are preferably constructed so as provide automatic centrifugal motivation which urges the retainers into a closed position to engage and securely hold wafers or other articles being processed. This is preferably done by providing appropriate balance to the main retainer member 390 relative to the pivotal mounts at each end. When the rotor rotates the center of gravity of the retainer assemblies causes the retainer support members to pivot into a closed position wherein the support members are extending inward in a nearly radial orientation toward the rotational axis. It is even further preferred that the centrifugal forces and balance of the assemblies be designed to pivot the retainers slightly past a radial line in order to more securely hold the retainers in a closed position and keep it affirmatively in that position using the detent construction and mechanical engagement between the retainers and the wafers or other articles being retained in the rotor. Operational Description of Second Rotor and Transfer Implement The processing system preferably operates using certain methods for centrifugally processing batches of semiconductor articles, such as the illustrated wafers 180. The novel methods can according to one aspect of this invention involve supporting plural semiconductor articles in a batch array upon a suitable transfer implement, such as the transfer implements 140 and 440 described herein. The batch of articles typically are relatively thin wafer shaped articles which can be circular disks or panels having other possible shapes. The supporting advantageously involves arranging the articles in a spaced parallel relationship to form the batch processing array. The articles are preferably spaced approximately equal amounts, although irregular spacings may bear some advantage in particular circumstances. The articles can be supported upon peripheral edges thereof to form the array. The supporting step is preferably done by inserting the peripheral edges of the articles within grooves or receptacles formed along supporting surfaces of the transfer implement, such as at grooves 163 or 463. The supporting can also be defined to include abutting the marginal portions of the wafers or other articles against the intervening projections formed between the grooves to provide endwise support against displacement in the longitudinal directions.
The novel methods can in another aspect of the invention include moving the transfer implement and supported batch array to and into a processing station, such as processing stations 19, which are adapted to receive and support the batch array which is formed without a carrier which remains in with the wafers throughout centrifugal processing. The moving step or steps include moving the batch array on the implement to the processing station and aligning the batch array with a processing vessel main opening, such as opening 203 (Fig. 31). The aligning operation occurs by positioning and orienting the array on the implement so as to be approximately aligned with the receiver formed on the rotor, such as receiver 463 on rotor 310.
The moving step can additionally be defined by inserting the batch array of articles through the main opening of the processing vessel. Such inserting step can be accomplished by positioning the transfer implement and supported batch array within the receiver, such as receiver 463.
In order to minimize potential damage to the wafer or other articles held in the batch array, it is preferable to include a retainer open positioning step which causes positioning of the movable article retainers, such as retainers 81 and 82, into retracted or open positions. In the retracted open positions the retainers are laterally withdrawn away from the receiver opening to allow clear access for insertion of the batch array and supporting transfer implement in through the receiver opening and into longitudinally aligned or appropriate stopping position within the receiver. The opening or positioning of the retainers is advantageously accomplished at the end of the prior cycle of processing when the transfer implement is moved upwardly, thus engaging the retainer actuators in the form of receptacles 152 with the ends of the retainers to effect a lifting operation of the retainers. This lifting causes the retainers to be actuated and repositioned into the open positions. During the loading of the receiver, the methods further preferably include the step of engaging the batch array with the receiver to support the plural semiconductor articles using the receiver in a batch array upon the supporting features of the receiver. This is advantageously accomplished by lowering the transfer implement as indicated in Fig. 30 in phantom lines 531. The step of lowering or otherwise displacing the transfer implement and supported wafers laterally with respect to the longitudinal axis of the array and axis of rotation, causes a transferring to occur. This transferring results in transfer of the wafers from the transfer implement onto supporting surfaces and features of the batch receiver. This transferring is preferably done in a manner which involves longitudinally aligning corresponding grooves which are on the transfer implement with receiving grooves in the article receiver. This results in the individual semiconductor articles being supported in a manner the same or substantially similar to the supporting step described above in connection with supporting the articles in a batch array on the transfer implement , as explained above .
In another aspect the novel methods preferably include repositioning or otherwise moving at least one movable article retainer into a closed position. This effects a retainer closing operation. In such closing operation and associated closed position, the article retainer or retainers are in juxtaposition with the plural semiconductor articles held in the receiver. More preferably, the article retainers are in direct physical contact with the semiconductor articles, such as along peripheral edge surfaces thereof. The article retainer or retainers are repositioned in a retainer close positioning step . This retainer close positioning step is performed using the preferred embodiments shown, as a simultaneous operation or actuation associated with the engaging step described above, although simultaneous actuation may not be needed in some forms of the invention. This closing is effected in a manner which is the complement of the retainer opening operation or open positioning step described above.
The methods further include retracting or withdrawing the transfer implement from the processing chamber. This is advantageously done using the robotic transfer 15 and moving the transfer implement outwardly along a line of travel which is in the same approximate orientation as the travel into the processing chamber.
In the close positioning step the transfer implement moves downwardly or otherwise in a laterally displacing mode of action. This causes force to be transferred between the transfer implement retainer actuator openings, such as openings 152 and 452, against the exposed ends or the retainer mechanisms ( 100 and 522), bringing about movement of the retainers 81 , 82 and 381 , 382 into the closed positions. In these closed positions the contacting surfaces of the retainers may either be slightly spaced or brought in direct physical engagement with the articles being processed so as to effect an initial or preliminary urging or biasing which involves forcing of the semiconductor articles. This preliminary forcing or urging helps to seat the articles within the receiver grooves and minimizes the chance of vibration or movement of the articles, particularly as the rotor increases in angular speed. Such movement can be problematic in some processing operations, and is more generally undesirable.
In other aspects of the invention, the methods further include closing the processing chamber opening using a movable processing chamber door to provide a substantially enclosed processing chamber. In the embodiment shown in
Fig. 31 this is accomplished by moving the processing chamber door 202 upwardly and across the opening 203. Other configurations are alternatively possible .
The methods further include rotating the rotor and supported wafers or other semiconductor articles. The rotating step is preferably performed to provide better access to processing fluids supplied to the processing chamber. The supply of processing fluids can occur in the form of liquids sprayed into the processing chamber, or gases which are emitted into the processing chamber. The rotating action is further useful without fluid application to spin liquids from the surfaces of the articles being processed, and to aid in drying liquids from the exposed surfaces of the wafers. The centrifugal action provides improved gaseous contact to aid in drying or other gaseous processing phases.
The novel methods further include maintaining or biasing the articles into their desired processing positions during centrifugal processing. This is advantageously accomplished by providing automatic centrifugal biasing action using the article retainer operators. The article retainer operators respond to centrifugal forces developed during rotation of the rotor. The retainer operators preferably have a restraining means, such as the biasing spring member 102 or the detent restraint which help to lock the restraints into the closed position during rotation. The restraining action can also be accentuated by designing the balancing of the retainer operators such that the contacting surfaces of the retainers go past a radial orientation which is pointing directly at the central axis of rotation and positions the retainer operators beyond this point to produce an action which maintains the retainers in a fully closed position until they are affirmatively released by the retainer actuator provided in the form of the transfer implement and its opening operation described above .
The methods can also further include opening the processing chamber opening by retracting the movable processing chamber door. This is done in a manner complementary to the door closing step listed above . The novel methods also preferably include verifying retainer positions before any insertion of the transfer implement is attempted. This helps to reduce the risk of possible damage to the machine or articles being processed. The verifying can be performed in anticipation of the unloading phase of the processing. Verifying can best be accomplished using the image sensor 601 which looks at the open processing chamber and recognizes either or both the lever arms 521 and ends 522 using image analysis software which is commercially available. If the lever arms are in a closed position, then it is appropriate for the transfer implement to proceed with insertion to progress in unloading the machine .
Verifying steps can also be used prior to unloading to verify that the retainer actuator lever arms are in the desired closed positions. Additional verifying can be performed after loading the articles into the rotor, to assure that the retainers are in closed positions before spinning the articles.
The novel methods also preferably include inserting an unloaded transfer implement into the processing chamber to unload the batch array from the rotor. The inserting step is best prefaced with a set of moving and related steps explained above in connection with the transfer implement when loaded with a batch of articles. In the case of inserting and moving the unloaded transfer implement the arms 140 and 160 are inserted in a complementary relationship avoiding the receiver supports 63 and 463. The transfer implement is brought into the receiver opening in a relatively low condition associated with insertion to load and retraction after loading the wafers onto the rotor article receiver. The steps further include longitudinally aligning or stopping the transfer implement in a desired position in anticipation of lifting and transferring the articles onto the transfer implement . The axial aligning step brings corresponding grooves of the article supports into registration.
The novel methods in another aspect include lifting or otherwise laterally displacing the transfer implement to cause an engaging of the articles supported on the receiver article supports. This effects a transferring and brings the transfer implement into a supporting action for the articles. The lateral displacing action of the transfer implement also preferably causes a simultaneous actuation of the article retainers on the rotor. This releases the wafers or other articles and allows upward or other appropriate lateral displacement so that the wafers are brought into a retractable orientation and position for removal of the articles from the processing station. The methods also in another aspect include retracting the transfer implement and supported batch array of articles from the processing station. In further aspects the retracted batch array can then be prepared and controlled for repeating some or all of the above processing steps at a second or subsequent processing station as the particular requirements may be .
Control System Fig. 32 shows a preferred control system used in processor 11. The control system advantageously uses a modular design which incorporate commercially available computer modules, such as Intel 80486 or equivalent based computer or computer boards, to perform various functions. Fig. 32 shows the human operator interaction station 704 The first such station 704 has an associated control processor 1341 of conventional design and an electrically attached display and control panel 705. Control and display panel 704 is accessible from the front or clean room side of processor 1 1. Additional control stations can alternatively be provided at central processing control rooms, at the grey room side of the processing system, or at other desired locations and connected to added input ports 1360.
Control stations are connected using a standard network interface hub
1350. Network hub 1350 is connected to a central controller, such as a computer file server 1351. Hub 1350 can also be used to connect an outside control or monitoring station at ports 1360 for additional control capabilities, data acquisition, or monitoring of processing and control functions.
Hub 1350 is further connected to processor control modules 1361-1363, which are also conventional computers without displays. Three processor station control modules 1361-1363 are each associated with processing stations 19 respectively. Similar, added modules are used as needed for the particular number and types of stations 19 used in system 11. These station control modules allow independent processing routines to be run at the processing stations and for data to be recorded indicating the processing performed in each particular batch being run by each processing station.
Processing station control modules are connected to and interact with the processing station motors, plumbing, etc which are collectively identified with the processing station number 19 in Fig. 32.
Fig. 32 further shows an interface subsystem controller 1381, which again is a computer. Interface subsystem controller 381 is electrically connected to various features of the interface subsystem to both control operation and receive confirmatory signals of movements and positions. The interface controller 381 is preferably connected to the interface section to receive signals through a number of optical fibers 1386 used to convey signals from positional encoders for the first and second carriages 1382, limit switches 1383 which detect the limit of travel of the carriages and elevators, and wafer detectors 1384 which detect wafer carriers and wafers held in the interface section. The system is preferably constructed so that most or all sensed signals used in the control and operation of the interface are communicated by optical fiber to eliminate the risk of crosstalk between signal lines. The optical fiber transmitted signals are converted into electronic signals by an optical fiber signal converter 1387 which produces electronic signals which are communicated to computer 1381. Fig. 32 still further shows a conveyor control module in the form of a computer 1391 without display which is electrically connected to various parts of the conveyor, such as the mechanical arm drive motors 1256, 1271 and 1301, encoder 1220, and other components thereof not specifically illustrated.
The conveyor control module also preferably receives a number of signals through optical fibers 1396. Optical fibers 1396 are used to convey signals from angular position encoders and motor encoders for the conveyor 15 which are for simplicity exemplified by encoder 1220 in Fig. 32. Limit switches for the conveyor are exemplified by limit switch 1278 in Fig. 32. Hall effect sensors 1395 are used in sensing operation of the motors of the conveyor. The system is preferably constructed so that all sensed signals used in the control and operation of the conveyor are communicated by optical fiber to eliminate the risk of cross-talk between signal lines and provide a smaller cable bundle which is moved in connection with tram motion up and down the track. The optical fiber transmitted signals are converted into electronic signals by an optical fiber signal converter 1397 which is connected to reconvey the signals to computer 1391. Industrial Applicability
The invention is useful for designing and manufacturing automated semiconductor processing systems.

Claims

1. A semiconductor processor for processing semiconductor articles, such as semiconductor panels, wafers, and substrates; comprising: an enclosure for providing a substantially enclosed work space therewithin; at least one carrousel mounted within the enclosure for holding semiconductor articles thereon; at least one article transfer for transferring articles from an article carrier for support upon said at least one carrousel; a plurality of processing stations; said processing stations having access openings which open to the work space to allow installation and removal of articles relative to said processing stations; at least one conveyor for conveying said articles to and from said plurality of processing stations and said at least one carrousel.
2. A semiconductor processor according to claim 1 wherein said at least one carrousel includes a plurality of article supports arranged thereon for holding the semiconductor articles.
3. A semiconductor processor according to claim 1 wherein said at least one carrousel includes a plurality of article supports arranged thereon for holding the semiconductor articles in batches of multiple articles which are conveyed by said conveyor.
4. A semiconductor processor according to claim 1 wherein said at least one carrousel includes: a plurality of article supports arranged thereon for holding the semiconductor articles; a plurality of carrier supports arranged thereon for holding the article carriers.
5. A semiconductor processor according to claim 1 wherein: a) said at least one carrousel includes: a plurality of article supports arranged thereon for directly engaging and holding the semiconductor articles; a plurality of carrier supports arranged thereon for holding the article carriers; b) said at least one conveyor includes at least one engagement head having engagement head article supports which directly engage and simultaneously move a batch including plural semiconductor articles between the plurality of article supports on the at least one carrousel, and the plurality of processing stations.
6. A semiconductor processor according to claim 1 wherein said at least one conveyor includes at least one engagement head having article supports which directly engage the semiconductor articles.
7. A semiconductor processor according to claim 1 wherein said conveyor includes a conveyor tram carriage movably mounted upon a frame.
8. A semiconductor processor according to claim 1 wherein said conveyor includes a mechanical arm assembly; said mechanical arm assembly having: a conveyor tram carriage movably mounted upon a frame; an upper arm portion mounted upon said conveyor tram carriage for pivotal motion at a shoulder pivot; a lower arm portion connected to the upper arm portion for pivotal motion at an elbow pivot; a hand portion connected to the lower arm portion for pivotal motion at a wrist pivot; an engagement head connected to the hand portion.
9. A semiconductor processor according to claim 1 wherein said plurality of processing stations includes at least one centrifugal processing station.
10. A semiconductor processor according to claim 1 wherein said plurality of processing stations includes at least one centrifugal processing station having an access opening with a processing closure mounted to controllably open and close the access opening.
11. A semiconductor processor for processing semiconductor articles, such as semiconductor panels, wafers, and substrates; comprising: an enclosure for providing a substantially enclosed work space therewithin; at least one carrousel mounted within the enclosure for holding semiconductor articles thereon; at least one processing station; said at least one processing station having at least one access opening which opens to the work space to allow installation and removal of articles relative to said at least one processing station; at least one conveyor for conveying said articles to and from said at least one processing station and said at least one carrousel.
12. A semiconductor processor according to claim 11 wherein said at least one carrousel includes a plurality of article supports arranged thereon for holding the semiconductor articles.
13. A semiconductor processor according to claim 1 1 wherein said at least one carrousel includes a plurality of article supports arranged thereon for holding the semiconductor articles in batches of multiple articles which are conveyed by said conveyor.
14. A semiconductor processor according to claim 1 1 wherein said at least one carrousel includes: a plurality of article supports arranged thereon for holding the semiconductor articles; a plurality of carrier supports arranged thereon for holding the article carriers.
15. A semiconductor processor according to claim 11 wherein: a) said at least one carrousel includes: a plurality of article supports arranged thereon for directly engaging and holding the semiconductor articles; a plurality of carrier supports arranged thereon for holding the article carriers; b) said at least one conveyor includes at least one engagement head having engagement head article supports which directly engage and simultaneously move a batch including plural semiconductor articles between the plurality of article supports on the at least one carrousel, and the plurality of processing stations.
16. A semiconductor processor according to claim 1 1 wherein said at least one conveyor includes at least one engagement head having article supports which directly engage the semiconductor articles.
17. A semiconductor processor according to claim 11 wherein said conveyor includes a conveyor tram carriage movably mounted upon a frame .
18. A semiconductor processor according to claim 1 1 wherein said conveyor includes a mechanical arm assembly; said mechanical arm assembly having: a conveyor tram carriage movably mounted upon a frame; an upper arm portion mounted upon said conveyor tram carriage for pivotal motion at a shoulder pivot; a lower arm portion connected to the upper arm portion for pivotal motion at an elbow pivot; a hand portion connected to the lower arm portion for pivotal motion at a wrist pivot; an engagement head connected to the hand portion.
19. A semiconductor processor according to claim 11 wherein said at least one processing station includes at least one centrifugal processing station.
20. A semiconductor processor according to claim 11 wherein said at least one processing station includes at least one centrifugal processing station having an access opening with a processing closure mounted to controllably open and close the access opening.
21. A semiconductor processor for processing semiconductor articles, such as semiconductor panels, wafers, and substrates; comprising: a frame; an enclosure for providing a substantially enclosed work space therewithin; at least one carrousel mounted within the enclosure for holding semiconductor articles thereon; at least one processing station; said at least one processing station having at least one access opening which opens to the work space to allow installation and removal of articles relative to said at least one processing station; at least one conveyor for conveying said articles to and from said at least one processing station and said at least one carrousel; a semiconductor article transfer for transferring semiconductor articles from an article carrier to said at least one carrousel, comprising: at least one first carriage mounted upon said frame for movement relative thereto, said first carriage having features for supporting at least one article carrier thereon; at least one second carriage mounted upon said frame for movement relative thereto, said second carriage having features for supporting at least one article thereon; said at least one first carriage and said at least one second carriage being mounted so as to allow the at least one second carriage to move relative to the at least one first carriage to remove or reinstall articles relative to an article carrier supported by the at least one first carriage .
22. A semiconductor processor according to claim 21 wherein said at least one carrousel includes a plurality of article supports arranged thereon for holding the semiconductor articles.
23. A semiconductor processor according to claim 21 wherein said at least one carrousel includes a plurality of article supports arranged thereon for holding the semiconductor articles in batches of multiple articles which are conveyed by said conveyor.
24. A semiconductor processor according to claim 21 wherein said at least one carrousel includes: a plurality of article supports arranged thereon for holding the semiconductor articles; a plurality of carrier supports arranged thereon for holding the article carriers.
25. A semiconductor processor according to claim 21 wherein: a) said at least one carrousel includes: a plurality of article supports arranged thereon for directly engaging and holding the semiconductor articles; a plurality of carrier supports arranged thereon for holding the article carriers; b) said at least one conveyor includes at least one engagement head having engagement head article supports which directly engage and simultaneously move a batch including plural semiconductor articles between the plurality of article supports on the at least one carrousel, and the plurality of processing stations.
26. A semiconductor processor according to claim 21 wherein said at least one conveyor includes at least one engagement head having article supports which directly engage the semiconductor articles.
27. A semiconductor processor according to claim 21 wherein said conveyor includes a conveyor tram carriage movably mounted upon a frame .
28. A semiconductor processor according to claim 21 wherein said conveyor includes a mechanical arm assembly; said mechanical arm assembly having: a conveyor tram carriage movably mounted upon a frame ; an upper arm portion mounted upon said conveyor tram carriage for pivotal motion at a shoulder pivot; a lower arm portion connected to the upper arm portion for pivotal motion at an elbow pivot; a hand portion connected to the lower arm portion for pivotal motion at a wrist pivot; an engagement head connected to the hand portion.
29. A semiconductor processor according to claim 21 wherein said at least one processing station includes at least one centrifugal processing station.
30. A semiconductor processor according to claim 21 wherein said at least one processing station includes at least one centrifugal processing station having an access opening with a processing closure mounted to controllably open and close the access opening.
31. A semiconductor article transfer according to claim 21 wherein at least portions of said at least one second carriage is extendible through portions of said at least one first carriage to lift semiconductor articles from the article carrier.
32. A semiconductor article transfer according to claim 21 wherein there are a plurality of first and second carriages.
33. A semiconductor article transfer according to claim 21 and further comprising a lateral stage having movable portions upon which the at least one first carriage and the at least one second carriage are mounted to allow lateral movement thereof.
34. A semiconductor article transfer according to claim 21 and further comprising a lateral stage having movable portions upon which the at least one first carriage and the at least one second carriage are mounted to allow lateral movement thereof; wherein said at least one first carriage and said at least one second carriage are constructed to move the article carriers and semiconductor articles upwardly or downwardly.
35. A semiconductor article transfer for transferring semiconductor articles from an article carrier into an article processing array, comprising: a frame; at least one first carriage mounted upon said frame for movement relative thereto, said first carriage having features for supporting at least one article carrier thereon; at least one second carriage mounted upon said frame for movement relative thereto, said second carriage having features for supporting at least one article thereon; said at least one first carriage and said at least one second carriage being mounted so as to allow the at least one second carriage to move relative to the at least one first carriage to remove or reinstall articles relative to an article carrier supported by the at least one first carriage.
36. A semiconductor article transfer according to claim 35 wherein at least portions of said at least one second carriage is extendible through portions of said at least one first carriage to lift semiconductor articles from the article carrier.
37. A semiconductor article transfer according to claim 35 wherein there are a plurality of first and second carriages.
38. A semiconductor article transfer according to claim 35 and further comprising a lateral stage having movable portions upon which the at least one first carriage and the at least one second carriage are mounted to allow lateral movement thereof.
39. A semiconductor article transfer according to claim 35 and further comprising a lateral stage having movable portions upon which the at least one first carriage and the at least one second carriage are mounted to allow lateral movement thereof; wherein said at least one first carriage and said at least one second carriage are constructed to move the article carriers and semiconductor articles upwardly or downwardly.
40. A semiconductor processor for processing semiconductor articles, such as semiconductor panels, wafers, and substrates; comprising: an enclosure for providing a substantially enclosed work space therewithin, said enclosure having a longitudinal axis which extends between two opposing ends of the enclosure; an interface section located adjacent an interface end of the enclosure , said interface section including at least one interface port through which semiconductor articles are loaded or unloaded to or from the processor; at least one article inventory within the enclosure for holding semiconductor articles thereon; a plurality of processing stations; said processing stations having access openings which open to the work space to allow installation and removal of articles relative to said processing stations; said processing stations being arranged in an array extending approximately along the longitudinal axis; at least one conveyor for conveying said articles to and from said plurality of processing stations and said at least one article inventory.
41. A semiconductor article processing array handling apparatus according to claim 40 wherein the interface port is in an interface end wall of the enclosure.
42. A method for processing semiconductor articles, comprising: installing a plurality of semiconductor articles held on at least one article carrier into an enclosure forming a substantially enclosed work space; transferring the plurality of semiconductor articles from the at least one article carrier to a carrousel array; conveying semiconductor articles from the carrousel array using a wafer conveyor; installing said semiconductor articles into at least one processing station having an access opening which opens to the work space; processing said semiconductor articles within the processing station.
43. A method according to claim 42 and further comprising: removing said semiconductor articles from the at least one processing station; reconveying said semiconductor articles from the at least one processing station to the carrousel array.
44. A method according to claim 42 and further comprising inventorying the at least one article carrier on the carrousel after the semiconductor articles have been transferred therefrom.
45. A method according to claim 42 and further comprising: inventorying the at least one article carrier on the carrousel after the semiconductor articles have been transferred therefrom; reinstalling the semiconductor articles into the at least one article carrier which was inventoried on the carrousel.
46. A method for transferring semiconductor articles between an article carrier and a carrousel processing array, comprising: lifting the semiconductor articles from the article carrier; moving the lifted semiconductor articles into position above a carrousel; lowering the semiconductor articles onto the carrousel.
47. A method according to claim 46 and further comprising inventorying the at least one article carrier on the carrousel after the semiconductor articles have been transferred therefrom.
48. A method according to claim 46 and further comprising: inventorying the at least one article carrier on the carrousel after the semiconductor articles have been transferred therefrom; reinstalling the semiconductor articles into the at least one article carrier which was inventoried on the carrousel.
PCT/US1997/012331 1996-07-15 1997-07-15 Automated semiconductor processing systems WO1998002910A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10506255A JP2000514956A (en) 1996-07-15 1997-07-15 Automated semiconductor processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/680,463 US5664337A (en) 1996-03-26 1996-07-15 Automated semiconductor processing systems
US08/680,463 1996-07-15

Publications (1)

Publication Number Publication Date
WO1998002910A1 true WO1998002910A1 (en) 1998-01-22

Family

ID=24731224

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/012331 WO1998002910A1 (en) 1996-07-15 1997-07-15 Automated semiconductor processing systems

Country Status (2)

Country Link
JP (1) JP2000514956A (en)
WO (1) WO1998002910A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003043060A2 (en) * 2001-11-13 2003-05-22 Fsi International, Inc. Reduced footprint tool for automated processing of substrates
EP1460676A2 (en) * 2001-11-13 2004-09-22 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US6822413B2 (en) 2002-03-20 2004-11-23 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
EP0560439A1 (en) * 1992-03-10 1993-09-15 Advanced Semiconductor Materials International N.V. Device for treating microcircuit wafers
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
EP0560439A1 (en) * 1992-03-10 1993-09-15 Advanced Semiconductor Materials International N.V. Device for treating microcircuit wafers
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003043060A2 (en) * 2001-11-13 2003-05-22 Fsi International, Inc. Reduced footprint tool for automated processing of substrates
WO2003043060A3 (en) * 2001-11-13 2003-11-27 Fsi Int Inc Reduced footprint tool for automated processing of substrates
EP1460676A2 (en) * 2001-11-13 2004-09-22 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
EP1460676A3 (en) * 2001-11-13 2005-10-26 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US6979165B2 (en) 2001-11-13 2005-12-27 Fsi International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US7134827B2 (en) 2001-11-13 2006-11-14 Fsi International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
US6822413B2 (en) 2002-03-20 2004-11-23 Fsi International, Inc. Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector

Also Published As

Publication number Publication date
JP2000514956A (en) 2000-11-07

Similar Documents

Publication Publication Date Title
US5664337A (en) Automated semiconductor processing systems
US7080652B2 (en) Automated semiconductor processing systems
US5784797A (en) Carrierless centrifugal semiconductor processing system
US5788454A (en) Semiconductor wafer processing system
US5784802A (en) Semiconductor processing systems
US6723174B2 (en) Automated semiconductor processing system
KR102244137B1 (en) Semiconductor Wafer Handling And Transport
WO2000002675A1 (en) Automated semiconductor processing system
KR101022959B1 (en) Substrate processing apparatus
US6991710B2 (en) Apparatus for manually and automatically processing microelectronic workpieces
US6960257B2 (en) Semiconductor processing system with wafer container docking and loading station
EP0912994A1 (en) Modular semiconductor workpiece processing tool
US20030209404A1 (en) Automated processing system
US5836736A (en) Semiconductor processing system with wafer container docking and loading station
US6030208A (en) Thermal processor
US20090024244A1 (en) High throughput semiconductor wafer processing
WO1998002910A1 (en) Automated semiconductor processing systems
WO1998002912A1 (en) Interface apparatus for a semiconductor workpiece processing tool
WO1998002907A1 (en) Control system for a semiconductor workpiece processing tool

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase