WO1999033102A1 - An etch stop layer for dual damascene process - Google Patents

An etch stop layer for dual damascene process Download PDF

Info

Publication number
WO1999033102A1
WO1999033102A1 PCT/US1998/023888 US9823888W WO9933102A1 WO 1999033102 A1 WO1999033102 A1 WO 1999033102A1 US 9823888 W US9823888 W US 9823888W WO 9933102 A1 WO9933102 A1 WO 9933102A1
Authority
WO
WIPO (PCT)
Prior art keywords
etch stop
low
dielectric
define
dielectric film
Prior art date
Application number
PCT/US1998/023888
Other languages
French (fr)
Inventor
Sasson Somekh
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO1999033102A1 publication Critical patent/WO1999033102A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Definitions

  • the present invention relates generally to the fabrication of integrated circuits on substrates. More particularly, the invention relates to a new etch stop layer and a process for forming a dual damascene structure characterized by a low capacitance between interconnect lines.
  • conductive materials having low resistivity and low k (dielectric constant ⁇ 4.0) insulators to reduce the capacitive coupling between adjacent metal lines.
  • copper is now being considered as an interconnect material in place of aluminum because copper has a lower resistivity and higher current carrying capacity.
  • dielectric materials having a lower dielectric constant than that of silicon dioxide are being seriously considered for use in production devices.
  • fluorine-doped silicon dioxide also known as fluorine-doped silicon glass (FSG) (dielectric constant -3.5-3.7).
  • CMOS complementary metal-oxide-semiconductor
  • CMP chemical mechanical polishing
  • Figures la through lc illustrate one method used in the fabrication of a dual damascene structure using a single (thick) dielectric layer 10 formed on a substrate 12.
  • the dielectric layer 10 is patterned and etched using a timed etch process to define an interconnect line 20 as shown in Figure la.
  • the vertical interconnects 16 i.e., contacts/vias
  • Figure lb the lines
  • Figure lc the etched structure having contacts/vias 16 and interconnects 20 is filled with a conductive material and the upper surface is planarized.
  • the depth of the timed etch step is difficult lo control and the patterning of the contacts/vias in the interconnect trenches is also a difficult process to perform.
  • Figures 2a and 2b illustrate another method used to fabricate a dual damascene st ⁇ icture.
  • a single (thick) dielectric layer 10 is formed on a substrate 12 and the contacts/vias 16 are patterned and partially etched through the dielectric layer 10 using a timed etch process.
  • the interconnect lines 20 are then patterned and a second timed etch is conducted to form the trenches for the interconnects as shown in Figure 2b.
  • the contacts/vias 16 are also etched to an additional depth sufficient for the contacts/vias to extend vertically to their intended depth as shown by the dashed lines.
  • the timed etch steps again are difficult to control making this process less attractive for commercial production.
  • a third and more preferable method for fabricating a dual damascene structure uses a two-step dielectric deposition with an etch stop deposited therebetween as shown in Figure 3.
  • a first dielectric layer 10 is deposited on a substrate and then an etch stop 14 is deposited on the first dielectric layer.
  • the etch stop is then patterned to define the openings of the contacts/vias 16.
  • a second dielectric layer 18 is then deposited over the patterned etch stop and then patterned to define the interconnect lines 20.
  • a single etch process is then performed to define the interconnects down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias.
  • Silicon nitride has been the etch stop material of choice. However, the silicon nitride disposed between the dielectric layers is within the fringing field between the interconnects. Silicon nitride has a relatively high dielectric constant (dielectric constant -7) compared to the surrounding dielectric, and it has been discovered that the silicon nitride may significantly increase the capacitive coupling between interconnect lines, even when an otherwise low k dielectric material is used as the primary insulator. This may lead to cross talk and/or resistance-capacitance (RC) delay which degrades the overall performance of the device.
  • dielectric constant -7 dielectric constant -7
  • the present invention provides a process sequence and etch stop material which provides a reliable dual damascene structure while minimizing the contribution of the etch stop layer to the capacitive coupling between interconnect lines.
  • a low k dielectric film such as an amorphous carbon ( ⁇ -C) or amorphous fluorinated carbon ( ⁇ -FC) film is used as the etch stop below an intermetal dielectric (IMD).
  • IMD intermetal dielectric
  • Other low k materials such as parylene, AF 4 , BCB, PAE, oxynitride and silicon carbide may also be used.
  • a preferred process sequence of the invention comprises depositing a first dielectric layer, such as a fluorinated silicate glass (FSG) layer, on a substrate, depositing a low k dielectric etch stop, such as an ⁇ -FC layer, on the first dielectric layer, patterning the etch stop to define the contacts/vias, depositing a second layer of a dielectric, such as FSG, patterning a resist layer on the second layer of dielectric to define one or more interconnects, and etching the interconnects and contacts/vias.
  • the interconnects are etched down to the etch stop in the final etch step, and then the etching continues past the patterned etch stop to define the contacts/vias.
  • a barrier layer is preferably deposited conformably in the structure prior to filling the structure with copper to isolate the copper from other materials, such as silicon.
  • the upper surface is then planarized using chemical mechanical polishing techniques.
  • Figures l a-l c are cross sectional views showing a prior art dual damascene process
  • Figures 2a and 2b are cross sectional views showing a prior art dual damascene process
  • Figure 3 is a cross sectional view showing a dual damascene structure formed on a substrate
  • Figures 4a-4h are cross sectional views showing one embodiment of a deposition sequence of the present invention.
  • Figure 5 is a process sequence for deposition of the dual damascene structure of Figure 4h.
  • the present invention provides an improved dual damascene structure comprising a low k etch stop, preferably an amorphous, diamond-like carbon ( ⁇ -C) material.
  • Low k etch stop is defined herein as an etch stop having a dielectric constant equal to or lower than that of silicon nitride (dielectric constant -7.0).
  • Figure 4h A dual damascene structure fabricated in accordance with the invention is shown in Figure 4h and the method of making the structure is sequentially depicted schematically in Figures 4a-4h, which are cross sectional views of a substrate having the steps of the invention formed thereon.
  • Low k etch stop 14 is then pattern etched to define the contact/via openings 16 and to expose first dielectric layer 10 in the areas where the contacts/vias are to be formed as shown in Figure 4c.
  • low k etch stop 14 is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions.
  • a second dielectric layer 18 is deposited over etch stop 14 to a thickness of about 5,000 to about 10,000 A as shown in Figure 4d.
  • Second dielectric layer 18 is then patterned to define interconnect lines 20, preferably using conventional photolithography processes with a photoresist layer 22 as shown in Figure
  • interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in Figure 4f.
  • Any photoresist or other material used to pattern the etch stop 14 or the second dielectric layer 18 is removed using an oxygen strip or other suitable process.
  • the metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof.
  • a conductive material such as aluminum, copper, tungsten or combinations thereof.
  • the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 ⁇ -cm compared to 3.1 ⁇ -cm for aluminum).
  • a barrier layer 24 such as tantalum, tantalum nitride, or other suitable barrier is first deposited confomially in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper is deposited using either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in Figure 4h.
  • One embodiment of the invention contemplates the use of FSG as the intermetal dielectric, ⁇ -FC (dielectric constant -2.8) as the etch stop and copper as the metal to complete the dual damascene structure. This process will now be described in detail below. The process steps for the following embodiment are shown in Figure 5.
  • a substrate is introduced into a DxZ® chamber available from Applied Materials, Inc., Santa Clara, California.
  • a first blanket FSG layer of about 5000 A is deposited on the substrate by flowing TEOS, O 2 , and C 2 F 6 or other gases over the substrate surface.
  • An oxide barrier layer may be deposited on the FSG layer to inhibit migration of species between the FSG layer and the subsequent ⁇ -FC layer.
  • the substrate is moved to an Ultima"" HDP-CVD chamber, also available from Applied Materials, Inc. of Santa Clara, California, where a 500 ⁇ ⁇ -FC etch stop layer is formed on the first FSG layer.
  • the ⁇ -FC etch stop layer is deposited by flowing octafluorocyclobutane (C 4 F 8 ) and methane (CH 4 ) into the chamber at a rate of between about 20 seem and about 200 seem, preferably about 50 seem.
  • Argon, or other inert gas is flown into the chamber at a rate of from about 20 seem to about 100 seem.
  • a source power of 1000W and a bias power of 1000W are applied to the source coil and the substrate support member, respectively, to strike and maintain a high density plasma in the processing chamber.
  • the chamber pressure during deposition is preferably less than 10 mTorr. While the above described process is preferred, other processes and precursor gases such as CH , C 2 H 4 , C 2 H 6) C 2 H , C&H 6 , CF 4 , C F 6 , C Fg,
  • CHF 3 CHF 3
  • C 6 F 6 may also be used.
  • a photoresist layer is formed over the ⁇ -FC etch stop layer and exposed by conventional photolithography methods to define the contact/via openings.
  • the substrate is then moved to a dielectric etch chamber such as the IPS Chamber available from Applied Materials, Inc., of Santa Clara, California.
  • the ⁇ -FC etch stop layer is preferably etched anisotropically with a high density plasma of trifluoromethane (CHF ), O 2 , and Ar to define the contact/via openings through the ⁇ -FC etch stop layer.
  • CHF trifluoromethane
  • O 2 oxygen
  • Ar a high density plasma of trifluoromethane
  • Etching of the ⁇ -FC etch stop layer is preferably stopped prior to substantial etching of the underlying FSG layer to avoid over-etching. Endpoint detection between the ⁇ -FC film and the FSG layer is conveniently done by optical detection of the etch byproduct gases.
  • the remaining photoresist layer is then stripped using an O 2 plasma.
  • the substrate is then moved back into the DxZ ® chamber where a second 5,000 ⁇ FSG layer is formed on the patterned ⁇ -FC etch stop layer.
  • a second photoresist layer is then deposited on the second FSG layer and exposed by conventional photolithography methods to define the interconnect openings through the photoresist.
  • the substrate is then returned to the IPS chamber and the entire dual damascene structure is etched in a single step by exposing the substrate to a carbon rich, oxygen free, fluorine-carbon etching environment.
  • the FSG layers are etched anisotropically with a plasma of C F 8 or C F 6 and Ar so that the interconnects and contacts/vias are formed with relatively straight sidewalls and no under cuts.
  • Hydrogen can be added in small amounts to passivate the sidewalls.
  • a barrier layer of tantalum, tantalum nitride, combinations thereof, or other suitable barrier layer is formed over the patterned dual damascene structure and then the structure is filled with copper.
  • One method which can be used to fill the structure with copper provides filling the contacts/vias with copper using CVD techniques and then filling the remaining volume of the structure using PVD techniques.
  • CVD chemical mechanical polishing or other planarizing process prior to deposition of additional layers.
  • a copper dual damascene structure is formed having a low k etch stop 14 disposed between the interconnects 26.
  • the low k properties of the etch stop 14 prevent cross talk and increased RC delay between interconnects from adversely affecting the speed of the device.
  • Alternatives to ⁇ -C and ⁇ -FC etch stops include other carbon based materials.
  • carbon based materials that may be suitable etch stops for oxide intermetal dielectrics.
  • Such materials which also have dielectric constants lower than SiN would reduce the capacitive coupling between interconnect lines.
  • Such alternative carbon based films include parylene and related materials, such as parylene-N and AF , BCB spin-on, PAE, oxynitride and silicon carbide.

Abstract

The present invention provides a carbon based etch stop, such as a diamond like amorphous carbon, having a low dielectric constant and a method of forming a dual damascene structure. The low k etch stop is preferably deposited between two dielectric layers and patterned to define the underlying interlevel contacts/vias. The second or upper dielectric layer is formed over the etch stop and patterned to define the intralevel interconnects. The entire dual damascene structure is then etched in a single selective etch process which first etches the patterned interconnects, then etches the contacts/vias past the patterned etch stop. The etch stop has a low dielectric constant relative to a conventional SiN etch stop, which minimizes the capacitive coupling between adjacent interconnect lines. The dual damascene structure is then filled with a suitable conductive material such as aluminum or copper and planarized using chemical mechanical polishing.

Description

AN ETCH STOP LAYER FOR DUAL DAMASCENE PROCESS
BACKGROUND OF THE INVENTION Field of the Invention
The present invention relates generally to the fabrication of integrated circuits on substrates. More particularly, the invention relates to a new etch stop layer and a process for forming a dual damascene structure characterized by a low capacitance between interconnect lines.
Background of the Invention
Consistent and fairly predictable improvement in integrated circuit design and fabrication has been observed in the last decade. One key to successful improvements is the multilevel interconnect teclinology which provides the conductive paths between the devices of an integrated circuit (IC) device. The shrinking dimensions of horizontal interconnects (typically referred to as lines) and vertical interconnects (typically referred to as contacts or vias: contacts extend to a device on the underlying substrate while vias extend to an underlying metal layer such as Ml, M2, etc.) in very large scale integration (VLSI) and ultra large scale integration (ULSI) technology has increased the importance of reducing capacitive coupling between interconnect lines in particular. In order to further improve the speed of semiconductor devices on integrated circuits, it has become necessary to use conductive materials having low resistivity and low k (dielectric constant < 4.0) insulators to reduce the capacitive coupling between adjacent metal lines. For example, copper is now being considered as an interconnect material in place of aluminum because copper has a lower resistivity and higher current carrying capacity. Also, dielectric materials having a lower dielectric constant than that of silicon dioxide (dielectric constant -4.0) are being seriously considered for use in production devices. One example of these dielectric materials is fluorine-doped silicon dioxide also known as fluorine-doped silicon glass (FSG) (dielectric constant -3.5-3.7).
However, these materials present new problems for IC manufacturing processes. For example, because copper is difficult to etch in a precise pattern, traditional deposition/etch processes for forming interconnects has become unworkable, and accordingly, a process referred to as a dual damascene is being used to form copper interconnects. In a dual damascene process, the dielectric layer is etched to define both the contacts/vias and the interconnect lines. Metal is then inlaid into the defined pattern and any excess metal is removed from the top of the structure in a planarization process, such as chemical mechanical polishing (CMP).
Figures la through lc illustrate one method used in the fabrication of a dual damascene structure using a single (thick) dielectric layer 10 formed on a substrate 12. The dielectric layer 10 is patterned and etched using a timed etch process to define an interconnect line 20 as shown in Figure la. The vertical interconnects 16 (i.e., contacts/vias), are then patterned in the bottom of the lines (Figure lb) and etched to expose an underlying conductive or semiconductive layer such as a substrate 12 (Figure lc). The etched structure having contacts/vias 16 and interconnects 20 is filled with a conductive material and the upper surface is planarized. However, the depth of the timed etch step is difficult lo control and the patterning of the contacts/vias in the interconnect trenches is also a difficult process to perform.
Figures 2a and 2b illustrate another method used to fabricate a dual damascene stαicture. As shown in Figure 2a, a single (thick) dielectric layer 10 is formed on a substrate 12 and the contacts/vias 16 are patterned and partially etched through the dielectric layer 10 using a timed etch process. The interconnect lines 20 are then patterned and a second timed etch is conducted to form the trenches for the interconnects as shown in Figure 2b. During this second timed etch, the contacts/vias 16 are also etched to an additional depth sufficient for the contacts/vias to extend vertically to their intended depth as shown by the dashed lines. However, the timed etch steps again are difficult to control making this process less attractive for commercial production.
A third and more preferable method for fabricating a dual damascene structure uses a two-step dielectric deposition with an etch stop deposited therebetween as shown in Figure 3. A first dielectric layer 10 is deposited on a substrate and then an etch stop 14 is deposited on the first dielectric layer. The etch stop is then patterned to define the openings of the contacts/vias 16. A second dielectric layer 18 is then deposited over the patterned etch stop and then patterned to define the interconnect lines 20. A single etch process is then performed to define the interconnects down to the etch stop and to etch the unprotected dielectric exposed by the patterned etch stop to define the contacts/vias.
Silicon nitride has been the etch stop material of choice. However, the silicon nitride disposed between the dielectric layers is within the fringing field between the interconnects. Silicon nitride has a relatively high dielectric constant (dielectric constant -7) compared to the surrounding dielectric, and it has been discovered that the silicon nitride may significantly increase the capacitive coupling between interconnect lines, even when an otherwise low k dielectric material is used as the primary insulator. This may lead to cross talk and/or resistance-capacitance (RC) delay which degrades the overall performance of the device.
Therefore, there is a need for a process to form a dual damascene with decreased capacitive coupling between interconnects.
Summary of the Invention
The present invention provides a process sequence and etch stop material which provides a reliable dual damascene structure while minimizing the contribution of the etch stop layer to the capacitive coupling between interconnect lines. In one embodiment, a low k dielectric film, such as an amorphous carbon (α-C) or amorphous fluorinated carbon (α-FC) film is used as the etch stop below an intermetal dielectric (IMD). Other low k materials such as parylene, AF4, BCB, PAE, oxynitride and silicon carbide may also be used.
A preferred process sequence of the invention comprises depositing a first dielectric layer, such as a fluorinated silicate glass (FSG) layer, on a substrate, depositing a low k dielectric etch stop, such as an α-FC layer, on the first dielectric layer, patterning the etch stop to define the contacts/vias, depositing a second layer of a dielectric, such as FSG, patterning a resist layer on the second layer of dielectric to define one or more interconnects, and etching the interconnects and contacts/vias. The interconnects are etched down to the etch stop in the final etch step, and then the etching continues past the patterned etch stop to define the contacts/vias. Once the dual damascene structure has been formed, a barrier layer is preferably deposited conformably in the structure prior to filling the structure with copper to isolate the copper from other materials, such as silicon. The upper surface is then planarized using chemical mechanical polishing techniques.
Brief Description of the Drawings
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figures l a-l c are cross sectional views showing a prior art dual damascene process;
Figures 2a and 2b are cross sectional views showing a prior art dual damascene process;
Figure 3 is a cross sectional view showing a dual damascene structure formed on a substrate;
Figures 4a-4h are cross sectional views showing one embodiment of a deposition sequence of the present invention; and
Figure 5 is a process sequence for deposition of the dual damascene structure of Figure 4h.
Detailed Description of a Preferred Embodiment
The present invention provides an improved dual damascene structure comprising a low k etch stop, preferably an amorphous, diamond-like carbon (α-C) material. Low k etch stop is defined herein as an etch stop having a dielectric constant equal to or lower than that of silicon nitride (dielectric constant -7.0). A dual damascene structure fabricated in accordance with the invention is shown in Figure 4h and the method of making the structure is sequentially depicted schematically in Figures 4a-4h, which are cross sectional views of a substrate having the steps of the invention formed thereon.
As shown in Figure 4a, an initial first dielectric layer 10, such as FSG, silicon oxide, or the like, is deposited on the substrate 12 to a thickness of about 5,000 to about 10,000 A, depending on the size of the structure to be fabricated. As shown in Figure 4b, a low k etch stop 14, such as α-C, α-FC, parylene, AF4, BCB, PAE, oxynitride or silicon carbide, is then deposited on the first dielectric layer to a thickness of about 200 to about 1000 A. Low k etch stop 14 is then pattern etched to define the contact/via openings 16 and to expose first dielectric layer 10 in the areas where the contacts/vias are to be formed as shown in Figure 4c. Preferably, low k etch stop 14 is pattern etched using conventional photolithography and etch processes using fluorine, carbon, and oxygen ions. After low k etch stop 14 has been etched to pattern the contacts/vias and the photoresist has been removed, a second dielectric layer 18 is deposited over etch stop 14 to a thickness of about 5,000 to about 10,000 A as shown in Figure 4d. Second dielectric layer 18 is then patterned to define interconnect lines 20, preferably using conventional photolithography processes with a photoresist layer 22 as shown in Figure
4e. The interconnects and contacts/vias are then etched using reactive ion etching or other anisotropic etching techniques to define the metallization structure (i.e., the interconnect and contact/via) as shown in Figure 4f. Any photoresist or other material used to pattern the etch stop 14 or the second dielectric layer 18 is removed using an oxygen strip or other suitable process.
The metallization structure is then formed with a conductive material such as aluminum, copper, tungsten or combinations thereof. Presently, the trend is to use copper to form the smaller features due to the low resistivity of copper (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum). Preferably, as shown in Figure 4g, a barrier layer 24 such as tantalum, tantalum nitride, or other suitable barrier is first deposited confomially in the metallization pattern to prevent copper migration into the surrounding silicon and/or dielectric material. Thereafter, copper is deposited using either chemical vapor deposition, physical vapor deposition, electroplating, or combinations thereof to form the conductive structure. Once the structure has been filled with copper or other metal, the surface is planarized using chemical mechanical polishing, as shown in Figure 4h.
One embodiment of the invention contemplates the use of FSG as the intermetal dielectric, α-FC (dielectric constant -2.8) as the etch stop and copper as the metal to complete the dual damascene structure. This process will now be described in detail below. The process steps for the following embodiment are shown in Figure 5.
A substrate is introduced into a DxZ® chamber available from Applied Materials, Inc., Santa Clara, California. A first blanket FSG layer of about 5000 A is deposited on the substrate by flowing TEOS, O2, and C2F6 or other gases over the substrate surface.
An oxide barrier layer may be deposited on the FSG layer to inhibit migration of species between the FSG layer and the subsequent α-FC layer. Next, the substrate is moved to an Ultima"" HDP-CVD chamber, also available from Applied Materials, Inc. of Santa Clara, California, where a 500 Λ α-FC etch stop layer is formed on the first FSG layer. The α-FC etch stop layer is deposited by flowing octafluorocyclobutane (C4F8) and methane (CH4) into the chamber at a rate of between about 20 seem and about 200 seem, preferably about 50 seem. Argon, or other inert gas, is flown into the chamber at a rate of from about 20 seem to about 100 seem.
A source power of 1000W and a bias power of 1000W are applied to the source coil and the substrate support member, respectively, to strike and maintain a high density plasma in the processing chamber. The chamber pressure during deposition is preferably less than 10 mTorr. While the above described process is preferred, other processes and precursor gases such as CH , C2H4, C2H6) C2H , C&H6, CF4, C F6, C Fg,
CHF3, and C6F6 may also be used.
Next, a photoresist layer is formed over the α-FC etch stop layer and exposed by conventional photolithography methods to define the contact/via openings. The substrate is then moved to a dielectric etch chamber such as the IPS Chamber available from Applied Materials, Inc., of Santa Clara, California. The α-FC etch stop layer is preferably etched anisotropically with a high density plasma of trifluoromethane (CHF ), O2, and Ar to define the contact/via openings through the α-FC etch stop layer. Etching of the α-FC etch stop layer is preferably stopped prior to substantial etching of the underlying FSG layer to avoid over-etching. Endpoint detection between the α-FC film and the FSG layer is conveniently done by optical detection of the etch byproduct gases. The remaining photoresist layer is then stripped using an O2 plasma.
The substrate is then moved back into the DxZ® chamber where a second 5,000 Λ FSG layer is formed on the patterned α-FC etch stop layer. A second photoresist layer is then deposited on the second FSG layer and exposed by conventional photolithography methods to define the interconnect openings through the photoresist. The substrate is then returned to the IPS chamber and the entire dual damascene structure is etched in a single step by exposing the substrate to a carbon rich, oxygen free, fluorine-carbon etching environment. Preferably, the FSG layers are etched anisotropically with a plasma of C F8 or C F6 and Ar so that the interconnects and contacts/vias are formed with relatively straight sidewalls and no under cuts. Hydrogen can be added in small amounts to passivate the sidewalls. Once the interconnects are etched down to the patterned α-FC film, etching is substantially confined to the patterned contacts/vias. Endpoint detection after etching through both FSG layers is conveniently done by optical detection of the etch byproduct gases. After etching of the interconnect is complete, the remaining resist layer is stripped using an O2 plasma.
Preferably, a barrier layer of tantalum, tantalum nitride, combinations thereof, or other suitable barrier layer is formed over the patterned dual damascene structure and then the structure is filled with copper. One method which can be used to fill the structure with copper provides filling the contacts/vias with copper using CVD techniques and then filling the remaining volume of the structure using PVD techniques. However, any suitably filling process such as CVD, PVD (including high density plasma PVD), electroplating or combinations thereof may be used. The metal layer is then planarized by chemical mechanical polishing or other planarizing process prior to deposition of additional layers.
As shown in Figure 4h, a copper dual damascene structure is formed having a low k etch stop 14 disposed between the interconnects 26. The low k properties of the etch stop 14 prevent cross talk and increased RC delay between interconnects from adversely affecting the speed of the device.
* Alternatives to α-C and α-FC etch stops include other carbon based materials. In particular, there are a number of carbon based materials that may be suitable etch stops for oxide intermetal dielectrics. Such materials which also have dielectric constants lower than SiN would reduce the capacitive coupling between interconnect lines. Such alternative carbon based films include parylene and related materials, such as parylene-N and AF , BCB spin-on, PAE, oxynitride and silicon carbide.
While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is deteπnined by the claims which follow.

Claims

Claims:
1. A method of forming a dual damascene structure, comprising: depositing a first dielectric film on a substrate; depositing a low k etch stop on the first dielectric film; pattern etching the low k etch stop to define a vertical interconnect opening and expose the first dielectric film; depositing a second dielectric film on the low k etch stop and the exposed first dielectric film; and pattern etching the second dielectric film to define a horizontal interconnect and continuing lo etch the exposed first dielectric film lo define the vertical interconnect.
2. The method of claim 1 wherein the low k etch stop is selected from a group comprising α-FC, α-C, parylene, AF , BCB, PAE, oxynitride, silicon carbide and combinations thereof.
3. The method of claim 1 wherein the dielectric layer is comprised of fluorine doped silicon glass and the low k etch stop is comprised of α-FC.
4. The method of claim 3 further comprising depositing an oxide barrier layer before and after deposition of the low k etch stop.
5. The method of claim 1 wherein etching the horizontal and vertical interconnects is a single step etch process.
6. The method of claim 1 wherein the low k etch stop is α-FC.
7. The method of claim 6 wherein the α-FC etch stop is deposited from gases selected from the group comprising CH4, C2H4, C2H6, C2H2, C6H6, CF4, C2F6, C F8, C4F8, CHF3, and combinations thereof.
8. The method of claim 6 wherein the α-FC etch stop is deposited from gases comprising C4F8 and CH .
9. A method of forming a dual damascene structure, comprising: depositing a first dielectric film on a substrate; depositing a low k etch stop on the first dielectric film; depositing a first photoresist layer on the low k dielectric etch stop; patterning the fTrst photoresist layer to define one or more vertical interconnect openings; pattern etching the low k dielectric etch stop to define the one or more vertical interconnect openings and expose the first dielectric film; stripping the first photoresist layer with an oxygen plasma; depositing a second dielectric film on the low k dielectric etch stop and the exposed first dielectric film; depositing a second photoresist layer on the second dielectric film; patterning the second photoresist layer to define one or more horizontal interconnects; pattern etching the second dielectric film to define the one or more horizontal interconnects and continuing to etch the first dielectric film to define the one or more vertical interconnects.
10. The method of claim 9 wherein the low k etch stop is selected from a group comprising α-FC, α-C, parylene, AF4, BCB, PAE, oxynitride, silicon carbide and combinations thereof.
1 1. The method of claim 9 wherein the dielectric layer is comprised of fluorine doped silicon glass and the low k etch stop is comprised of α-FC.
12. The method of claim 1 1 further comprising depositing an oxide barrier layer before and after deposition of the low k etch stop.
13. The method of claim 9 wherein etching the horizontal and vertical interconnects is a single step etch process.
14. The method of claim 9 wherein the low k etch stop is α-FC.
15. The method of claim 14 wherein the α-FC etch stop is deposited from gases selected from the group comprising CH , C2H ) C2H6, C2H2, C6H6j CF , C2F6, C F8, C4F8, CHF , and combinations thereof.
16. The method of claim 14 wherein the α-FC etch stop is deposited from gases comprising C4F8 and CH4.
17. A dual damascene structure, comprising: a) a first low k dielectric layer defining one or more vertical interconnects; b) a low k patterned etch stop overlying the first low k dielectric layer and patterned to define the one or more vertical interconnects; c) a second low k dielectric layer overlying the patterned low k etch stop and defining one or more horizontal interconnects; and d) wherein the low k patterned etch stop layer comprises a material having a dielectric constant at least equal to or lower than that of the first or second dielectric layer.
18. The structure of claim 17 wherein the low k etch stop comprises amorphous carbon.
19. The structure of claim 18 wherein the first and second dielectric layers are comprised of a material selected from fluorine doped silicon oxide, silicon oxide or combinations thereof.
20. The structure of claim 17 wherein the low k etch stop comprises a material selected from α-FC, α-C, parylene, AF , BCB, PAE, oxynitride, silicon carbide and combinations thereof.
21. The structure of claim 19 wherein the one or more vertical interconnects and the one or more horizontal interconnects are comprised of a metal selected from aluminum, copper, tungsten or combinations thereof.
22. The structure of claim 21 further comprising a barrier layer disposed between the low k dielectrics and the metal.
23. The structure of claim 22 wherein the barrier layer is comprised of tantalum, tantalum nitride, titanium, titanium nitride, silicon nitride or combinations thereof.
24. An etch stop comprised of a carbon based material having a dielectric constant less than
7.
25. The etch stop of claim 24 wherein the carbon based material is selected from the group comprising α-FC, α-C, parylene, AF4, BCB, PAE, oxynitride, silicon carbide and combinations thereof.
26. A carbon based film having a dielectric constant less than SiN used as an etch stop below a silicon based intermetal dielectric.
27. A carbon based film having a dielectric constant less than SiN used as an etch stop below a silicon based intermetal dielectric in a dual damascene structure.
PCT/US1998/023888 1997-12-19 1998-11-10 An etch stop layer for dual damascene process WO1999033102A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/995,029 US6291334B1 (en) 1997-12-19 1997-12-19 Etch stop layer for dual damascene process
US08/995,029 1997-12-19

Publications (1)

Publication Number Publication Date
WO1999033102A1 true WO1999033102A1 (en) 1999-07-01

Family

ID=25541321

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/023888 WO1999033102A1 (en) 1997-12-19 1998-11-10 An etch stop layer for dual damascene process

Country Status (3)

Country Link
US (1) US6291334B1 (en)
TW (1) TW416122B (en)
WO (1) WO1999033102A1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019508A1 (en) * 1998-10-01 2000-04-06 Applied Materials, Inc. Silicon carbide deposition method and use as a barrier layer and passivation layer
GB2344464A (en) * 1998-12-04 2000-06-07 Nec Corp Copper interconnects
WO2001015219A2 (en) * 1999-08-25 2001-03-01 Infineon Technologies Ag Method for producing an integrated circuit having at least one metalicized surface
EP1096038A1 (en) 1999-11-01 2001-05-02 Applied Materials, Inc. Method and apparatus for depositing a film
GB2356974A (en) * 1999-08-30 2001-06-06 Lucent Technologies Inc Process for manufacturing a dual damascene structure for an integrated circuit using an etch stop layer
GB2356973A (en) * 1999-08-30 2001-06-06 Lucent Technologies Inc Process for manufacturing a dual damascene structure for an integrated circuit using an etch stop layer
WO2001059834A1 (en) * 2000-02-09 2001-08-16 Infineon Technologies North America Corp. Self-aligned dual damascene etch using a polymer
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
GB2361808A (en) * 2000-01-19 2001-10-31 Trikon Holdings Ltd Low k films for semiconductor devices
WO2002023625A2 (en) * 2000-09-11 2002-03-21 Tokyo Electron Limited Semiconductor device and fabrication method therefor
DE10042932A1 (en) * 2000-08-31 2002-03-28 Infineon Technologies Ag CMP process used in the manufacture of electronic components comprises using an auxiliary layer between the dielectric and liner in a region surrounding structures
US6388330B1 (en) * 2001-02-01 2002-05-14 Advanced Micro Devices, Inc. Low dielectric constant etch stop layers in integrated circuit interconnects
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
EP1276146A2 (en) * 2001-07-09 2003-01-15 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
WO2003015130A2 (en) * 2001-08-06 2003-02-20 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
GB2389963A (en) * 1998-12-04 2003-12-24 Nec Electronics Corp Semiconductor device and method of manufacture
US6737747B2 (en) 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6750141B2 (en) 2001-03-28 2004-06-15 Applied Materials Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
US6887783B2 (en) 2002-01-15 2005-05-03 International Business Machines Corporation Bilayer HDP CVD/PE CVD cap in advance BEOL interconnect structures and method thereof
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US7138717B2 (en) 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US11177170B2 (en) 2020-01-16 2021-11-16 International Business Machines Corporation Removal of barrier and liner layers from a bottom of a via

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP2000077410A (en) * 1998-08-27 2000-03-14 Tokyo Ohka Kogyo Co Ltd Forming method of multilayer interconnection structure
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US7378740B2 (en) * 1998-12-01 2008-05-27 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
FR2798512B1 (en) * 1999-09-14 2001-10-19 Commissariat Energie Atomique PROCESS FOR MAKING A COPPER CONNECTION THROUGH A DIELECTRIC MATERIAL LAYER OF AN INTEGRATED CIRCUIT
US6348395B1 (en) * 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
JP2002194547A (en) * 2000-06-08 2002-07-10 Applied Materials Inc Method of depositing amorphous carbon layer
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6441490B1 (en) * 2000-12-18 2002-08-27 Advanced Micro Devices, Inc. Low dielectric constant stop layer for integrated circuit interconnects
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6524973B2 (en) * 2001-03-13 2003-02-25 United Microelectronics Corp. Method for forming low dielectric constant layer
US6576545B1 (en) * 2001-03-29 2003-06-10 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US6511920B2 (en) * 2001-06-14 2003-01-28 Applied Materials, Inc. Optical marker layer for etch endpoint determination
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP2003109943A (en) * 2001-09-28 2003-04-11 Mitsubishi Electric Corp Pattern formation method
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6806203B2 (en) 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6706637B2 (en) * 2002-05-09 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer
US6888251B2 (en) * 2002-07-01 2005-05-03 International Business Machines Corporation Metal spacer in single and dual damascene processing
US6800938B2 (en) * 2002-08-08 2004-10-05 International Business Machines Corporation Semiconductor device having amorphous barrier layer for copper metallurgy
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4202091B2 (en) * 2002-11-05 2008-12-24 株式会社半導体エネルギー研究所 Method for manufacturing active matrix liquid crystal display device
US20040121604A1 (en) * 2002-12-18 2004-06-24 Chun-Feng Nieh Method of etching a low-k dielectric layer
US6905964B2 (en) 2003-01-09 2005-06-14 Chartered Semiconductor Manufacturing Ltd. Method of fabricating self-aligned metal barriers by atomic layer deposition on the copper layer
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
KR100588904B1 (en) 2003-12-31 2006-06-09 동부일렉트로닉스 주식회사 Method for fabricating copper interconnect
US20050184288A1 (en) * 2004-02-25 2005-08-25 Tien-I Bao Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US7732326B2 (en) 2004-02-25 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7298872B2 (en) * 2004-08-17 2007-11-20 Shawn Glisson Electronic identification system for form location, organization, and endorsment
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
KR100739975B1 (en) * 2005-12-20 2007-07-16 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US20070200179A1 (en) * 2006-02-24 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) * 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
CN103187359B (en) * 2011-12-29 2015-07-08 中芯国际集成电路制造(上海)有限公司 Forming method of metal interconnecting wire
US10366988B2 (en) 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
CN107887323B (en) 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 Interconnect structure and method of making the same
CN108231659B (en) * 2016-12-15 2020-07-07 中芯国际集成电路制造(北京)有限公司 Interconnect structure and method of making the same
US10741495B2 (en) 2018-01-18 2020-08-11 Globalfoundries Inc. Structure and method to reduce shorts and contact resistance in semiconductor devices
US11842897B2 (en) * 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
US11164777B2 (en) 2020-01-15 2021-11-02 International Business Machines Corporation Top via with damascene line and via
US11232977B2 (en) 2020-02-11 2022-01-25 International Business Machines Corporation Stepped top via for via resistance reduction
US11177166B2 (en) 2020-04-17 2021-11-16 International Business Machines Corporation Etch stop layer removal for capacitance reduction in damascene top via integration
US11189568B2 (en) 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11295978B2 (en) 2020-04-29 2022-04-05 International Business Machines Corporation Interconnects having spacers for improved top via critical dimension and overlay tolerance
US11804406B2 (en) 2021-07-23 2023-10-31 International Business Machines Corporation Top via cut fill process for line extension reduction
US11869808B2 (en) 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0224013A2 (en) * 1985-10-28 1987-06-03 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate
EP0696819A1 (en) * 1994-07-12 1996-02-14 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
EP0768388A2 (en) * 1995-10-12 1997-04-16 Nec Corporation Method and apparatus for forming amorphous carbon film

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5087959A (en) * 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
US5679267A (en) * 1994-04-04 1997-10-21 Texas Instruments Incorporated Dual etching of ceramic materials with an elevated thin film
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6057227A (en) * 1997-06-23 2000-05-02 Vlsi Technology, Inc. Oxide etch stop techniques for uniform damascene trench depth
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6127263A (en) * 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0224013A2 (en) * 1985-10-28 1987-06-03 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate
EP0696819A1 (en) * 1994-07-12 1996-02-14 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
EP0768388A2 (en) * 1995-10-12 1997-04-16 Nec Corporation Method and apparatus for forming amorphous carbon film

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"DAMASCENE: OPTIMIZED ETCH STOP STRUCTURE AND METHOD", IBM TECHNICAL DISCLOSURE BULLETIN, vol. 36, no. 11, 1 November 1993 (1993-11-01), pages 649, XP000424980 *

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019508A1 (en) * 1998-10-01 2000-04-06 Applied Materials, Inc. Silicon carbide deposition method and use as a barrier layer and passivation layer
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6951826B2 (en) 1998-10-01 2005-10-04 Applied Materials, Inc. Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
GB2344464A (en) * 1998-12-04 2000-06-07 Nec Corp Copper interconnects
GB2389963A (en) * 1998-12-04 2003-12-24 Nec Electronics Corp Semiconductor device and method of manufacture
GB2344464B (en) * 1998-12-04 2004-02-25 Nec Corp Semiconductor device and manufacturing method thereof
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
WO2001015219A2 (en) * 1999-08-25 2001-03-01 Infineon Technologies Ag Method for producing an integrated circuit having at least one metalicized surface
WO2001015219A3 (en) * 1999-08-25 2001-07-19 Infineon Technologies Ag Method for producing an integrated circuit having at least one metalicized surface
US6930052B2 (en) 1999-08-25 2005-08-16 Infineon Technologies Ag Method for producing an integrated circuit having at least one metalicized surface
US6365327B1 (en) 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
GB2356973A (en) * 1999-08-30 2001-06-06 Lucent Technologies Inc Process for manufacturing a dual damascene structure for an integrated circuit using an etch stop layer
GB2356974A (en) * 1999-08-30 2001-06-06 Lucent Technologies Inc Process for manufacturing a dual damascene structure for an integrated circuit using an etch stop layer
GB2356973B (en) * 1999-08-30 2003-02-19 Lucent Technologies Inc A process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6297149B1 (en) * 1999-10-05 2001-10-02 International Business Machines Corporation Methods for forming metal interconnects
US6713390B2 (en) 1999-11-01 2004-03-30 Applied Materials Inc. Barrier layer deposition using HDP-CVD
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
EP1096038A1 (en) 1999-11-01 2001-05-02 Applied Materials, Inc. Method and apparatus for depositing a film
US6627535B2 (en) 2000-01-19 2003-09-30 Trikon Holdings Ltd. Methods and apparatus for forming a film on a substrate
GB2361808B (en) * 2000-01-19 2004-08-11 Trikon Holdings Ltd Methods and apparatus for forming a film on a substrate
GB2361808A (en) * 2000-01-19 2001-10-31 Trikon Holdings Ltd Low k films for semiconductor devices
WO2001059834A1 (en) * 2000-02-09 2001-08-16 Infineon Technologies North America Corp. Self-aligned dual damascene etch using a polymer
US6841341B2 (en) 2000-02-17 2005-01-11 Applied Materials, Inc. Method of depositing an amorphous carbon layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6821894B2 (en) 2000-08-31 2004-11-23 Infineon Technologies Ag CMP process
DE10042932C2 (en) * 2000-08-31 2002-08-29 Infineon Technologies Ag Process for producing a metal contact in a dielectric
DE10042932A1 (en) * 2000-08-31 2002-03-28 Infineon Technologies Ag CMP process used in the manufacture of electronic components comprises using an auxiliary layer between the dielectric and liner in a region surrounding structures
US6949829B2 (en) * 2000-09-11 2005-09-27 Tokyo Electron Limited Semiconductor device and fabrication method therefor
WO2002023625A2 (en) * 2000-09-11 2002-03-21 Tokyo Electron Limited Semiconductor device and fabrication method therefor
EP1777739A2 (en) * 2000-09-11 2007-04-25 Tokyo Electron Limited Semiconductor device and fabrication method therefor
EP1777739A3 (en) * 2000-09-11 2008-09-17 Tokyo Electron Limited Semiconductor device and fabrication method therefor
WO2002023625A3 (en) * 2000-09-11 2003-03-06 Tokyo Electron Ltd Semiconductor device and fabrication method therefor
US6388330B1 (en) * 2001-02-01 2002-05-14 Advanced Micro Devices, Inc. Low dielectric constant etch stop layers in integrated circuit interconnects
US6750141B2 (en) 2001-03-28 2004-06-15 Applied Materials Inc. Silicon carbide cap layers for low dielectric constant silicon oxide layers
EP1276146A3 (en) * 2001-07-09 2003-06-11 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
EP1276146A2 (en) * 2001-07-09 2003-01-15 Texas Instruments Incorporated Damascene cap layer process for integrated circuit interconnects
WO2003015130A3 (en) * 2001-08-06 2003-08-14 Applied Materials Inc Integrated system for oxide etching and metal liner deposition
WO2003015130A2 (en) * 2001-08-06 2003-02-20 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6737747B2 (en) 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6939797B2 (en) 2002-01-15 2005-09-06 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6914320B2 (en) 2002-01-15 2005-07-05 International Business Machines Corporation Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
US6887783B2 (en) 2002-01-15 2005-05-03 International Business Machines Corporation Bilayer HDP CVD/PE CVD cap in advance BEOL interconnect structures and method thereof
US7138717B2 (en) 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US7372158B2 (en) 2004-12-01 2008-05-13 International Business Machines Corporation HDP-based ILD capping layer
US11177170B2 (en) 2020-01-16 2021-11-16 International Business Machines Corporation Removal of barrier and liner layers from a bottom of a via
US11735475B2 (en) 2020-01-16 2023-08-22 International Business Machines Corporation Removal of barrier and liner layers from a bottom of a via

Also Published As

Publication number Publication date
US6291334B1 (en) 2001-09-18
TW416122B (en) 2000-12-21

Similar Documents

Publication Publication Date Title
US6291334B1 (en) Etch stop layer for dual damascene process
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7723226B2 (en) Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio
US6806203B2 (en) Method of forming a dual damascene structure using an amorphous silicon hard mask
US5801094A (en) Dual damascene process
US6127258A (en) Method for forming a semiconductor device
US6342448B1 (en) Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
US6465888B2 (en) Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6905968B2 (en) Process for selectively etching dielectric layers
US7501339B2 (en) Methods for making dual-damascene dielectric structures
US6689695B1 (en) Multi-purpose composite mask for dual damascene patterning
US9245792B2 (en) Method for forming interconnect structures
US6472306B1 (en) Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US6756321B2 (en) Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US6265319B1 (en) Dual damascene method employing spin-on polymer (SOP) etch stop layer
US6570257B2 (en) IMD film composition for dual damascene process
US20030203652A1 (en) Method for forming a carbon doped oxide low-k insulating layer
US20030216026A1 (en) Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
KR100338477B1 (en) Process for producing a semiconductor device
US6297149B1 (en) Methods for forming metal interconnects
JP2002525840A (en) In situ integrated oxide etching process especially useful for copper dual damascene
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
US6620727B2 (en) Aluminum hardmask for dielectric etch

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
NENP Non-entry into the national phase

Ref country code: KR

122 Ep: pct application non-entry in european phase