WO1999052049A3 - Method of designing a constraint-driven integrated circuit layout - Google Patents

Method of designing a constraint-driven integrated circuit layout Download PDF

Info

Publication number
WO1999052049A3
WO1999052049A3 PCT/US1999/007303 US9907303W WO9952049A3 WO 1999052049 A3 WO1999052049 A3 WO 1999052049A3 US 9907303 W US9907303 W US 9907303W WO 9952049 A3 WO9952049 A3 WO 9952049A3
Authority
WO
WIPO (PCT)
Prior art keywords
integrated circuit
circuit layout
cells
designing
constraint
Prior art date
Application number
PCT/US1999/007303
Other languages
French (fr)
Other versions
WO1999052049A2 (en
Inventor
Patrick R Groeneveld
Ginneken Lukas P P P Van
Original Assignee
Magma Design Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Magma Design Automation Inc filed Critical Magma Design Automation Inc
Priority to AU37424/99A priority Critical patent/AU3742499A/en
Publication of WO1999052049A2 publication Critical patent/WO1999052049A2/en
Publication of WO1999052049A3 publication Critical patent/WO1999052049A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3953Routing detailed

Abstract

An automated method for designing an integrated circuit layout with a computer, based upon an electronic circuit description and upon a selected plurality of cells from a cell library, comprising the steps of (a) assigning each of the cells to one of a plurality of buckets designated on the integrated circuit layout, each of the cells being connected to one of the other cells; (b) performing global routing to connect at least some of the selected cells of step (a) together such that global routes are formed to provide net topology information; (c) performing track routing which sets the position of each of the global routes; (d) performing detailed placement such that the positions of all selected cells are fixed within each of the buckets designated on the integrated circuit layout; and (e) performing detailed routing such that detailed routes are formed to complete the integrated circuit layout.
PCT/US1999/007303 1998-04-02 1999-04-02 Method of designing a constraint-driven integrated circuit layout WO1999052049A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU37424/99A AU3742499A (en) 1998-04-02 1999-04-02 Method of designing a constraint-driven integrated circuit layout

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/054,319 US6230304B1 (en) 1997-12-24 1998-04-02 Method of designing a constraint-driven integrated circuit layout
US09/054,319 1998-04-02

Publications (2)

Publication Number Publication Date
WO1999052049A2 WO1999052049A2 (en) 1999-10-14
WO1999052049A3 true WO1999052049A3 (en) 1999-11-18

Family

ID=21990242

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/007303 WO1999052049A2 (en) 1998-04-02 1999-04-02 Method of designing a constraint-driven integrated circuit layout

Country Status (3)

Country Link
US (1) US6230304B1 (en)
AU (1) AU3742499A (en)
WO (1) WO1999052049A2 (en)

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6519749B1 (en) * 1998-01-09 2003-02-11 Silicon Perspective Corporation Integrated circuit partitioning placement and routing system
US6286128B1 (en) 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
JP3530025B2 (en) * 1998-06-16 2004-05-24 富士通株式会社 Schematic wiring determination method and storage medium
US7071952B1 (en) * 1998-11-30 2006-07-04 Actcon Control Ab Method for inserting objects into a working area in a computer application
US6543041B1 (en) * 1999-06-15 2003-04-01 Cadence Design Systems, Inc. Method and apparatus for reducing signal integrity and reliability problems in ICS through netlist changes during placement
JP2001024153A (en) * 1999-07-06 2001-01-26 Mitsubishi Electric Corp Method for cell layout in integrated circuit device
US6507944B1 (en) * 1999-07-30 2003-01-14 Fujitsu Limited Data processing method and apparatus, reticle mask, exposing method and apparatus, and recording medium
US7036103B2 (en) * 1999-10-14 2006-04-25 Synopsys, Inc. Detailed placer for optimizing high density cell placement in a linear runtime
US6507938B1 (en) * 1999-11-12 2003-01-14 Intel Corporation Methods for improving the performance of VLSI layouts designed by a timing driven physical design tool
WO2001039249A2 (en) * 1999-11-29 2001-05-31 Cellot Inc. Universal hardware device and method and tools for use therewith
US7185293B1 (en) 1999-11-29 2007-02-27 Cellot, Inc. Universal hardware device and method and tools for use therewith
US6442745B1 (en) * 1999-12-29 2002-08-27 Intel Corporation Method and apparatus for layout-constrained global routing
US6532580B1 (en) * 2000-02-18 2003-03-11 Hewlett-Packard Company In-place method for inserting repeater buffers in an integrated circuit
US6397375B1 (en) * 2000-02-18 2002-05-28 Hewlett-Packard Company Method for managing metal resources for over-the-block routing in integrated circuits
US6601226B1 (en) * 2000-03-14 2003-07-29 Synopsys, Inc. Tightloop method of timing driven placement
US6467074B1 (en) 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6473887B1 (en) * 2000-04-27 2002-10-29 International Business Machines Corporation Inclusion of global wires in capacitance extraction
US6484298B1 (en) * 2000-05-18 2002-11-19 Xilinx, Inc. Method and apparatus for automatic timing-driven implementation of a circuit design
US6415426B1 (en) * 2000-06-02 2002-07-02 Incentia Design Systems, Inc. Dynamic weighting and/or target zone analysis in timing driven placement of cells of an integrated circuit design
US6539533B1 (en) * 2000-06-20 2003-03-25 Bae Systems Information And Electronic Systems Integration, Inc. Tool suite for the rapid development of advanced standard cell libraries
US6775808B1 (en) * 2000-08-03 2004-08-10 Monterey Design Systems, Inc. Method and apparatus for generating sign-off prototypes for the design and fabrication of integrated circuits
US7013438B1 (en) * 2000-11-01 2006-03-14 Cadence Design Systems, Inc. System chip synthesis
US7010767B2 (en) * 2000-11-01 2006-03-07 Cadence Design Systems, Inc. Insertion of repeaters without timing constraints
US6857116B1 (en) * 2000-11-15 2005-02-15 Reshape, Inc. Optimization of abutted-pin hierarchical physical design
US7036101B2 (en) * 2001-02-26 2006-04-25 Cadence Design Systems, Inc. Method and apparatus for scalable interconnect solution
US6519747B2 (en) * 2001-04-18 2003-02-11 Sun Microsystems, Inc. Method and apparatus for defining signal timing for an integrated circuit device
US6588000B2 (en) * 2001-08-09 2003-07-01 International Business Machines Corporation Method of partitioning large transistor design to facilitate transistor level timing
US6782520B1 (en) * 2001-08-13 2004-08-24 Cadence Design Systems, Inc. IC layout system having separate trial and detailed routing phases
JP4597441B2 (en) * 2001-09-14 2010-12-15 ルネサスエレクトロニクス株式会社 Analog cell layout design equipment
US6694502B2 (en) 2001-11-02 2004-02-17 Sun Microsystems, Inc. Data structure for fine-grid multi-level VLSI routing and method for storing the data structure in a computer readable medium
US6766500B1 (en) * 2001-12-06 2004-07-20 Synopsys, Inc. Multiple pass optimization for automatic electronic circuit placement
US6836874B2 (en) * 2002-06-26 2004-12-28 Agilent Technologies, Inc. Systems and methods for time-budgeting a complex hierarchical integrated circuit
US7139987B2 (en) * 2002-07-11 2006-11-21 Cadence Design Systems, Inc. Analog integrated circuit layout design
US6804811B2 (en) * 2002-09-25 2004-10-12 Lsi Logic Corporation Process for layout of memory matrices in integrated circuits
JP4279782B2 (en) * 2002-10-10 2009-06-17 富士通株式会社 Layout method and apparatus, program thereof and recording medium
US7116840B2 (en) 2002-10-31 2006-10-03 Microsoft Corporation Decoding and error correction in 2-D arrays
US7133563B2 (en) * 2002-10-31 2006-11-07 Microsoft Corporation Passive embedded interaction code
US6907588B2 (en) * 2002-12-31 2005-06-14 Lsi Logic Corporation Congestion estimation for register transfer level code
US7823112B1 (en) 2003-05-30 2010-10-26 Golden Gate Technology, Inc. Method, software and system for ensuring timing between clocked components in a circuit
US20050081175A1 (en) * 2003-10-10 2005-04-14 Scott William Franson Method for discrete gate sizing in a netlist
US7103865B2 (en) * 2003-11-21 2006-09-05 Lsi Logic Corporation Process and apparatus for placement of megacells in ICs design
US7356784B1 (en) 2003-12-05 2008-04-08 Cadence Design Systems, Inc. Integrated synthesis placement and routing for integrated circuits
US7583842B2 (en) * 2004-01-06 2009-09-01 Microsoft Corporation Enhanced approach of m-array decoding and error correction
US7263224B2 (en) * 2004-01-16 2007-08-28 Microsoft Corporation Strokes localization by m-array decoding and fast image matching
US20050210428A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for flattening hierarchical designs in VLSI circuit analysis tools
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7784010B1 (en) * 2004-06-01 2010-08-24 Pulsic Limited Automatic routing system with variable width interconnect
US7360193B1 (en) 2004-09-21 2008-04-15 Golden Gate Technology, Inc. Method for circuit block placement and circuit block arrangement based on switching activity
US7260804B1 (en) 2004-09-21 2007-08-21 Golden Gate Technology, Inc. Method for circuit block routing based on switching activity
US8015533B1 (en) 2004-09-21 2011-09-06 Golden Gate Technology, Inc. Method for matching timing on high fanout signal paths using routing guides
US7730437B1 (en) * 2004-10-27 2010-06-01 Cypress Semiconductor Corporation Method of full semiconductor chip timing closure
US7254788B2 (en) * 2004-10-29 2007-08-07 Synopsys, Inc. Nonlinear driver model for multi-driver systems
JP4377342B2 (en) * 2005-01-18 2009-12-02 Necエレクトロニクス株式会社 Semiconductor integrated circuit, layout method, layout apparatus, and layout program
US7607076B2 (en) 2005-02-18 2009-10-20 Microsoft Corporation Embedded interaction code document
US7826074B1 (en) 2005-02-25 2010-11-02 Microsoft Corporation Fast embedded interaction code printing with custom postscript commands
US7992122B1 (en) * 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US8510703B1 (en) * 2005-04-01 2013-08-13 Cadence Design Systems, Inc. Method and mechanism for implementing PCB routing
US7421439B2 (en) 2005-04-22 2008-09-02 Microsoft Corporation Global metadata embedding and decoding
US7599560B2 (en) 2005-04-22 2009-10-06 Microsoft Corporation Embedded interaction code recognition
US7400777B2 (en) 2005-05-25 2008-07-15 Microsoft Corporation Preprocessing for information pattern analysis
US7421663B2 (en) * 2005-05-27 2008-09-02 Xerox Corporation Graphical user interface design for multiple settings/values related to an item
US7729539B2 (en) * 2005-05-31 2010-06-01 Microsoft Corporation Fast error-correcting of embedded interaction codes
US7580576B2 (en) * 2005-06-02 2009-08-25 Microsoft Corporation Stroke localization and binding to electronic document
US7619607B2 (en) 2005-06-30 2009-11-17 Microsoft Corporation Embedding a pattern design onto a liquid crystal display
US7622182B2 (en) 2005-08-17 2009-11-24 Microsoft Corporation Embedded interaction code enabled display
US7817816B2 (en) 2005-08-17 2010-10-19 Microsoft Corporation Embedded interaction code enabled surface type identification
US7325215B2 (en) * 2005-08-31 2008-01-29 Lsi Logic Corporation Timing violation debugging inside place and route tool
US20070106971A1 (en) * 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
JP4674164B2 (en) * 2006-01-11 2011-04-20 富士通セミコンダクター株式会社 Layout method, CAD apparatus, program, and storage medium
US7448014B2 (en) * 2006-03-08 2008-11-04 International Business Machines Corporation Design stage mitigation of interconnect variability
US7958467B2 (en) * 2006-06-20 2011-06-07 Adtran, Inc. Deterministic system and method for generating wiring layouts for integrated circuits
US7917881B1 (en) * 2006-06-29 2011-03-29 Springsoft Usa, Inc. Timing of a circuit design
US7685553B2 (en) * 2007-04-11 2010-03-23 International Business Machines Corporation System and method for global circuit routing incorporating estimation of critical area estimate metrics
JP2010003712A (en) * 2007-08-09 2010-01-07 Renesas Technology Corp Semiconductor device, layout and wiring method thereof, and data processing system
US7689964B2 (en) * 2007-12-19 2010-03-30 Suvolta, Inc. System and method for routing connections
US8042076B2 (en) * 2008-05-06 2011-10-18 Suvolta, Inc. System and method for routing connections with improved interconnect thickness
US8122399B2 (en) 2008-08-28 2012-02-21 International Business Machines Corporation Compiler for closed-loop 1×N VLSI design
US8136062B2 (en) 2008-08-28 2012-03-13 International Business Machines Corporation Hierarchy reassembler for 1×N VLSI design
US8132134B2 (en) 2008-08-28 2012-03-06 International Business Machines Corporation Closed-loop 1×N VLSI design system
US8141016B2 (en) * 2008-08-29 2012-03-20 International Business Machines Corporation Integrated design for manufacturing for 1×N VLSI design
US8156458B2 (en) * 2008-08-29 2012-04-10 International Business Machines Corporation Uniquification and parent-child constructs for 1xN VLSI design
US7966598B2 (en) * 2008-08-29 2011-06-21 International Business Machines Corporation Top level hierarchy wiring via 1×N compiler
US20100107130A1 (en) * 2008-10-23 2010-04-29 International Business Machines Corporation 1xn block builder for 1xn vlsi design
US8429584B2 (en) * 2009-11-11 2013-04-23 International Business Machines Corporation Method, electronic design automation tool, computer program product, and data processing program for creating a layout for design representation of an electronic circuit and corresponding port for an electronic circuit
US8543958B2 (en) * 2009-12-11 2013-09-24 Synopsys, Inc. Optical proximity correction aware integrated circuit design optimization
US8196081B1 (en) * 2010-03-31 2012-06-05 Xilinx, Inc. Incremental placement and routing
US8370786B1 (en) * 2010-05-28 2013-02-05 Golden Gate Technology, Inc. Methods and software for placement improvement based on global routing
US8725483B2 (en) * 2011-01-19 2014-05-13 International Business Machines Corporation Minimizing the maximum required link capacity for three-dimensional interconnect routing
US8856495B2 (en) 2011-07-25 2014-10-07 International Business Machines Corporation Automatically routing super-compute interconnects
US10296695B1 (en) * 2014-03-31 2019-05-21 Cadence Design Systems, Inc. Method, system, and computer program product for implementing track patterns for electronic circuit designs
US9904756B1 (en) 2015-03-31 2018-02-27 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing DRC clean multi-patterning process nodes with lateral fills in electronic designs
US9659138B1 (en) 2015-03-31 2017-05-23 Cadence Design Systems, Inc. Methods, systems, and computer program product for a bottom-up electronic design implementation flow and track pattern definition for multiple-patterning lithographic techniques
US9697320B2 (en) * 2015-09-24 2017-07-04 Qualcomm Incorporated Rectilinear macros having non-uniform channel spacing
JP6878992B2 (en) * 2017-03-27 2021-06-02 富士通株式会社 Part position detection program, part position detection method and information processing device
US10565341B2 (en) * 2017-05-15 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Constrained cell placement
US10642949B2 (en) * 2017-06-07 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cell placement site optimization
US10860777B1 (en) * 2019-06-17 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for fabricating integrated circuit with aid of programmable circuit synthesis
US10831966B1 (en) 2019-09-11 2020-11-10 International Business Machines Corporation Multi-fanout latch placement optimization for integrated circuit (IC) design
US11030376B2 (en) 2019-09-11 2021-06-08 International Business Machines Corporation Net routing for integrated circuit (IC) design
US10943040B1 (en) 2019-09-11 2021-03-09 International Business Machines Corporation Clock gating latch placement
US10831967B1 (en) 2019-09-11 2020-11-10 International Business Machines Corporation Local clock buffer controller placement and connectivity
US10878152B1 (en) 2019-09-11 2020-12-29 International Business Machines Corporation Single-bit latch optimization for integrated circuit (IC) design
US10936777B1 (en) * 2020-01-30 2021-03-02 Cadence Design Systems, Inc. Unified improvement scoring calculation for rebuffering an integrated circuit design
US11314916B2 (en) * 2020-07-31 2022-04-26 International Business Machines Corporation Capacitance extraction
US11238206B1 (en) * 2021-03-26 2022-02-01 Xilinx, Inc. Partition wire assignment for routing multi-partition circuit designs
CN113255284B (en) * 2021-05-30 2023-07-18 上海立芯软件科技有限公司 Quick local disconnecting and re-distributing method in global wiring
CN113591427B (en) * 2021-08-05 2023-09-22 上海立芯软件科技有限公司 Incremental three-dimensional global wiring method considering unit movement and complex wiring constraint

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5046017A (en) * 1987-12-23 1991-09-03 Hitachi, Ltd. Wiring design for semiconductor integrated circuit
US5191542A (en) * 1989-06-23 1993-03-02 Kabushiki Kaisha Toshiba Automatic floorplan operation apparatus
EP0677813A2 (en) * 1994-04-12 1995-10-18 International Business Machines Corporation Method of target generation for multilevel hierachical circuit designs
US5461576A (en) * 1993-09-01 1995-10-24 Arcsys, Inc. Electronic design automation tool for the design of a semiconductor integrated circuit chip
US5629860A (en) * 1994-05-16 1997-05-13 Motorola, Inc. Method for determining timing delays associated with placement and routing of an integrated circuit
US5649170A (en) * 1995-06-30 1997-07-15 International Business Machines Corporation Interconnect and driver optimization for high performance processors

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484292A (en) 1981-06-12 1984-11-20 International Business Machines Corporation High speed machine for the physical design of very large scale integrated circuits
US4615011A (en) 1983-12-19 1986-09-30 Ibm Iterative method for establishing connections and resulting product
JPS6124250A (en) 1984-07-13 1986-02-01 Nippon Gakki Seizo Kk Semiconductor integrated circuit device
JPS63308343A (en) 1987-06-10 1988-12-15 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit
US5249134A (en) 1988-04-12 1993-09-28 Matsushita Electric Industrial Co., Ltd. Method of layout processing including layout data verification
JP2753263B2 (en) 1988-05-13 1998-05-18 株式会社日立製作所 Automatic wiring method of semiconductor integrated circuit
US5452231A (en) 1988-10-05 1995-09-19 Quickturn Design Systems, Inc. Hierarchically connected reconfigurable logic assembly
US5072402A (en) 1989-10-10 1991-12-10 Vlsi Technology, Inc. Routing system and method for integrated circuits
US5361214A (en) 1990-07-09 1994-11-01 Kabushiki Kaisha Toshiba Method for automatically determining wiring routes
JP3033203B2 (en) 1991-01-25 2000-04-17 株式会社日立製作所 Wiring route searching device and wiring route searching method
JP2674353B2 (en) 1991-04-04 1997-11-12 日本電気株式会社 General wiring processing method
US5485396A (en) 1991-06-28 1996-01-16 Vlsi Technology, Inc. Symbolic routing guidance for wire networks in VLSI circuits
US5471398A (en) 1991-07-01 1995-11-28 Texas Instruments Incorporated MTOL software tool for converting an RTL behavioral model into layout information comprising bounding boxes and an associated interconnect netlist
JPH05121547A (en) 1991-10-25 1993-05-18 Nec Corp Wiring method for semiconductor integrated circuit
US5341310A (en) 1991-12-17 1994-08-23 International Business Machines Corporation Wiring layout design method and system for integrated circuits
JP2759573B2 (en) 1992-01-23 1998-05-28 株式会社日立製作所 Circuit board wiring pattern determination method
JPH05315448A (en) 1992-04-27 1993-11-26 Nec Corp Integrated circuit device and its layout method
JPH06125067A (en) 1992-10-12 1994-05-06 Mitsubishi Electric Corp Semiconductor integrated circuit and designing method therefor
US5416722A (en) 1992-11-19 1995-05-16 Vlsi Technology, Inc. System and method for compacting integrated circuit layouts
US5729469A (en) 1992-12-07 1998-03-17 Matsushita Electric Industrial Co., Ltd. Wiring method and system for integrated circuit
US5452239A (en) 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
JPH06252266A (en) 1993-02-24 1994-09-09 Mitsubishi Electric Corp Automatic design equipment of semiconductor integrated circuit device
US5654898A (en) 1993-05-10 1997-08-05 Cascade Design Automation Corporation Timing-driven integrated circuit layout through device sizing
US5483461A (en) 1993-06-10 1996-01-09 Arcsys, Inc. Routing algorithm method for standard-cell and gate-array integrated circuit design
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
US5764525A (en) 1994-01-28 1998-06-09 Vlsi Technology, Inc. Method for improving the operation of a circuit through iterative substitutions and performance analyses of datapath cells
JPH07249062A (en) 1994-03-11 1995-09-26 Hitachi Ltd Generating method for logic circuit
US5675501A (en) 1994-03-15 1997-10-07 Kabushiki Kaisha Toshiba Method of designing semiconductor integrated circuit apparatus having no dead space
JP3190514B2 (en) 1994-03-17 2001-07-23 富士通株式会社 Layout data generation device and generation method
JP3420631B2 (en) 1994-03-29 2003-06-30 株式会社東芝 Layout compaction method for semiconductor integrated circuit
US5636129A (en) 1994-04-20 1997-06-03 Her; One-Hsiow A. Electrical routing through fixed sized module and variable sized channel grids
US5537330A (en) 1994-06-10 1996-07-16 International Business Machines Corporation Method for mapping in logic synthesis by logic classification
US5774371A (en) 1994-08-03 1998-06-30 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and layout designing method for the same
US5638288A (en) 1994-08-24 1997-06-10 Lsi Logic Corporation Separable cells having wiring channels for routing signals between surrounding cells
US5764534A (en) 1994-10-13 1998-06-09 Xilinx, Inc. Method for providing placement information during design entry
JPH08221451A (en) 1995-02-17 1996-08-30 Matsushita Electric Ind Co Ltd Layout design method for data path circuit
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5768146A (en) 1995-03-28 1998-06-16 Intel Corporation Method of cell contouring to increase device density
US5666288A (en) 1995-04-21 1997-09-09 Motorola, Inc. Method and apparatus for designing an integrated circuit
JPH08320893A (en) 1995-05-24 1996-12-03 Mitsubishi Electric Corp Device and method for logic synthesis, and semiconductor integrated circuit
US5778216A (en) 1995-06-30 1998-07-07 Cadence Design Systems, Inc. Method for hierarchical time drive circuit layout by rebudgeting timing constraints of plurality of logical blocks after placement
US5764532A (en) 1995-07-05 1998-06-09 International Business Machines Corporation Automated method and system for designing an optimized integrated circuit
US5757658A (en) 1996-03-06 1998-05-26 Silicon Graphics, Inc. Procedure and system for placement optimization of cells within circuit blocks by optimizing placement of input/output ports within an integrated circuit design
US5761078A (en) 1996-03-21 1998-06-02 International Business Machines Corporation Field programmable gate arrays using semi-hard multicell macros
US5838583A (en) * 1996-04-12 1998-11-17 Cadence Design Systems, Inc. Optimized placement and routing of datapaths
GB2321322B (en) * 1996-10-28 2001-10-10 Altera Corp Remote software technical support

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5046017A (en) * 1987-12-23 1991-09-03 Hitachi, Ltd. Wiring design for semiconductor integrated circuit
US5191542A (en) * 1989-06-23 1993-03-02 Kabushiki Kaisha Toshiba Automatic floorplan operation apparatus
US5461576A (en) * 1993-09-01 1995-10-24 Arcsys, Inc. Electronic design automation tool for the design of a semiconductor integrated circuit chip
EP0677813A2 (en) * 1994-04-12 1995-10-18 International Business Machines Corporation Method of target generation for multilevel hierachical circuit designs
US5629860A (en) * 1994-05-16 1997-05-13 Motorola, Inc. Method for determining timing delays associated with placement and routing of an integrated circuit
US5649170A (en) * 1995-06-30 1997-07-15 International Business Machines Corporation Interconnect and driver optimization for high performance processors

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
KOIDE T ET AL: "A timing-driven global routing algorithm with pin assignment, block reshaping, and positioning for building block layout", PROCEEDINGS OF THE ASP-DAC '98 ASIAN AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 1998 (CAT. NO.98EX121), PROCEEDINGS OF 1998 ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, YOKOHAMA, JAPAN, 10-13 FEB. 1998, 1998, New York, NY, USA, IEEE, USA, pages 577 - 583, XP002116510, ISBN: 0-7803-4425-1 *
OH S -Y ET AL: "A SCALING SCHEME AND OPTIMIZATION METHODOLOGY FOR DEEP SUB-MICRON INTERCONNECT", PROCEEDINGS OF THE INTERNATIONAL CONFERENCE ON COMPUTER DESIGN. ICC VLSI IN COMPUTERS AND PROCESSORS, AUSTIN, OCT. 7 - 9, 1996, 7 October 1996 (1996-10-07), INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS, pages 320 - 325, XP000729897, ISBN: 0-8186-7554-3 *
PEDRAM M: "Logical-physical co-design for deep submicron circuits: challenges and solutions", PROCEEDINGS OF THE ASP-DAC '98 ASIAN AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 1998 (CAT. NO.98EX121), PROCEEDINGS OF 1998 ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE, YOKOHAMA, JAPAN, 10-13 FEB. 1998, 1998, New York, NY, USA, IEEE, USA, pages 137 - 142, XP002116509, ISBN: 0-7803-4425-1 *
XUE T ET AL: "POST GLOBAL ROUTING CROSSTALK SYNTHESIS", IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, vol. 16, no. 12, December 1997 (1997-12-01), pages 1418 - 1430, XP000800224, ISSN: 0278-0070 *

Also Published As

Publication number Publication date
AU3742499A (en) 1999-10-25
US6230304B1 (en) 2001-05-08
WO1999052049A2 (en) 1999-10-14

Similar Documents

Publication Publication Date Title
WO1999052049A3 (en) Method of designing a constraint-driven integrated circuit layout
US6539529B2 (en) Method and apparatus for designing integrated circuits and storage medium for storing the method
EP0932874B1 (en) A method and apparatus for routing of nets in an electronic device
US6308309B1 (en) Place-holding library elements for defining routing paths
US7017137B2 (en) Topological global routing for automated IC package interconnect
US6516446B2 (en) Design system for flip chip semiconductor device
US7032190B2 (en) Integrated circuits, and design and manufacture thereof
US6480996B1 (en) System and method for transposing wires in a circuit design
US5200580A (en) Configurable multi-chip module interconnect
US7930668B1 (en) Placement and routing using inhibited overlap of expanded areas
US6460169B1 (en) Routing program method for positioning unit pins in a hierarchically designed VLSI chip
US6374394B1 (en) Method to identify unit pins that are not optimally positioned in a hierarchically designed VLSI chip
US20050132315A1 (en) Extendable method for revising patterned microelectronic conductor layer layouts
US6477693B1 (en) Method for manufacturing and designing a wiring of a channel of an electronic device and electronic apparatus
US20070204245A1 (en) Method for accelerating the RC extraction in integrated circuit designs
WO2003088098A3 (en) Method and apparatus for routing an integrated circuit
US5825659A (en) Method for local rip-up and reroute of signal paths in an IC design
US6415428B1 (en) Minimal length method for positioning unit pins in a hierarchically designed VLSI chip
US6477690B1 (en) In-place repeater insertion methodology for over-the-block routed integrated circuits
EP1538540A2 (en) Configurable circuit with cells having predefined functionality and configurable subcells
Wang et al. Performance-driven global routing based on simulated evolution
JP3017181B1 (en) Semiconductor integrated circuit wiring method
US6845346B1 (en) Iterative method of parasitics estimation for integrated circuit designs
JP3127877B2 (en) Wiring capacity calculator
Noda et al. Automatic layout algorithms for function blocks of CMOS gate arrays

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

AK Designated states

Kind code of ref document: A3

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
NENP Non-entry into the national phase

Ref country code: KR

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase