WO1999064842A1 - An apparatus and method for measuring a property of a layer in a multilayered structure - Google Patents

An apparatus and method for measuring a property of a layer in a multilayered structure Download PDF

Info

Publication number
WO1999064842A1
WO1999064842A1 PCT/US1999/012999 US9912999W WO9964842A1 WO 1999064842 A1 WO1999064842 A1 WO 1999064842A1 US 9912999 W US9912999 W US 9912999W WO 9964842 A1 WO9964842 A1 WO 9964842A1
Authority
WO
WIPO (PCT)
Prior art keywords
region
power
conductive line
line
constant
Prior art date
Application number
PCT/US1999/012999
Other languages
French (fr)
Inventor
Peter G. Borden
Jiping Li
Original Assignee
Boxer Cross Incorporated
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Boxer Cross Incorporated filed Critical Boxer Cross Incorporated
Priority to DE69940550T priority Critical patent/DE69940550D1/en
Priority to JP2000553787A priority patent/JP2002517750A/en
Priority to EP99927393A priority patent/EP1101098B1/en
Priority to AU44308/99A priority patent/AU4430899A/en
Publication of WO1999064842A1 publication Critical patent/WO1999064842A1/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/1717Systems in which incident light is modified in accordance with the properties of the material investigated with a modulation of one or more physical properties of the sample during the optical investigation, e.g. electro-reflectance

Definitions

  • This invention relates generally to the measurement of a property of a layer in a multilayered structure, and in particular to a measurement of reflectance of a region of a conductive layer (such as a conductive line) , and use of the reflectance measurement to determine various properties, such as sheet resistance of the layer, and thermal conductivity of a dielectric layer located underneath the conductive layer.
  • a conductive layer such as a conductive line
  • Metal lines having sub-micron (i.e. less than 1 micron) dimensions are conventionally used to interconnect devices that are formed in an integrated circuit die.
  • Such a metal line is typically formed as a portion of a film of metal (such as aluminum or copper) .
  • the metal film is normally formed as a blanket layer over a semiconductor wafer, and is thereafter removed (e.g. by etching) to form one or more metal lines, in a process act known as "patterning" .
  • the resistivity of the metal film is measured (on a test wafer) , and the measurement is combined with a measurement of the film's thickness (on another test wafer) and, a measurement of the line width (on a production wafer) , to determine if the metal film has ohmic loss sufficiently low for use in forming metal lines required in an integrated circuit die.
  • a number of methods exist for measuring a metal film's resistivity Two such methods are commonly known as “probing” and "eddy current” . In the probing method, two or four probes are brought into physical contact with an unpatterned metal film (e.g. on a test wafer) to measure the film's resistivity directly.
  • Each of the above-described methods requires a metallized region having a width (e.g. 0.5 mm) that may be several orders of magnitude larger than a typical metal line's width (e.g. ⁇ 0.5 microns) . Due to the requirement of the metallized region to have a 1000 times larger width, the measurements are performed prior to patterning, typically on a test wafer. Moreover, the above-described methods measure merely the resistivity of a metal film, and are not known to be used in the measurement of resistance of a line formed after etching the metal film (e.g. in a production wafer) .
  • Smith also states that "when the optical reflectivity of the sample is to be monitored, it is desirable to arrange the pump and probe beams to be coincident on the sample" (column 1, lines 60-64) . When using such coincident beams, Smith notes problems created by "surfaces associated with defective vias are often not optically flat" (column 3, lines 6-13). Moreover, prior art also states that “ [w] hen materials other than semiconductors are to be evaluated, such as metals ... analysis of the thermal wave patterns is required" (see U.S. Patent 4,854,710 at column 7, lines 41-44) .
  • an apparatus focuses a beam of electromagnetic radiation (also called “heating beam”) on a region (also called “heated region”) of a conductive layer such that heat generated by the beam transfers out of the heated region primarily by diffusion, i.e. by conduction under steady state conditions, thereby eliminating the creation of a thermal wave as described in U.S. Patent 5,228,776.
  • a beam of electromagnetic radiation also called “heating beam”
  • a region also called “heated region”
  • a method implemented by the apparatus includes (1) focusing the heating beam on the region, (2) modulating the power of the heating beam at a frequency that is predetermined to be sufficiently low to ensure that at least a majority (preferably almost all) of the generated heat transfers from the heated region by diffusion, and (3) measuring the power (also called “reflected power") of a portion of another beam (also called “probe beam”), the portion being (a) reflected by the heated region, and (b) modulated in phase with modulation of the heating beam.
  • the above described acts (1) - (3) are repeated by the measurement apparatus between various acts in the fabrication of a substrate, at each of a number of regions on a line (formed by patterning the conductive layer) , and any change in the measurements of the reflected power indicates a corresponding change in resistance (per unit length) of a conductive line.
  • the conductive layer is not patterned into a line, and instead the measurement apparatus performs the above- described acts on one or more regions of an unpatterned conductive layer, and measures the sheet resistance.
  • a predetermined amount e.g. by 10%
  • one embodiment of the measurement apparatus changes a process parameter that controls one of the fabrication acts (e.g.
  • Performance of acts (l)-(3) during a fabrication process, without touching a substrate (i.e. in a non-contact manner) increases yield of the fabrication process, as compared to an off-line measurement of the resistivity of a metal film on a test substrate. Also, performance of acts (l)-(3) as described herein indicates the efficacy of patterning of the specific production substrate that is otherwise not measurable e.g. when using an unpatterned substrate (such as a test substrate) .
  • the measurement apparatus modulates the power of the heating beam at a frequency (e.g. 1000 Hz) that is selected to be sufficiently low to ensure that at least a majority (i.e. greater than 50%) of the generated heat is transferred out of the heated region by diffusion rather than by a thermal wave.
  • the measurement apparatus detects the reflected power that is also modulated at the just-described frequency, for example, by using a lock-in amplifier.
  • the apparatus filters, prior to the measurement, any portion of the heating beam that is also reflected, for example by using (a) a silicon wafer, or (b) a narrow band filter tuned to the wavelength of the probe beam, or preferably both, thereby eliminating the need for a quarter wave plate otherwise necessary in the prior art.
  • the measurement apparatus includes sources (such as lasers) that produce each of the heating beam and the probe beam.
  • the measurement apparatus also includes a photosensitive element (such as a "photodiode” ) that is located in the path of a portion of the probe beam reflected by the heated region.
  • the photosensitive element generates an electrical signal (e.g. a voltage level) that indicates the intensity of the probe beam portion reflected by the illuminated region.
  • the intensity indicates reflectance caused by heating. So the intensity measurement is a measure of the peak temperature in the heated region.
  • the measurement apparatus also includes a computer that is coupled to the photosensitive element to receive the electrical signal, and that is programmed to determine the value of a material property in the heated region from one or more such measurements.
  • the measurement apparatus computes a ratio (also called “steady-state ratio”) of a change in reflected power to a corresponding change in the power of the heating beam, with the probe beam power constant, and uses the ratio as a measure of the resistance of the conductive line.
  • a ratio also called "steady-state ratio”
  • the steady-state ratio when multiplied by a predetermined constant yields, per unit length, the resistance of the conductive line in the heated region. Therefore, the apparatus uses a change in the steady-state ratio as a measure of a change in the resistance of the conductive line between the heated regions.
  • the reflectance obtained by heating a conductive line as described herein increases linearly with the power of the heating beam.
  • the slope of the straight line is the steady state ratio. This slope is approximately a product of a number of known factors and the resistance per unit length of the conductive line. Therefore, the steady-state ratio provides a measure of the resistance per unit length.
  • the measurement apparatus computes a ratio (also called “steady-state ratio”) of the reflected power to the power of the heating beam and uses the ratio as a measure of the resistance per unit length of the conductive line.
  • the second embodiment eliminates the need to perform at least two measurements that are otherwise required to determine a straight line, and is based on the fact that the reflected power is zero when the power of the heating beam is zero (i.e. uses origin as one of the points on the straight line described above) .
  • a steady state ratio is not computed, and instead a measurement of the difference in reflected powers in the presence and absence of a heating beam is used directly as a measure of the resistance of a line, e.g. when using a heating beam having unit power.
  • Determination of a steady-state ratio (as described above) during the fabrication of a substrate provides an accurate indication of a change in resistance of a conductive line across the various regions of the wafer.
  • monitoring the steady-state ratio identifies an increase in a conductive line's resistance e.g. due to voids or due to impurities segregated at grain boundaries both of which cannot be detected by visual inspection methods.
  • use of a steady-state ratio as a measure of a conductive line's resistance detects not only variations in resistivity, but also variations in thickness and in width of the conductive line.
  • a difference in reflectance measurements as described herein identifies a change in a property of a material other than the material of the conductive line. For example, a change in adhesion between a conductive line and an underlying insulation layer causes a corresponding change in the dissipation of heat from the conductive line through the underlying layer, and is detected as a change in the reflectance measurement. Moreover, such a difference in reflectance measurement also indicates a change in thermal conductivity of the underlying layer, e.g. due to a change in porosity or density of the layer. Specifically, the lower the thermal conductivity of the insulation layer, the higher the temperature of the conductive line (assuming the average power of the heating beam stays the same) .
  • Such a change in thermal conductivity also indicates a corresponding change in the dielectric constant of the underlying material.
  • a change in the dielectric constant can indicate a change in the capacitance between the conductive line and one or more adjacent conductors or the ground plane.
  • the change in capacitance in turn indicates a change in the speed of transmission of signals in the integrated circuit.
  • the substrate that supports a conductive layer or a conductive line can be any of the following: a silicon wafer that is processed to form integrated circuit dice, a glass plate that is processed to form a liquid crystal display or a resin (such as BT) core that is processed to form a printed circuit board.
  • FIG. 1A illustrates, in a block diagram, use of one embodiment of a measurement apparatus of this invention with a metal formation apparatus for forming a conductive layer and a metal etching apparatus for patterning the conductive layer.
  • FIG. IB illustrates, in the apparatus of FIG. 1A, a heating beam focused on a region 111R of a conductive line 111 under steady state conditions while a probe beam is used to measure reflectance of region 111R.
  • FIG. IC illustrates, in a graph, the temperature of heated region 111R and of adjacent regions 111S and HIT in the conductive line of FIG. IB.
  • FIG. 2 illustrates, in a flow diagram, a method for using the two beams of FIG. IB to measure a change in resistance of conductive line 111, and use of the measurement to control the processing of wafers by the metal formation apparatus and metal etching apparatus in FIG. 1A.
  • FIG. 3 illustrates, in a block diagram, a measurement apparatus that performs the method illustrated in FIG. 2.
  • FIG. 4A illustrates the transfer of heat through a portion 111X (of region HIT in FIGs. IB and IC) and into dielectric layer 112 by diffusion under steady state conditions.
  • FIG. 4B illustrates, in a cross-sectional view, a via 114 that couples conductive line 111 of the type illustrated in FIG. 4A to another conductive line 113, and the transfer of heat from line 111 by conduction through the via .
  • FIG. 5 illustrates, in a graph, the rise (above ambient) of temperature in °C of conductive line 111 (FIG. 4A) as a function of power levels (of 5, 2 and 1 mW for respective lines 501-503) of a heating beam that illuminates region 111R of FIG. IB.
  • FIG. 6 illustrates, in a graph, a change in reflectance (plotted along y axis after scaling by a factor of 1000) of conductive line 111 (FIG. 4A) as a function of the powers of a heating beam as described above in reference to FIG. 5 for various thicknesses (of 0.2, 0.5 and 1.0 ⁇ m for lines 601-603 respectively) of conductive line 111 (having a width of 0.25 ⁇ m) .
  • FIG. 7 illustrates, in a graph, a signal generated by the amplifier of FIG. 3 for multiple lines 111 having different resistances, when all lines 111 have the same reflectance (i.e. are formed of the same material) .
  • FIG. 4A a change in reflectance (plotted along y axis after scaling by a factor of 1000) of conductive line 111 (FIG. 4A) as a function of the powers of a heating beam as described above in reference to FIG. 5 for various thicknesses (of 0.2, 0.5 and 1.0
  • FIG. 8A illustrates, in a graph, the change in reflectance (plotted along y axis and multiplied by 10,000) as a function of the thickness of an aluminum blanket film, with each of lines 801-804 being for a different level of degradation in resistivity.
  • FIG. 8B illustrates, in a graph, the change in reflectance (plotted along y axis and multiplied by 10,000) as a function of the sheet resistivity (in ohms per square) of an aluminum blanket film for no degradation in resistivity.
  • FIG. 9 illustrates, in a graph, an intensity measurement (plotted along y axis in units of millivolts) as a function of length of a side of a square region, for measurements taken at the centers of squares of an aluminum layer 0.2 ⁇ m thick formed on 1 ⁇ m thick silicon dioxide layer that in turn is deposited on a silicon substrate.
  • a processing unit 10 can be operated in accordance with the invention to create integrated circuit (abbreviated as "IC") dice by processing a substrate 104 to form a patterned substrate 105, measuring the resistance of one or more conductive lines in patterned substrate 105, and adjusting the processing in real time if necessary.
  • unit 10 includes a metal deposition apparatus 11 that forms on substrate 104 a layer of conductive material (such as a metal) to form a metallized wafer 103 that is in turn processed by metal etching apparatus 12 that etches the film to form one or more conductive lines in substrate 105.
  • Unit 10 also includes a resistance measurement apparatus 13 that measures the resistance of one or more of the conductive lines (e.g. line 111 in FIG. IB) on patterned substrate 105, or of one or more regions on unpatterned substrate 103 or both (i.e. before and after patterning of the same substrate) .
  • a process parameter can be adjusted by resistance measurement apparatus 13.
  • apparatus 13 includes an optional programmed computer 13C that drives an active signal on line 14 that is coupled to metal etching apparatus 12, or on line 15 that is coupled to metal formation apparatus 11, or both, depending on the measurement .
  • a change in the process parameter can be determined automatically by software in programmed computer 13C, or can be entered by a human operator.
  • an unpatterned substrate 103 is transferred to resistance measurement apparatus 13 for measurement of a property of a conductive layer formed thereon.
  • a property are conductivity and thickness as described below in reference to FIGs. 8A, 8B and 9.
  • Such an intermediate measurement provides a more immediate feedback to control the operation of metal formation apparatus 11 as compared to an otherwise long delay (several hours or days) between forming a conductive layer and etching a pattern.
  • Resistance measurement apparatus 13 determines, between acts of fabricating unpatterned substrate 104 or patterned substrate 105 (FIG. IB), a measure of the electrical resistance by use of two coincident beams
  • a first beam (also called “heating beam”) 101 has a power (also called “heating power”) that is modulated at a predetermined frequency.
  • a second beam (also called “probe beam”) 102 is continuous, and is weaker than first beam 101.
  • First beam 101 is incident on and heats a region 111R on substrate 104 or 105 to a temperature T, and second beam 102 is reflected by region 111R in phase with modulation of first beam 101, because temperature T is modulated in phase with modulation of first beam 101.
  • the predetermined frequency of modulation of first beam 101 is selected to be sufficiently small to ensure that a majority (i.e. greater than 50%) of heat generated by first beam 101 flows by diffusion out of heated region 111R (e.g. along the length L of line 111 on substrate 105) .
  • the predetermined frequency is selected to cause substantially all (e.g. greater than 90%) of heat generated by first beam 101 in region 111R to be transferred to adjacent regions HIS and HIT by diffusion.
  • Such a diffusive heat transfer allows the use of a diffusion equation solution (20) as described below to relate electrical and thermal conductivity in a measurement method 200 (FIG. 2) . Therefore, the predetermined frequency is selected to be lower than a maximum frequency beyond which the effects of a thermal wave become noticeable.
  • the maximum frequency is inversely related to a dimension of heated region HIR (e.g. the length L) as described below in reference to equation (11) .
  • length L is approximately 100 microns
  • the maximum frequency is 1430 Hz for copper lines, and 1080 Hz for aluminum lines .
  • a conductive line 111 makes a specific reference to a conductive line 111, although as noted later (in reference to FIGs. 8A, 8B and 9) a similar analysis in applicable to a portion of a conductive layer.
  • the following description refers to a wafer of silicon (such as wafer 103, 104, or 105), the description is equally applicable to any substrate that supports a conductive layer, and other examples of such a substrate include a glass plate and a resin core.
  • the same reference numerals are used for a wafer and a substrate.
  • conductive line 111 is supported on a dielectric layer 112 (FIG. IB) of a wafer 105 having a thermal conductivity Ki that is almost two orders of magnitude lower than the thermal conductivity K m of conductive line 111. Note that such a large difference in thermal conductivities is not required for the relation in equation (20) described below. Instead, equation (20) holds as long as the thermal conductivity K ⁇ of dielectric layer 112 is smaller than the thermal conductivity Km of line 111.
  • Peak temperature T p (FIG. IC) is a function of the thermal conductivity K m and the cross-sectional area Wh m of conductive line 111, wherein W is width and h m is height of line 111. As the electrical and thermal conductivities are related (as shown in equation (1) ) , peak temperature T p indicates (as discussed more completely below) , per unit length, conductive line Ill's electrical resistance.
  • Temperature profile 150 has substantially the same "bell" shape (FIG. IB) over length L at any time during a cycle at the predetermined frequency. Therefore, temperature T is modulated without forming a wave in space (in a manner analogous to direct current (“DC")) during the cycle. Temperature T is modulated only to increase the accuracy in measurement, specifically the signal-to-noise ratio (described below in reference to equation 21) by use of synchronous detection of a portion of probe beam 102 reflected by region HIR. Moreover, the predetermined frequency can be arbitrarily low, limited only by the minimum throughput required of the fabrication process. In one embodiment, a measure of the electrical resistance of line 111 is determined by performing acts 201-206 of a method 200 (FIG. 2) .
  • heating beam 101 is focused on a region HIR (FIG. IB) .
  • act 201 (FIG. 2) , the power of heating beam 101 is modulated at the predetermined frequency. Note that acts 201 and 202 can be performed in reverse order, i.e. act 202 performed first followed by performance of act 201.
  • the power (also called "reflected power") of probe beam 102 after reflection by region HIR is measured in act 203.
  • the power of heating beam 101 (FIG. IB) is changed, e.g. increased from 1 milliwatt to 5 milliwatts.
  • act 205 (FIG. 2)
  • a change in the reflected power in response to the change in power of heating beam 101 is determined.
  • act 206 a ratio of the change in reflected power to the change in power of heating beam 101 is computed. The ratio indicates, per unit length, a measure of the electrical resistance of conductive line 111 in region HIR.
  • the power (also called “probe power”) of probe beam 102 that is incident on region HIR remains constant in this embodiment .
  • the ratio may itself be compared (in act 207) with a predetermined limit to check if line 111 is within specifications and if so, return to act 201 (for another wafer) .
  • the ratio (also called “steady-state ratio”), when multiplied by a predetermined constant yields, per unit length, the resistance of conductive line 111 in heated region HIR.
  • the constant's value is determined (see equation (20)) by a number of factors, such as absolute reflectance R 0 of the conductive line 111 in heated region HIR, dielectric constant of free space ⁇ 0 , frequency of modulation v L of the reflected portion of probe beam
  • heating beam 101 is focused (in act 210) in another region (e.g. region HIT) and the measurement is repeated (in act 203), and the two measurements are compared.
  • Any reduction in width W or height h m results in an increase in the steady-state ratio that can be detected by the comparison.
  • any increase in resistivity also increases the steady-state ratio, and is also detected by the just -described comparison.
  • a problem in adhesion of conductive line 111 to the underlying dielectric layer 112 also causes an increase in the steady- state ratio and is therefore also detected by the comparison.
  • the above-described measurements are repeated after focusing (see act 210) heating beam 101 in each of three different regions that define a triangular area on conducive line 111.
  • a change in the steady state ratio can be detected by plotting a graph of the steady state ratio as a function of distance.
  • the event of a change in the steady- state ratio provides an indication that the fabrication process has changed, and that conductive line 111 is no longer within the specification.
  • an operator or an appropriately programmed computer changes a process parameter that controls the fabrication of line 111 (see act 208 in FIG. 2) and that changes the process to return a conductive line in the next wafer to within the specification.
  • the operator identifies a source of contamination in metal formation apparatus 11 (FIG. 1A) that degrades the resistivity of a metal layer formed on wafer 103, and changes a parameter related to the source .
  • a steady-state ratio as described above is measured at a single spot (e.g. in region HIR), allowing the measurement (of the value of reflected power) to be made in a more compact area (e.g. a region of length 1 micron) than possible by a method that requires two locations (each displaced from the other) , e.g. as disclosed in U.S. Patent 5,228,776.
  • width W (FIG. IB) of line 111 can be smaller than the diameter of beam 101 (that may have a minimum size larger than line width W) .
  • the temperature of a region HIR (of length equal to the diameter of beam 101) in line 111 that is heated under diffusive conditions as described herein is a function of the thermal properties of an extended length L (typically several tens of microns) of line 111 about the heated region HIR.
  • method 200 provides an unexpected result, specifically the value of reflected power as measured by method 200 is unaffected by the presence of non-flat surfaces (that cause problems in the prior art, e.g. U.S. Patent 5,228,776) because a reflectance measurement as described herein is independent of the small angular deflection that is caused by periodic undulation of a surface by passage of a thermal wave .
  • another ratio is computed in act 209, directly after act 203, based on the fact that a modulated component of the reflected power is zero when the power heating beam 101 is zero.
  • a ratio of a modulated component of the reflected power to the power of heating beam 101 is computed, and used as a measure, per unit length, of the electrical resistance of conductive line 111 in act 207.
  • the reflected power can also be used directly (by going from act 203 directly to act 207 or by going from act 205 directly to act 207) as a measure of the electrical resistance per unit length, if power of heating beam 101 is constant for each of a number of measurements for the corresponding regions e.g.
  • apparatus 13 includes a laser 301 for creating a beam 101 of electromagnetic radiation at a predetermined wavelength, such as infrared light, ultraviolet light, X-rays, gamma rays, or radiation in the microwave or radio frequencies .
  • laser 301 is a AlGaAs diode laser that emits electromagnetic radiation of wavelength 830 nm.
  • the electromagnetic radiation created by laser 301 is transmitted through an optical fiber 302 to a collimator 323 that emits heating beam 101.
  • heating beam 101 has a maximum power of, for example, 100 milliwatts.
  • Apparatus 13 also includes lenses 304A and 304B that adjust the size of beam 101 to fill the aperture of an objective lens 315 also included in apparatus 13.
  • Apparatus 13 further includes a second laser 305 that creates a beam 102 of electromagnetic radiation used to measure a change in reflectance of region HIR (FIG. IB) in response to change in power of heating beam 101.
  • laser 305 is an InGaAs diode laser that emits electromagnetic radiation of wavelength 1480 nm.
  • the electromagnetic radiation created by laser 305 is transferred by an optical fiber 306 to another collimator 307 also included in apparatus 13.
  • Collimator 307 emits probe beam 102 having a maximum power of, for example, 7 milliwatts. Therefore, probe beam 102 has a power that is an order of magnitude smaller than the power of heating beam 101, so that conductive line 111 is not noticeably heated by probe beam 102.
  • Apparatus 13 also includes lenses 308A and 308B that adjust the size of probe beam 102 to fill the aperture of objective lens 315 (described above) .
  • Apparatus 13 also includes a dichroic beam splitter 310 that combines heating beam 101 and probe beam 102 to form a combined beam 311.
  • Combined beam 311 passes through beam splitters 312 and 314 that are also included in apparatus 13, to an objective lens 315.
  • Objective lens 315 can be, for example, a 0.9 NA, 100 X objective lens available from Nikon of Yokohama, Japan.
  • a portion of combined beam 311 is deflected to a photodetector 313, such as part number J16-8SP-R05m-HS from EG&G Judson of Montgomeryvilie, PA, USA.
  • Photodetector 313 is used to verify the alignment of combined beam 311 with respect to wafer 105, and to measure the incident power of one or both of beams 101 and 102.
  • Light reflected from wafer 105 passes back through objective lens 315 and through beam splitter 312.
  • Beam splitter 312 sends 50% of the reflected light through a filter 319 to a photodetector 320.
  • Filter 319 is a narrow band filter that removes the reflected portion of heating beam 303 while passing the reflected portion of probe beam 309. Thereafter, photodetector 320 senses the intensity of the reflected portion of probe beam 309, and passes a voltage signal to amplifier 324.
  • Amplifier 324 converts the voltage signal into a current signal and passes the current signal to a lock- in amplifier 322.
  • Lock-in amplifier 322 includes an oscillator as a frequency source that is used to detect the power of the reflected portion of probe beam 102 modulated at the predetermined frequency.
  • the frequency source in lock- in amplifier 322 also provides a frequency signal on a line 321M to a laser driver 321.
  • Laser driver 321 uses the frequency signal on line 321M to drive laser 301 at the predetermined frequency that is sufficiently low to modulate the amplitude of heating beam 303 to ensure heat transfer by diffusion as described herein.
  • Apparatus 13 also includes a beam splitter 314 that diverts 10% of combined beam 311 to a focusing lens 317 and a camera 318.
  • Camera 318 is used to observe beams 101 and 102 (FIG. IB) on wafer 105, in order to focus combined beam 311 (FIG. 3) within region HIR (FIG. IB) on wafer .
  • the above-described method 200 uses one or more of the following relationships (under steady-state conditions) between conductive line Ill's thermal conductivity, electrical resistance, and reflectance to provide a non-destructive yet reliable method for detecting changes in the resistance of line 111.
  • the electrical resistance of conductive line 111 (FIG. 4A) is determined using the Wiedermann- Franz equation where Km is the thermal conductivity of line 111 in units of watts/ (cm-deg C) , ⁇ e is the electrical conductivity of line 111 in units of (ohm-cm) "1 , T is the absolute temperature of line 111, q is the electron charge, and k B is Boltzmann's constant.
  • the electrical resistance of line 111 is found by multiplying the electrical resistivity p e by
  • IB in units of centimeters squared and L is the length of conductive line 111 in centimeters.
  • the electrical resistivity of conductive line 111 is related to the reflectance R of line 111 (a ratio of reflected power to the incoming power) by the Hagen-
  • v L is the frequency (in units of cycles per second) of the reflected portion of probe beam 102 (equal to c/ ⁇ , where c is the speed of light, 3 x 10 cm/sec, and ⁇ is the wavelength of probe beam 102) .
  • conductive line Ill's reflectance R is directly related to electrical resistivity p e and thermal conductivity K m by equations (1) and (2) . Therefore, use of a heating beam 101 introduces a known heat flux Q into line 111, thereby to heat line 111 to a peak temperature T p that is determined by measuring the reflectance R of probe beam 102. Line Ill's electrical resistivity p e is then deduced directly from equation (2) .
  • IB is a conductor that has a length L assumed to be infinite along the X axis (as compared to the diameter of heated region HIR) .
  • conductive line 111 has thermal conductivity K m , and lies on an insulation layer 112 with a thermal conductivity K ⁇ and thickness h .
  • the light from heating beam 101 that is not reflected is fully absorbed by line 111, creating a heat flux H (FIG. IB) flowing in both the positive and negative X directions from heated region HIR.
  • the first term in equation (3) represents the diffusion of heat, which creates a static distribution.
  • the second term represents the time-variation of the temperature, giving rise to the wave-like solution.
  • the units of K m are watts/ (cm-deg. C) .
  • A is a constant determined by the initial conditions, and ⁇ is radial frequency of the thermal wave.
  • L (FIG. IB) is the length of line 111 over which heat diffuses to set up the steady state temperature distribution upon which the measurement is based.
  • the condition for a steady state solution is that measurement length L must be negligibly small compared to the thermal wavelength:
  • the steady-state approximation requires a modulation frequency of less than a maximum frequency of, e.g. 1430 Hz for copper and 1080 Hz for aluminum.
  • the maximum frequency is also inversely related to the distance over which the temperature T decays to, e.g. 10% of the peak temperature T p . If such a distance (also called “decay distance") is smaller than measurement length L, the maximum frequency can be higher than the just-described maximum frequency. For example, if the decay distance is 20 microns, the maximum frequency is 5985 hz for copper and 5525 hz for aluminum.
  • Temperature profile 150 is determined by solving the static heat equation for region HIR (FIG. IB) , taking into account heat loss into insulation layer 112. Assume a region (not labeled) around point 111X (FIG. 4A) of conductive line 111 has a length ⁇ x, a width w, and a thickness h m . Insulation layer 112 has thickness h and thermal conductivity K , and is assumed to be at the temperature of conductive line 111 at top surface 112T, and at the ambient temperature at the bottom surface 112B. Heat flux H(x) is primarily along conductive line 111, but a small amount of heat F(x) leaks through insulation layer 112.
  • H (x) F (x) +H (x+dx) , assuming negligible loss (less than 1%) to convection and radiation.
  • losses may be included as additional terms added to the loss F(x) due to heat flow into insulator 112, (especially for convection, which scales as the temperature difference between the ambient and the insulator, as does the loss into the insulator) .
  • the diffusive heat flux is given by the derivative of the temperature times the thermal conductivity. Across the thickness hi of insulation layer 112 the derivative is approximately T (x) / ⁇ , giving
  • equation (12) reduces to the equation for the temperature distribution in the metal under the condition of diffusive heat flow
  • the thermal conductivity of insulation layer 112 is typically about 1% of conductive line 111.
  • insulation layer 112 having a thickness h of 1 ⁇ m, and a metal layer of equal thickness, the temperature drops to 1/e in about 10 microns. This is well under the condition of 100 microns line length assumed above.
  • a laser power of .005 W on a 0.25 ⁇ m x 0.5 ⁇ m when shone on an aluminum line, with a reflectance of 90% yields a temperature rise of 35 degrees C.
  • Lines 501-503 (FIG. 5) illustrate the temperature rise for various powers (also called "reflected power") reflected by line 111 (FIG. 1A) in one exemplary wafer 105.
  • FIG. 5 illustrate the temperature rise for various powers (also called "reflected power") reflected by line 111 (FIG. 1A) in one exemplary wafer 105.
  • line 111 has a width w of 0.25 ⁇ m, and a thickness h m of 0.5 ⁇ m, and is formed of aluminum on a dielectric layer 112 formed of silicon dioxide and having a thickness hi of 1 ⁇ m.
  • conductive line 111 is assumed to be on an insulation layer 112 having a thermal conductivity equal to 1% of the thermal conductivity of conductive line 111.
  • Equation (1) is used to express the change in temperature ⁇ T in line 111 as a function of the metal resistivity
  • T 0 is the Debye temperature (333 degrees Kelvin for aluminum and 395 degrees Kelvin for copper) .
  • Relation (19) holds for T/T ⁇ > 0.25, and is generally valid at or above room temperature for the metals of interest in fabrication of wafers.
  • Equation (20) is the governing equation of operation for act 206 of method 200 described above.
  • the measurements indicative of resistance are carried out as follows: the amplitude of the reflected portion of probe beam 102 at the modulation frequency is measured as a voltage level and is converted using a calibration constant into reflectance (apparatus 13 is calibrated using samples having known reflectance to obtain a scaling factor that when multiplied with a measured voltage level yields the reflectance) .
  • the reflectance is then plotted (see line 601 in FIG. 6) as a function of the power of heating beam 101.
  • the slope ( ⁇ R/ ⁇ P) of the resulting line 601 provides a value of the following partial product in equation (20) that includes everything but the power Pi, of heating beam 101:
  • the above partial product contains all known parameters except for resistance per unit length, p e /Wh m at the Debye temperature T ⁇ . Therefore, the resistance per unit length p e /Wh m is found by dividing the slope ( ⁇ R/ ⁇ P) (also called “steady state ratio" and obtained as described above) with the following constant:
  • the constant is 0.723 for line 601 in FIG. 6, assuming the conductive material is aluminum, dielectric layer underlying line 601 has a thickness of l.O ⁇ m, the wavelength of probe beam is 1.48 ⁇ m, and reflectance is 0.9. Therefore, probe beam's incident power is 1.1 mW, reflected power is 1.0 mW (in the absence of heating beam) thereby resulting in reflectance R of 0.9 that is used in the above formula to compute the constant 0.723. Thereafter, apparatus 13 divides a slope ( ⁇ R/ ⁇ P) computed as described above in reference to FIG. 6 with the constant to determine the resistance per unit length.
  • apparatus 13 divides the value 0.5 of ( ⁇ R/ ⁇ P) with the constant 0.723 to obtain a value 0.361 for the resistance per unit length (in units of ohms/cm) .
  • resistivity p e i s found from the resistance per unit length ⁇ e /Wh m using known values of line width W and line thickness h m .
  • the just-described resistivity Pe is at the Debye temperature, and can be used in equation (19) to obtain resistivity at any other temperature.
  • equation (20) there is an extra factor of the numerator, but the thickness h m is known (at least approximately) , and variations in thickness have a relatively small effect (e.g.
  • the reflected power is 2.7 microwatt.
  • heat generated by beam 101 branches into two components, of which one component flows through via 114.
  • heat Ql generated by beam 101 in line 111 in the negative X direction branches into (1) a first component heat Q2 in line 111 beyond the location of via 114, and (2) a second component heat Q3 that flows through via 114.
  • a measurement of the reflected power in region HIR at a distance V d from defective via 114 is higher than a corresponding reflectance measurement at the same distance V d from a normal (non-defective via) .
  • distance V d is smaller than the length L for the reflectance measurement to have a noticeable difference.
  • V d can be chosen to be 5 microns, when length L is about 20 microns.
  • a defective via may result in result in a 50% increase in the reflected power measurement (at distance Vd) when compared to a measurement near a non-defective via.
  • reflected power measurements are performed adjacent to a number of vias, and each reflected power measurement that is noticeably greater (e.g. 25% greater) than the average measurement of a majority of the vias is flagged as indicating a defective via.
  • Such measurements could also be performed in a general manner in a predetermined set of regions (that are a fraction of the total number of regions) related to vias (as described above) , to detect a problem with the process of forming vias that results in defective vias. If no defective vias are found the wafer is processed further in the normal manner (to form additional layers such as a dielectric layer followed by a metallization layer) . If a defective via is found, the wafer is identified as defective and placed in a cassette for further analysis (e.g. by probing, by sectioning or by scanning by electron microscope) .
  • Equation (20) gives the power of the reflected portion of probe beam 102 as a function of the power of heating beam 101. If A (in units of amps/watt) is the conversion efficiency of photodetector 320 (FIG. 3) , then the signal is generated as a current:
  • a signal carried by current I sig is converted to a signal indicated by a voltage level using a transimpedance amplifier 324 (FIG. 3), and then amplified with a second amplifier 323, which is an amplifier providing a fixed voltage gain adjustable over the range of lOx to lOOOx. If the transimpedance gain is T g (in units of volts/amp) and the amplifier gain is G, then the final signal has the voltage level:
  • Noise in the measured signal can arise from two components - noise in beam 101 and shot noise in photodetector 320. Typically, shot noise exceeds the noise in beam 101.
  • the (RMS) of current due to shot noise is
  • BW is the noise bandwidth and q is the electron charge.
  • P P 1 milliwatt
  • a noise bandwidth of 0.2 Hz 1 milliwatt
  • a conversion efficiency of 0.5 Amp/watt the noise power is 11.3 picowatts and the noise current is 5.7 picoamps.
  • the SNR is 6.8x10 .
  • the predetermined frequency f at which heating beam 101 is modulated can be made as low as necessary to provide a low noise bandwidth required in a particular case.
  • lock-in amplifier 322 (FIG. 3) must observe an increasing number of cycles of the modulation, thereby increasing the measurement time and decreasing the throughput.
  • a predetermined frequency of 100 Hz allows measurement in a period of 0.1 sec that is typically compatible with commercial throughput requirements for processing production wafers, e.g. 2 minutes per wafer may be provided for the inspection of 13 sites on wafer 105 (FIG. 1A) . Under these conditions, the measurement period of 0.1 sec per site is negligible, and most of the throughput time may be used to load and position wafer 105 in measurement apparatus 13.
  • two coaxial laser beams with wavelengths of 830 and 1480 nanometers are focused onto a series of glass slides (not shown) .
  • Each of the glass slides have an aluminum coating of a different thickness in the range of 400 to 1600 angstroms and was 1 inch wide and 3 inches long.
  • a 0.9 NA objective lens provides the 830 nm laser in a spot of diameter approximately 1 ⁇ m.
  • the beam from the 830 nm laser is modulated at 1 KHz.
  • the reflected portion of 1480 nm wavelength beam is sent through a narrow band filter to a germanium detector. The signal is then fed to a lock-in amplifier and detected synchronously with the 830 nm laser modulation.
  • FIG. 7 illustrates, in a graph, a scatter plot comparing the measured resistance (X-axis) with the measured reflection signal (Y-axis) .
  • a straight line 710 (also called “correlation line”) correlates the points on the graph, and illustrates the relationship between the actual resistance and the measured reflectance.
  • the linear correlation shown by line 710 indicates the theoretical basis for use of method 200 (FIG. 2) to obtain a resistance measure, as described above.
  • another heat source such as an electron gun
  • use of electrons in beam 101 instead of photons allows the diameter of beam 101 to be made smaller than possible when using photons.
  • use of electrons in beam 101 requires measurement apparatus 13 to include a vacuum chamber to contain the electron source .
  • the measurement is performed in a region different from heated region HIR in another embodiment.
  • a heated region e.g. in region HIR
  • multiple measurements along conductive line 111 have been described above, such measurements need not be performed in a linear manner (e.g. along a straight line.
  • method 200 FIG. 2 can be used to perform measurements in an area, by focusing heating beam 101 in three different regions successively (by performing act 202 for a first region, followed by performing act 210 for a second and third region) , wherein the three regions together define a triangular area on conductive line 111, and measuring the power of the reflected portion of the probe beam at each of the three regions.
  • the just-described method need not be performed on a single conductive line 111, and instead each of the three regions could be on three different conductive lines.
  • the three different regions can be regions of a planar metallized area (not shown) of wafer 103 as described below in reference to FIGs. 8A, 8B and 9.
  • a larger number e.g. 100 regions
  • a two dimensional graph e.g. when the regions form a 10x10 array
  • a polarized beam of light is focused on region HIR, and a polarization rotation upon reflection is measured by interference .
  • the method is used to measure the properties of the underlying dielectric layer 112 (FIG. IB) .
  • the thermal profile (that indicates temperature as a function of distance of a point in layer 112 from line 111) is governed both by the characteristics (e.g. the thickness, width and thermal or electrical conductivity) of line 111, and by the characteristics (e.g. the thickness and thermal conductivity) of dielectric layer 112.
  • the characteristics (such as resistivity, thickness, and thermal conductivity) of a metal film (that is normally etched to form line 111) are determined using a conventional method, and variations in the thickness or thermal conductivity of the underlying dielectric layer 112 are measured using the relationship in equation (20) .
  • characteristics of the metal film are determined by use of a four point probe.
  • two wafers are prepared in an identical manner except for the following differences: a first wafer includes, in dielectric layer 112, a known material, e.g. silicon dioxide, and a second wafer includes, in dielectric layer 112, a material for which the properties are to be determined. The first wafer is used to measure the properties of conductive line 111 (using reflectance measurements as described above) , and thereafter the measured properties are used to determine the characteristics of dielectric layer 112.
  • measurements are performed on an unpatterned layer of conductive material, such as a layer formed by blanket deposition over all regions of a wafer.
  • the properties of the conductive layer as a whole are substituted for the corresponding variables.
  • the change in reflectance is determined from a solution of an area equation that is similar to equation (20), but written in radial coordinates as follows :
  • is the difference between the temperature at a radius r and the ambient temperature, and the other variables are as defined earlier.
  • the temperature profile is given by
  • Equation (15) was a function of both the line thickness h m and line width W.
  • equation (26) the temperature profile for a conductive layer however, is only a function of the thickness h m .of the conductive layer. Therefore, a material property, specifically the resistance per unit thickness p e /h m (called the sheet resistance, or sheet rho) of the layer is determined as described above in reference to equations (1), (2), and (19).
  • a numerical model is used to obtain a curved line that relates the change in reflectance (between the presence and absence of a heating beam) to the conductive layer's thickness (see line 801 in FIG. 8A) or between the change in reflectance and the sheet resistance (see line 851 in FIG. 8B) .
  • This numerical model is analogous to the model for a conductive line, and uses the relations of equations (1), (2) and (19).
  • FIG. 8A plots on the y axis the change in value of reflectance measurement multiplied by 10,000 and on the x axis the conductive layer's thickness.
  • a laser of 10 milliwatts at 1.48 ⁇ m wavelength is used to generate heating beam 101 (FIG. IB) .
  • resistance measurement apparatus 13 measures reflected power from a conductive layer in the presence and absence of heating beam 101, and determines the difference ⁇ R to be 4.2 x 10 ⁇ 4 .
  • apparatus 13 interpolates, from line 801 (for no degradation) the thickness h m to be 0.4 ⁇ m. Therefore, if the thickness value of 0.4 ⁇ m falls within the specification (e.g. a range of 0.38 to 0.42 ⁇ m) , then the substrate is processed further in the normal manner, and otherwise the substrate is moved out of unit 10 (FIG. 1A) for future analysis.
  • line 801 any of other lines 802-804 can be used depending on the resistance degradation required by a process. If the thickness h m is known from another method, the resistance degradation can be determined.
  • FIG. 8B illustrates, in a graph, the sheet resistance, given by the resistivity divided by the thickness, on the x axis and the change in reflectance multiplied by 10,000 on the y axis.
  • Line 851 is for an aluminum film with resistivity degraded by 0% (in units of ohms/square) . Therefore, in the above-described example, resistance measurement apparatus 13 uses the ⁇ R value of 4.2 x 10 "4 to interpolate, from line 851, the sheet resistivity to be 0.045. Apparatus 13 checks the measured sheet resistivity with the specification for the resistivity in the same manner as that described above in reference to FIG. 8A by comparison with a predetermined range of, e.g. 0.04 to 0.05.
  • apparatus 13 need not compute a steady state ratio, and instead can use a single reflectance measurement, or a difference between two reflectance measurements to determine the acceptability of a conductive layer (or a conductive line) .
  • FIG. 9 illustrates, in a graph, measurements taken at the center of square regions, each region having a side of a different dimension from another region.
  • Each region is formed of aluminum and has a thickness of 0.2 ⁇ m.
  • the regions have an underlying silicon dioxide insulator that is 1.0 ⁇ m thick.
  • the y axis shows the value of reflectance measurement in millivolts and the x axis shows the length of a side of a region.
  • the measured value is a function of the dimensions in the plane of the surface.
  • the measured value also called “signal" is independent of the dimensions in the plane of the surface. Therefore, measurements on regions having sides greater than 20 ⁇ m approximate the measurements for the entire layer.
  • the above-described measurements of the thermal conductivity of dielectric layer 112 are performed in a number of successive regions of the wafer, e.g. in a linear scan across the wafer.

Abstract

An apparatus measures a property of a layer (such as the sheet resistance or thermal conductivity by the following method: (1) focusing the heating beam on a region of the conductive layer, (2) modulating the power of the heating beam at a predetermined frequency that is selected to be sufficiently low to ensure that at least a majority (preferably all) of the generated heat transfers out of the heated region by diffusion, and (3) measuring the power of another beam that is (a) reflected by the heated region, and (b) modulated in phase with modulation of the heating beam. When the measurement changes by more than a predetermined amount (e.g. by 10 %), a fabrication process parameter is changed to return the measurement to normal.

Description

AN APPARATUS AND METHOD FOR MEASURING A PROPERTY OF A LAYER IN A MULTILAYERED STRUCTURE
BACKGROUND OF THE INVENTION
1. Field of the Invention
This invention relates generally to the measurement of a property of a layer in a multilayered structure, and in particular to a measurement of reflectance of a region of a conductive layer (such as a conductive line) , and use of the reflectance measurement to determine various properties, such as sheet resistance of the layer, and thermal conductivity of a dielectric layer located underneath the conductive layer.
2. Description of Related Art
Metal lines having sub-micron (i.e. less than 1 micron) dimensions are conventionally used to interconnect devices that are formed in an integrated circuit die. Such a metal line is typically formed as a portion of a film of metal (such as aluminum or copper) . The metal film is normally formed as a blanket layer over a semiconductor wafer, and is thereafter removed (e.g. by etching) to form one or more metal lines, in a process act known as "patterning" . Conventionally, the resistivity of the metal film is measured (on a test wafer) , and the measurement is combined with a measurement of the film's thickness (on another test wafer) and, a measurement of the line width (on a production wafer) , to determine if the metal film has ohmic loss sufficiently low for use in forming metal lines required in an integrated circuit die. A number of methods exist for measuring a metal film's resistivity. Two such methods are commonly known as "probing" and "eddy current" . In the probing method, two or four probes are brought into physical contact with an unpatterned metal film (e.g. on a test wafer) to measure the film's resistivity directly. See, for example, "The Four-Point Probe", Section 1.2, pages 2-20 in the book "Semiconductor Material and Device Characterization" by Dieter K. Schroder, John Wiley & Sons, Inc, New York, 1990. In the eddy current method, a measurement device is coupled to the metal film either capacitively or inductively, i.e. without contacting the metal film. See, for example, "Eddy Current", Section 1.4.1, pages 27-30, in the book by Schroder (referenced above) .
Each of the above-described methods requires a metallized region having a width (e.g. 0.5 mm) that may be several orders of magnitude larger than a typical metal line's width (e.g. <0.5 microns) . Due to the requirement of the metallized region to have a 1000 times larger width, the measurements are performed prior to patterning, typically on a test wafer. Moreover, the above-described methods measure merely the resistivity of a metal film, and are not known to be used in the measurement of resistance of a line formed after etching the metal film (e.g. in a production wafer) .
U.S. Patent 5,228,776 granted to Smith et al . (hereinafter "Smith") describes measuring changes in optical reflectivity (column 4, line 5-6) caused by thermal waves (column 3, line 42) to "monitor variations in electrical conductivity and resistance..." (column 4, lines 53-54). Specifically, Smith requires "periodically exciting the sample at a highly localized spot on the sample surface.... The pump beam functions to periodically heat the sample which in turn generates thermal waves that propagate from the irradiated spot .... Features at or beneath the sample surface can be studied by monitoring the variations they induce in these waves" (column 1, lines 25-40) . Smith also states that "when the optical reflectivity of the sample is to be monitored, it is desirable to arrange the pump and probe beams to be coincident on the sample" (column 1, lines 60-64) . When using such coincident beams, Smith notes problems created by "surfaces associated with defective vias are often not optically flat..." (column 3, lines 6-13). Moreover, prior art also states that " [w] hen materials other than semiconductors are to be evaluated, such as metals ... analysis of the thermal wave patterns is required" (see U.S. Patent 4,854,710 at column 7, lines 41-44) .
SUMMARY OF THE INVENTION
According to the principles of the invention, an apparatus focuses a beam of electromagnetic radiation (also called "heating beam") on a region (also called "heated region") of a conductive layer such that heat generated by the beam transfers out of the heated region primarily by diffusion, i.e. by conduction under steady state conditions, thereby eliminating the creation of a thermal wave as described in U.S. Patent 5,228,776.
Specifically, a method implemented by the apparatus (also called "measurement apparatus") includes (1) focusing the heating beam on the region, (2) modulating the power of the heating beam at a frequency that is predetermined to be sufficiently low to ensure that at least a majority (preferably almost all) of the generated heat transfers from the heated region by diffusion, and (3) measuring the power (also called "reflected power") of a portion of another beam (also called "probe beam"), the portion being (a) reflected by the heated region, and (b) modulated in phase with modulation of the heating beam.
In one embodiment, the above described acts (1) - (3) are repeated by the measurement apparatus between various acts in the fabrication of a substrate, at each of a number of regions on a line (formed by patterning the conductive layer) , and any change in the measurements of the reflected power indicates a corresponding change in resistance (per unit length) of a conductive line. In another embodiment, the conductive layer is not patterned into a line, and instead the measurement apparatus performs the above- described acts on one or more regions of an unpatterned conductive layer, and measures the sheet resistance. When the measurements change by more than a predetermined amount (e.g. by 10%), one embodiment of the measurement apparatus changes a process parameter that controls one of the fabrication acts (e.g. the metallization act) in a "feedback" loop to return the measurement to normal in the next wafer (or next batch of wafers) . Performance of acts (l)-(3) during a fabrication process, without touching a substrate (i.e. in a non-contact manner) increases yield of the fabrication process, as compared to an off-line measurement of the resistivity of a metal film on a test substrate. Also, performance of acts (l)-(3) as described herein indicates the efficacy of patterning of the specific production substrate that is otherwise not measurable e.g. when using an unpatterned substrate (such as a test substrate) .
As noted above, the measurement apparatus modulates the power of the heating beam at a frequency (e.g. 1000 Hz) that is selected to be sufficiently low to ensure that at least a majority (i.e. greater than 50%) of the generated heat is transferred out of the heated region by diffusion rather than by a thermal wave. The measurement apparatus detects the reflected power that is also modulated at the just-described frequency, for example, by using a lock-in amplifier. Moreover, the apparatus filters, prior to the measurement, any portion of the heating beam that is also reflected, for example by using (a) a silicon wafer, or (b) a narrow band filter tuned to the wavelength of the probe beam, or preferably both, thereby eliminating the need for a quarter wave plate otherwise necessary in the prior art.
In one embodiment, the measurement apparatus includes sources (such as lasers) that produce each of the heating beam and the probe beam. In addition, the measurement apparatus also includes a photosensitive element (such as a "photodiode" ) that is located in the path of a portion of the probe beam reflected by the heated region. The photosensitive element generates an electrical signal (e.g. a voltage level) that indicates the intensity of the probe beam portion reflected by the illuminated region. The intensity in turn indicates reflectance caused by heating. So the intensity measurement is a measure of the peak temperature in the heated region. In this embodiment the measurement apparatus also includes a computer that is coupled to the photosensitive element to receive the electrical signal, and that is programmed to determine the value of a material property in the heated region from one or more such measurements. Use of diffusion as described herein to transfer a majority of the generated heat from the heated region is a critical aspect of the invention, and eliminates the need for a thermal wave as described above. Transferring heat by diffusion as described herein causes a conductive line to have a steady-state temperature (called "peak temperature") at the center of the heated region, and the peak temperature changes in phase with modulation of the heating beam. As the reflectance of a conductive line varies linearly with the peak temperature, the reflectance also changes in phase with modulation of the heating beam.
In a first embodiment, the measurement apparatus computes a ratio (also called "steady-state ratio") of a change in reflected power to a corresponding change in the power of the heating beam, with the probe beam power constant, and uses the ratio as a measure of the resistance of the conductive line. Specifically, the steady-state ratio when multiplied by a predetermined constant yields, per unit length, the resistance of the conductive line in the heated region. Therefore, the apparatus uses a change in the steady-state ratio as a measure of a change in the resistance of the conductive line between the heated regions.
As shown below, the reflectance obtained by heating a conductive line as described herein increases linearly with the power of the heating beam. The reflectance when plotted with respect to the power of the heating beam, yields a straight line. The slope of the straight line is the steady state ratio. This slope is approximately a product of a number of known factors and the resistance per unit length of the conductive line. Therefore, the steady-state ratio provides a measure of the resistance per unit length.
In a second embodiment , the measurement apparatus computes a ratio (also called "steady-state ratio") of the reflected power to the power of the heating beam and uses the ratio as a measure of the resistance per unit length of the conductive line. The second embodiment eliminates the need to perform at least two measurements that are otherwise required to determine a straight line, and is based on the fact that the reflected power is zero when the power of the heating beam is zero (i.e. uses origin as one of the points on the straight line described above) . Note that in one implementation, a steady state ratio is not computed, and instead a measurement of the difference in reflected powers in the presence and absence of a heating beam is used directly as a measure of the resistance of a line, e.g. when using a heating beam having unit power.
Determination of a steady-state ratio (as described above) during the fabrication of a substrate (e.g. immediately after patterning) provides an accurate indication of a change in resistance of a conductive line across the various regions of the wafer. Specifically, monitoring the steady-state ratio identifies an increase in a conductive line's resistance e.g. due to voids or due to impurities segregated at grain boundaries both of which cannot be detected by visual inspection methods. Moreover, use of a steady-state ratio as a measure of a conductive line's resistance detects not only variations in resistivity, but also variations in thickness and in width of the conductive line.
Also, a difference in reflectance measurements as described herein identifies a change in a property of a material other than the material of the conductive line. For example, a change in adhesion between a conductive line and an underlying insulation layer causes a corresponding change in the dissipation of heat from the conductive line through the underlying layer, and is detected as a change in the reflectance measurement. Moreover, such a difference in reflectance measurement also indicates a change in thermal conductivity of the underlying layer, e.g. due to a change in porosity or density of the layer. Specifically, the lower the thermal conductivity of the insulation layer, the higher the temperature of the conductive line (assuming the average power of the heating beam stays the same) .
Such a change in thermal conductivity also indicates a corresponding change in the dielectric constant of the underlying material. Also, a change in the dielectric constant can indicate a change in the capacitance between the conductive line and one or more adjacent conductors or the ground plane. The change in capacitance in turn indicates a change in the speed of transmission of signals in the integrated circuit.
Note that the substrate that supports a conductive layer or a conductive line can be any of the following: a silicon wafer that is processed to form integrated circuit dice, a glass plate that is processed to form a liquid crystal display or a resin (such as BT) core that is processed to form a printed circuit board.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1A illustrates, in a block diagram, use of one embodiment of a measurement apparatus of this invention with a metal formation apparatus for forming a conductive layer and a metal etching apparatus for patterning the conductive layer.
FIG. IB illustrates, in the apparatus of FIG. 1A, a heating beam focused on a region 111R of a conductive line 111 under steady state conditions while a probe beam is used to measure reflectance of region 111R.
FIG. IC illustrates, in a graph, the temperature of heated region 111R and of adjacent regions 111S and HIT in the conductive line of FIG. IB.
FIG. 2 illustrates, in a flow diagram, a method for using the two beams of FIG. IB to measure a change in resistance of conductive line 111, and use of the measurement to control the processing of wafers by the metal formation apparatus and metal etching apparatus in FIG. 1A. FIG. 3 illustrates, in a block diagram, a measurement apparatus that performs the method illustrated in FIG. 2.
FIG. 4A illustrates the transfer of heat through a portion 111X (of region HIT in FIGs. IB and IC) and into dielectric layer 112 by diffusion under steady state conditions.
FIG. 4B illustrates, in a cross-sectional view, a via 114 that couples conductive line 111 of the type illustrated in FIG. 4A to another conductive line 113, and the transfer of heat from line 111 by conduction through the via .
FIG. 5 illustrates, in a graph, the rise (above ambient) of temperature in °C of conductive line 111 (FIG. 4A) as a function of power levels (of 5, 2 and 1 mW for respective lines 501-503) of a heating beam that illuminates region 111R of FIG. IB.
FIG. 6 illustrates, in a graph, a change in reflectance (plotted along y axis after scaling by a factor of 1000) of conductive line 111 (FIG. 4A) as a function of the powers of a heating beam as described above in reference to FIG. 5 for various thicknesses (of 0.2, 0.5 and 1.0 μm for lines 601-603 respectively) of conductive line 111 (having a width of 0.25 μm) . FIG. 7 illustrates, in a graph, a signal generated by the amplifier of FIG. 3 for multiple lines 111 having different resistances, when all lines 111 have the same reflectance (i.e. are formed of the same material) . FIG. 8A illustrates, in a graph, the change in reflectance (plotted along y axis and multiplied by 10,000) as a function of the thickness of an aluminum blanket film, with each of lines 801-804 being for a different level of degradation in resistivity. FIG. 8B illustrates, in a graph, the change in reflectance (plotted along y axis and multiplied by 10,000) as a function of the sheet resistivity (in ohms per square) of an aluminum blanket film for no degradation in resistivity.
FIG. 9 illustrates, in a graph, an intensity measurement (plotted along y axis in units of millivolts) as a function of length of a side of a square region, for measurements taken at the centers of squares of an aluminum layer 0.2 μm thick formed on 1 μm thick silicon dioxide layer that in turn is deposited on a silicon substrate.
DETAILED DESCRIPTION
A processing unit 10 (FIG. 1A) can be operated in accordance with the invention to create integrated circuit (abbreviated as "IC") dice by processing a substrate 104 to form a patterned substrate 105, measuring the resistance of one or more conductive lines in patterned substrate 105, and adjusting the processing in real time if necessary. Specifically, unit 10 includes a metal deposition apparatus 11 that forms on substrate 104 a layer of conductive material (such as a metal) to form a metallized wafer 103 that is in turn processed by metal etching apparatus 12 that etches the film to form one or more conductive lines in substrate 105. Unit 10 also includes a resistance measurement apparatus 13 that measures the resistance of one or more of the conductive lines (e.g. line 111 in FIG. IB) on patterned substrate 105, or of one or more regions on unpatterned substrate 103 or both (i.e. before and after patterning of the same substrate) .
If the resistance measurement falls outside of the specifications for a substrate 103 or 104, a process parameter can be adjusted by resistance measurement apparatus 13. One embodiment of apparatus 13 includes an optional programmed computer 13C that drives an active signal on line 14 that is coupled to metal etching apparatus 12, or on line 15 that is coupled to metal formation apparatus 11, or both, depending on the measurement . A change in the process parameter can be determined automatically by software in programmed computer 13C, or can be entered by a human operator.
In one embodiment, an unpatterned substrate 103 is transferred to resistance measurement apparatus 13 for measurement of a property of a conductive layer formed thereon. Examples of such a property are conductivity and thickness as described below in reference to FIGs. 8A, 8B and 9. Such an intermediate measurement provides a more immediate feedback to control the operation of metal formation apparatus 11 as compared to an otherwise long delay (several hours or days) between forming a conductive layer and etching a pattern.
Resistance measurement apparatus 13 determines, between acts of fabricating unpatterned substrate 104 or patterned substrate 105 (FIG. IB), a measure of the electrical resistance by use of two coincident beams
101 and 102 of electromagnetic radiation (such as laser beams) . A first beam (also called "heating beam") 101 has a power (also called "heating power") that is modulated at a predetermined frequency. A second beam (also called "probe beam") 102 is continuous, and is weaker than first beam 101. First beam 101 is incident on and heats a region 111R on substrate 104 or 105 to a temperature T, and second beam 102 is reflected by region 111R in phase with modulation of first beam 101, because temperature T is modulated in phase with modulation of first beam 101.
The predetermined frequency of modulation of first beam 101 is selected to be sufficiently small to ensure that a majority (i.e. greater than 50%) of heat generated by first beam 101 flows by diffusion out of heated region 111R (e.g. along the length L of line 111 on substrate 105) . In one embodiment, the predetermined frequency is selected to cause substantially all (e.g. greater than 90%) of heat generated by first beam 101 in region 111R to be transferred to adjacent regions HIS and HIT by diffusion. Such a diffusive heat transfer allows the use of a diffusion equation solution (20) as described below to relate electrical and thermal conductivity in a measurement method 200 (FIG. 2) . Therefore, the predetermined frequency is selected to be lower than a maximum frequency beyond which the effects of a thermal wave become noticeable. The maximum frequency is inversely related to a dimension of heated region HIR (e.g. the length L) as described below in reference to equation (11) . In one embodiment, length L is approximately 100 microns, and the maximum frequency is 1430 Hz for copper lines, and 1080 Hz for aluminum lines .
Note that the following discussion makes a specific reference to a conductive line 111, although as noted later (in reference to FIGs. 8A, 8B and 9) a similar analysis in applicable to a portion of a conductive layer. Moreover, although the following description refers to a wafer of silicon (such as wafer 103, 104, or 105), the description is equally applicable to any substrate that supports a conductive layer, and other examples of such a substrate include a glass plate and a resin core. For convenience, the same reference numerals are used for a wafer and a substrate.
The diffusion of heat from region HIR creates a temperature profile 150 (FIG. IC) in conductive line 111, with a hottest point C (having a peak temperature Tp) located at the center of region HIR under the following assumption. In one example, conductive line 111 is supported on a dielectric layer 112 (FIG. IB) of a wafer 105 having a thermal conductivity Ki that is almost two orders of magnitude lower than the thermal conductivity Km of conductive line 111. Note that such a large difference in thermal conductivities is not required for the relation in equation (20) described below. Instead, equation (20) holds as long as the thermal conductivity K± of dielectric layer 112 is smaller than the thermal conductivity Km of line 111.
Peak temperature Tp (FIG. IC) is a function of the thermal conductivity Km and the cross-sectional area Whm of conductive line 111, wherein W is width and hm is height of line 111. As the electrical and thermal conductivities are related (as shown in equation (1) ) , peak temperature Tp indicates (as discussed more completely below) , per unit length, conductive line Ill's electrical resistance.
Temperature profile 150 has substantially the same "bell" shape (FIG. IB) over length L at any time during a cycle at the predetermined frequency. Therefore, temperature T is modulated without forming a wave in space (in a manner analogous to direct current ("DC")) during the cycle. Temperature T is modulated only to increase the accuracy in measurement, specifically the signal-to-noise ratio (described below in reference to equation 21) by use of synchronous detection of a portion of probe beam 102 reflected by region HIR. Moreover, the predetermined frequency can be arbitrarily low, limited only by the minimum throughput required of the fabrication process. In one embodiment, a measure of the electrical resistance of line 111 is determined by performing acts 201-206 of a method 200 (FIG. 2) . Specifically, in act 202, heating beam 101 is focused on a region HIR (FIG. IB) . In act 201 (FIG. 2) , the power of heating beam 101 is modulated at the predetermined frequency. Note that acts 201 and 202 can be performed in reverse order, i.e. act 202 performed first followed by performance of act 201.
Next, the power (also called "reflected power") of probe beam 102 after reflection by region HIR is measured in act 203. Thereafter, in act 204, the power of heating beam 101 (FIG. IB) is changed, e.g. increased from 1 milliwatt to 5 milliwatts. Next, in act 205 (FIG. 2) , a change in the reflected power in response to the change in power of heating beam 101 is determined. Thereafter, in act 206, a ratio of the change in reflected power to the change in power of heating beam 101 is computed. The ratio indicates, per unit length, a measure of the electrical resistance of conductive line 111 in region HIR. Note that during the just-described operations, the power (also called "probe power") of probe beam 102 that is incident on region HIR remains constant in this embodiment . The ratio may itself be compared (in act 207) with a predetermined limit to check if line 111 is within specifications and if so, return to act 201 (for another wafer) .
The ratio (also called "steady-state ratio"), when multiplied by a predetermined constant yields, per unit length, the resistance of conductive line 111 in heated region HIR. As described more completely below, the constant's value is determined (see equation (20)) by a number of factors, such as absolute reflectance R0 of the conductive line 111 in heated region HIR, dielectric constant of free space ε0, frequency of modulation vL of the reflected portion of probe beam
102, Boltzmann's constant kB, electron charge q, ambient temperature T0, rate of change of resistivity with temperature, and power of probe beam 102, as well as the thickness h^ and thermal conductivity K± of insulating layer located underneath the conductive line. The steady-state ratio when multiplied by such a constant yields (pe/Whm) where pe is the resistivity, W is the width of conductive line 111 and hm is the thickness of conductive line 111.
In one implementation, heating beam 101 is focused (in act 210) in another region (e.g. region HIT) and the measurement is repeated (in act 203), and the two measurements are compared. Any reduction in width W or height hm results in an increase in the steady-state ratio that can be detected by the comparison. Similarly, any increase in resistivity also increases the steady-state ratio, and is also detected by the just -described comparison. Furthermore, a problem in adhesion of conductive line 111 to the underlying dielectric layer 112 (e.g. due to voids or delamination) also causes an increase in the steady- state ratio and is therefore also detected by the comparison.
In one implementation, the above-described measurements (either a single measurement or two or more measurements per region) are repeated after focusing (see act 210) heating beam 101 in each of three different regions that define a triangular area on conducive line 111. Instead of comparing numerical measurements, a change in the steady state ratio can be detected by plotting a graph of the steady state ratio as a function of distance.
Therefore, the event of a change in the steady- state ratio (e.g. exceeding a predetermined limit) provides an indication that the fabrication process has changed, and that conductive line 111 is no longer within the specification. In response to the indication, an operator or an appropriately programmed computer changes a process parameter that controls the fabrication of line 111 (see act 208 in FIG. 2) and that changes the process to return a conductive line in the next wafer to within the specification. For example, the operator identifies a source of contamination in metal formation apparatus 11 (FIG. 1A) that degrades the resistivity of a metal layer formed on wafer 103, and changes a parameter related to the source .
A steady-state ratio as described above is measured at a single spot (e.g. in region HIR), allowing the measurement (of the value of reflected power) to be made in a more compact area (e.g. a region of length 1 micron) than possible by a method that requires two locations (each displaced from the other) , e.g. as disclosed in U.S. Patent 5,228,776. In the just-described example, since only the power of beam 101 that is incident on line 111 heats the line, width W (FIG. IB) of line 111 can be smaller than the diameter of beam 101 (that may have a minimum size larger than line width W) . The temperature of a region HIR (of length equal to the diameter of beam 101) in line 111 that is heated under diffusive conditions as described herein is a function of the thermal properties of an extended length L (typically several tens of microns) of line 111 about the heated region HIR.
In the prior art (e.g. U.S. Patent 5,228,776), the heat propagates away from a heated region in a thermal wave, and the temperature at the heated region is not a direct function of the physical properties of the conductive line at a distance. This is because a thermal wave at any point is the sum of heat from an outgoing wave and heat from waves reflected from one or more regions in the line where the metal properties have changed. This sum is difficult to quantify in the prior art, because the reflective properties of defects may not be known in advance . In contrast, during diffusive heat transfer, the heat at any point is affected in a quantifiable manner (as described below in reference to FIG. 4B) by the reflective properties of defects or vias at a distance from the point. Also, method 200 provides an unexpected result, specifically the value of reflected power as measured by method 200 is unaffected by the presence of non-flat surfaces (that cause problems in the prior art, e.g. U.S. Patent 5,228,776) because a reflectance measurement as described herein is independent of the small angular deflection that is caused by periodic undulation of a surface by passage of a thermal wave .
In one example, apparatus 13 operates heating beam 101 at 0.001 watts and at 0.002 watts and obtains intensity measurements for these two power as follows: probe beam has an incident power on heated region HIR of 1.1 milliwatts, and (1) a modulated component of reflected power of 0.55 microwatts, thereby yielding ΔR = (0.55/1.1) x 10"3 = 0.5 x 10~3; and (2) a modulated component of the reflected power of 1.1 microwatts, thereby yielding ΔR =(1.1/1.1) x 10~3 = 1 x 10"3.
Therefore, the slope is ΔR/ΔP = (1.0 - 0.5)/ (0.002- 0.001) x 10"3 = 0.5. The value of 0.5 of the slope is thereafter used with a constant (as described below in reference to equation 20) to obtain the resistance per unit length. Note that instead of using two measurements, a single measurement (e.g. at 0.001 watts of heating beam power) can be used, e.g. by computing ΔR/ΔP as (0.5/0.001) x 10"3 = 0.5 assuming that the ΔR is zero when ΔP is zero. In an alternative embodiment, instead of performing acts 204-206, another ratio is computed in act 209, directly after act 203, based on the fact that a modulated component of the reflected power is zero when the power heating beam 101 is zero. Specifically, a ratio of a modulated component of the reflected power to the power of heating beam 101 is computed, and used as a measure, per unit length, of the electrical resistance of conductive line 111 in act 207. Furthermore, instead of computing the ratio, the reflected power can also be used directly (by going from act 203 directly to act 207 or by going from act 205 directly to act 207) as a measure of the electrical resistance per unit length, if power of heating beam 101 is constant for each of a number of measurements for the corresponding regions e.g. regions HIR-HIT. Use of steady-state conditions as described herein eliminates the need for a generation beam having the high modulation frequency required by U.S. Patent 5,228,776 to set up a thermal wave. Specifically, the above-described method eliminates the need to generate a beam modulated at a frequency in the range of 1 MHz to 100 MHz, and instead requires a beam modulated at a frequency that is several orders of magnitude smaller, e.g. in the range of 0.01 KHz to 1 KHz, thereby eliminating the thermal wave. Acts 201-206 of method 200 can be performed by use of a resistance measurement apparatus 13 (FIG. 3) having two lasers that create the two beams 101 and 102. Specifically, apparatus 13 includes a laser 301 for creating a beam 101 of electromagnetic radiation at a predetermined wavelength, such as infrared light, ultraviolet light, X-rays, gamma rays, or radiation in the microwave or radio frequencies . In a preferred embodiment, laser 301 is a AlGaAs diode laser that emits electromagnetic radiation of wavelength 830 nm. The electromagnetic radiation created by laser 301 is transmitted through an optical fiber 302 to a collimator 323 that emits heating beam 101. In one implementation, heating beam 101 has a maximum power of, for example, 100 milliwatts. Apparatus 13 also includes lenses 304A and 304B that adjust the size of beam 101 to fill the aperture of an objective lens 315 also included in apparatus 13.
Apparatus 13 further includes a second laser 305 that creates a beam 102 of electromagnetic radiation used to measure a change in reflectance of region HIR (FIG. IB) in response to change in power of heating beam 101. In one implementation, laser 305 is an InGaAs diode laser that emits electromagnetic radiation of wavelength 1480 nm. The electromagnetic radiation created by laser 305 is transferred by an optical fiber 306 to another collimator 307 also included in apparatus 13. Collimator 307 emits probe beam 102 having a maximum power of, for example, 7 milliwatts. Therefore, probe beam 102 has a power that is an order of magnitude smaller than the power of heating beam 101, so that conductive line 111 is not noticeably heated by probe beam 102.
Apparatus 13 also includes lenses 308A and 308B that adjust the size of probe beam 102 to fill the aperture of objective lens 315 (described above) .
Apparatus 13 also includes a dichroic beam splitter 310 that combines heating beam 101 and probe beam 102 to form a combined beam 311. Combined beam 311 passes through beam splitters 312 and 314 that are also included in apparatus 13, to an objective lens 315.
Objective lens 315 can be, for example, a 0.9 NA, 100 X objective lens available from Nikon of Yokohama, Japan. A portion of combined beam 311 is deflected to a photodetector 313, such as part number J16-8SP-R05m-HS from EG&G Judson of Montgomeryvilie, PA, USA.
Photodetector 313 is used to verify the alignment of combined beam 311 with respect to wafer 105, and to measure the incident power of one or both of beams 101 and 102. Light reflected from wafer 105 passes back through objective lens 315 and through beam splitter 312. Beam splitter 312 sends 50% of the reflected light through a filter 319 to a photodetector 320. Filter 319 is a narrow band filter that removes the reflected portion of heating beam 303 while passing the reflected portion of probe beam 309. Thereafter, photodetector 320 senses the intensity of the reflected portion of probe beam 309, and passes a voltage signal to amplifier 324.
Amplifier 324 converts the voltage signal into a current signal and passes the current signal to a lock- in amplifier 322. Lock-in amplifier 322 includes an oscillator as a frequency source that is used to detect the power of the reflected portion of probe beam 102 modulated at the predetermined frequency. The frequency source in lock- in amplifier 322 also provides a frequency signal on a line 321M to a laser driver 321. Laser driver 321 uses the frequency signal on line 321M to drive laser 301 at the predetermined frequency that is sufficiently low to modulate the amplitude of heating beam 303 to ensure heat transfer by diffusion as described herein.
Apparatus 13 also includes a beam splitter 314 that diverts 10% of combined beam 311 to a focusing lens 317 and a camera 318. Camera 318 is used to observe beams 101 and 102 (FIG. IB) on wafer 105, in order to focus combined beam 311 (FIG. 3) within region HIR (FIG. IB) on wafer .
The above-described method 200 uses one or more of the following relationships (under steady-state conditions) between conductive line Ill's thermal conductivity, electrical resistance, and reflectance to provide a non-destructive yet reliable method for detecting changes in the resistance of line 111. Specifically, the electrical resistance of conductive line 111 (FIG. 4A) is determined using the Wiedermann- Franz equation
Figure imgf000023_0001
where Km is the thermal conductivity of line 111 in units of watts/ (cm-deg C) , σe is the electrical conductivity of line 111 in units of (ohm-cm)"1, T is the absolute temperature of line 111, q is the electron charge, and kB is Boltzmann's constant.
The electrical resistivity of line 111 is the inverse of the electrical conductivity, pe=l/σe , in units of ohm-cm. The electrical resistance of line 111 is found by multiplying the electrical resistivity pe by
L/A, where A is the cross-sectional area Whm Whm (FIG.
IB) in units of centimeters squared and L is the length of conductive line 111 in centimeters.
The electrical resistivity of conductive line 111 is related to the reflectance R of line 111 (a ratio of reflected power to the incoming power) by the Hagen-
Rubens relation:
R(T) = 1 - 2^ne0vhpe (2)
where vL is the frequency (in units of cycles per second) of the reflected portion of probe beam 102 (equal to c/λ, where c is the speed of light, 3 x 10 cm/sec, and λ is the wavelength of probe beam 102) . Although the above equation (2) does not strictly hold at near- infrared wavelengths (e.g. wavelengths in the
0.75 to 2 μm range, corresponding to frequencies 1.5 to 4 x 10 Hz) for good conductors such as aluminum and copper, the imaginary part of the index of refraction greatly exceeds (e.g. by an order of magnitude) the real, and the approximations used to derive equation (2) hold approximately.
Hence, conductive line Ill's reflectance R is directly related to electrical resistivity pe and thermal conductivity Km by equations (1) and (2) . Therefore, use of a heating beam 101 introduces a known heat flux Q into line 111, thereby to heat line 111 to a peak temperature Tp that is determined by measuring the reflectance R of probe beam 102. Line Ill's electrical resistivity pe is then deduced directly from equation (2) .
Alternatively, as discussed below a solution of a heat-flow equation (3) yields line Ill's thermal conductance per unit length as a function of temperature T, thereby yielding line Ill's electrical conductance per unit length and its inverse, the electrical resistance per unit length. The analysis provided below uses the following assumptions. Heat flux Hout (x) flowing into a region of width Δx around a point HIX (FIG. 4A) outside of region HIR (FIG. IB) in line 111 is diffusive and hence temperature profile 150 (FIG. IC) has a static solution rather than wavelike solution. Conductive line 111 (FIG. IB) is a conductor that has a length L assumed to be infinite along the X axis (as compared to the diameter of heated region HIR) . Moreover, conductive line 111 has thermal conductivity Km, and lies on an insulation layer 112 with a thermal conductivity K± and thickness h . The light from heating beam 101 that is not reflected is fully absorbed by line 111, creating a heat flux H (FIG. IB) flowing in both the positive and negative X directions from heated region HIR.
Initially assume that heat flow F (x) into insulation layer 112 is small compared to flow H(x) along line 111 - an assumption that is valid when the thermal conductivity of line 111 is much greater than the thermal conductivity of layer 112. The temperature T at any point 111X (FIG. IC) along conductive line 111 is found by solving the one-dimension heat diffusion equation for the difference in temperature T between line 111 and the ambient:
Figure imgf000025_0001
The first term in equation (3) represents the diffusion of heat, which creates a static distribution. The second term represents the time-variation of the temperature, giving rise to the wave-like solution. The units of Km are watts/ (cm-deg. C) . The thermal diffusivity κm is related to the thermal conductivity Km as κm = Km/pmCm, where pm is the density of line 111 (in units of gms/centimeter ) and Cm is the heat capacity (in units of Joule/gm-degree C) of line 111 Equation (3) is solved by separation of variables. Assume a time-dependent solution for temperature T of line 111 of the form
ΔT(x,t)-=u(x)ejωt (4)
where ω is the modulation frequency. Substituting (4) into (3) gives an equation in x,
32u(x) ω ..
-rτ--j- (x) = 0 (5) dx K
The solution that is finite at infinity is
Figure imgf000025_0002
Combining (4) and (6) , the temperature as a function of position and time is
ΔT(x,t) = Ae~kx cos(ωt - kx) ( 7 ) where
Figure imgf000026_0001
A is a constant determined by the initial conditions, and ω is radial frequency of the thermal wave.
Equation (7) is a wave solution, with a frequency f=ω/2π and a wavelength λ given by equation (8) . If the wavelength λ of the thermal wave is long compared to the dimensions of the measurement, then k in equation (8) will be small. If k is sufficiently small, the second term in equation (5) - representing the time dependence - will be insignificantly small (e.g. less than 1%) compared to the static derivative term. The assumption that k is small reduces equation (5) to
52u(x) S^0 <9)
Equation (9) has no time dependence, and is a steady state equation for transfer of heat by diffusion, identical to equation (3) when d(ΔT)/dt=0 (with insignificant variation of ΔT with respect to time) .
Assume L (FIG. IB) is the length of line 111 over which heat diffuses to set up the steady state temperature distribution upon which the measurement is based. The condition for a steady state solution is that measurement length L must be negligibly small compared to the thermal wavelength:
2κ. λ = 2π »L do: ω Using a factor of 10 (i.e. one order of magnitude) to signify "very much greater than", the equation for the modulation frequency is
2π πκm f = < -r 11 ω 25L2
The table below gives the relevant constants and the thermal wavelength λ at 1000 Hz for various materials,
Material fi C K K λ@lKHz g/cm3 J/g-κ /cm-K 2 , cm /sec μm
Aluminum 2.70 0.90 2.37 0.98 1105
Copper 8.96 0.39 3.98 1.14 1197
Tungsten 19.3 0.14 1.79 0.66 911
Silicon 2.328 0.70 1.45 0.89 1058
For the above values and length of measurement L of 100 microns, the steady-state approximation requires a modulation frequency of less than a maximum frequency of, e.g. 1430 Hz for copper and 1080 Hz for aluminum. The maximum frequency is also inversely related to the distance over which the temperature T decays to, e.g. 10% of the peak temperature Tp. If such a distance (also called "decay distance") is smaller than measurement length L, the maximum frequency can be higher than the just-described maximum frequency. For example, if the decay distance is 20 microns, the maximum frequency is 5985 hz for copper and 5525 hz for aluminum.
Temperature profile 150 (FIG. IC) is determined by solving the static heat equation for region HIR (FIG. IB) , taking into account heat loss into insulation layer 112. Assume a region (not labeled) around point 111X (FIG. 4A) of conductive line 111 has a length Δx, a width w, and a thickness hm. Insulation layer 112 has thickness h and thermal conductivity K , and is assumed to be at the temperature of conductive line 111 at top surface 112T, and at the ambient temperature at the bottom surface 112B. Heat flux H(x) is primarily along conductive line 111, but a small amount of heat F(x) leaks through insulation layer 112. By conservation of energy, H (x) =F (x) +H (x+dx) , assuming negligible loss (less than 1%) to convection and radiation. Such losses may be included as additional terms added to the loss F(x) due to heat flow into insulator 112, (especially for convection, which scales as the temperature difference between the ambient and the insulator, as does the loss into the insulator) . The diffusive heat flux is given by the derivative of the temperature times the thermal conductivity. Across the thickness hi of insulation layer 112 the derivative is approximately T (x) / ±, giving
Figure imgf000028_0001
in the limit as dx approaches zero, equation (12) reduces to the equation for the temperature distribution in the metal under the condition of diffusive heat flow,
d2T K,
= 0 (13) dxz Km h„mh
Solving equation (13) subject to the boundary conditions of ambient temperature at infinity and an incident flux (l-R)PL/2, where PL is the heating laser power, R is the metal reflectance, and the factor of 2 arises because heat flows in both the +x and -x directions, gives the temperature distribution as a function of the laser power and material constants,
Figure imgf000029_0001
(14
The thermal conductivity of insulation layer 112 is typically about 1% of conductive line 111. For insulation layer 112 having a thickness h of 1 μm, and a metal layer of equal thickness, the temperature drops to 1/e in about 10 microns. This is well under the condition of 100 microns line length assumed above. For example, a laser power of .005 W on a 0.25 μm x 0.5 μm when shone on an aluminum line, with a reflectance of 90%, yields a temperature rise of 35 degrees C. Lines 501-503 (FIG. 5) illustrate the temperature rise for various powers (also called "reflected power") reflected by line 111 (FIG. 1A) in one exemplary wafer 105. In the examples of FIG. 5, line 111 has a width w of 0.25 μm, and a thickness hm of 0.5 μm, and is formed of aluminum on a dielectric layer 112 formed of silicon dioxide and having a thickness hi of 1 μm. For the following analysis, conductive line 111 is assumed to be on an insulation layer 112 having a thermal conductivity equal to 1% of the thermal conductivity of conductive line 111.
The Wiedemann-Franz law, equation (1), is used to express the change in temperature ΔT in line 111 as a function of the metal resistivity,
Δτ(x = 0) = ( 15 ;
Figure imgf000029_0002
The Hagen-Rubens relation, equation (2), is used o relate the change in temperature to the reflection,
ΔT dp.
R(T) = 1 - 2j4πε0(-Jpe(τ) « 1 - 2J4πε 0 [ χ )Pe M 1 +
2pe0) dT (16)
where the Taylor series expansion of the resistivity has been used,
Pe(T) = Pe(T0) + (T - T0) ^ = Pe0) + ΔT (17) dT
Substituting equation (15) into (16), gives the reflectance in terms of the derivative of the resistivity with respect to temperature. The following terms in equation (16),
Figure imgf000030_0001
do not vary with the modulation of the heating laser. The third term , in equation (16)
ΔT dp6
2 4πε, 1)P.(T„) 2Pe(T0) dT varies with the modulation, and can be measured using synchronous detection. This third term is used to find a change in reflectance,
Figure imgf000030_0002
where the frequency of the probe light in terms of its wavelength λ is v=c/λ, where c is the speed of light. From the Bloch-Grueneisen law, the temperature dependence of resistivity varies as
Figure imgf000031_0001
where T0 is the Debye temperature (333 degrees Kelvin for aluminum and 395 degrees Kelvin for copper) . Relation (19) holds for T/Tθ > 0.25, and is generally valid at or above room temperature for the metals of interest in fabrication of wafers.
Taking the derivative of equation (19) and substituting into equation (18) gives the relation between the reflection and the resistance per unit length, ρe/whm,
Figure imgf000031_0002
Equation (20) is the governing equation of operation for act 206 of method 200 described above. The measurements indicative of resistance are carried out as follows: the amplitude of the reflected portion of probe beam 102 at the modulation frequency is measured as a voltage level and is converted using a calibration constant into reflectance (apparatus 13 is calibrated using samples having known reflectance to obtain a scaling factor that when multiplied with a measured voltage level yields the reflectance) . The reflectance is then plotted (see line 601 in FIG. 6) as a function of the power of heating beam 101. The slope (ΔR/ΔP) of the resulting line 601 provides a value of the following partial product in equation (20) that includes everything but the power Pi, of heating beam 101:
Figure imgf000032_0001
The above partial product contains all known parameters except for resistance per unit length, pe/Whm at the Debye temperature Tθ . Therefore, the resistance per unit length pe/Whm is found by dividing the slope (ΔR/ΔP) (also called "steady state ratio" and obtained as described above) with the following constant:
Figure imgf000032_0002
In an example, the constant is 0.723 for line 601 in FIG. 6, assuming the conductive material is aluminum, dielectric layer underlying line 601 has a thickness of l.Oμm, the wavelength of probe beam is 1.48μm, and reflectance is 0.9. Therefore, probe beam's incident power is 1.1 mW, reflected power is 1.0 mW (in the absence of heating beam) thereby resulting in reflectance R of 0.9 that is used in the above formula to compute the constant 0.723. Thereafter, apparatus 13 divides a slope (ΔR/ΔP) computed as described above in reference to FIG. 6 with the constant to determine the resistance per unit length. Therefore, in the above-described example, apparatus 13 divides the value 0.5 of (ΔR/ΔP) with the constant 0.723 to obtain a value 0.361 for the resistance per unit length (in units of ohms/cm) . If necessary, resistivity pe is found from the resistance per unit length ρe/Whm using known values of line width W and line thickness hm. The just-described resistivity Pe is at the Debye temperature, and can be used in equation (19) to obtain resistivity at any other temperature. In equation (20) there is an extra factor of
Figure imgf000033_0001
the numerator, but the thickness hm is known (at least approximately) , and variations in thickness have a relatively small effect (e.g. less than 1% because thickness is typically known to better than 2%), especially considering that equation (2) requires the square root of hm. As the resistance per unit length is pe/Whm, changes in the measured voltage level correspond to changes in the resistance per unit length. The resistivity and slope with respect to temperature for a few metals are:
Metal Resistivity@20C (Ω-cm) e ' -,_:- cm/ αeg t\; dT
Aluminum 2.23 x 10" 1 . 2 x 10"8
Copper 1.72 x 10"6 7 . 0 x 10"9 Gold 2.44 x 10~6 9 . 1 x 10-9
Nickel 7.80 x 10"6 3 . 4 x 10"8
For an aluminum line with 0.25 μm width and 0.5 μm thickness, reflectance of 0.9, heating power of 5 mW at 830 nm, and probe power of 1 mW at 1.48 μm, the reflected power is 2.7 microwatt.
As illustrated in FIG. 4B, when heated region HIR is adjacent to a via 114 that connects line 111 to another conductive line 113 underneath dielectric layer 112, the heat generated by beam 101 branches into two components, of which one component flows through via 114. Specifically, heat Ql generated by beam 101 in line 111 in the negative X direction branches into (1) a first component heat Q2 in line 111 beyond the location of via 114, and (2) a second component heat Q3 that flows through via 114. As Q1=Q2+Q3, any change in the magnitude of Q3 (e.g. due to a defect in via 114 caused by partially filled metal) , affects the magnitude of heat Ql diffusing out of heated region HIR.
Therefore, a measurement of the reflected power in region HIR at a distance Vd from defective via 114 is higher than a corresponding reflectance measurement at the same distance Vd from a normal (non-defective via) . Note that distance Vd is smaller than the length L for the reflectance measurement to have a noticeable difference. For example, with reference to the change in temperature shown in FIG. 5, Vd can be chosen to be 5 microns, when length L is about 20 microns. Assuming the heat flow branches approximately equally between via 114 and line 111 (e.g. Q2=Q3) , a defective via may result in result in a 50% increase in the reflected power measurement (at distance Vd) when compared to a measurement near a non-defective via.
Therefore, in one implementation, reflected power measurements are performed adjacent to a number of vias, and each reflected power measurement that is noticeably greater (e.g. 25% greater) than the average measurement of a majority of the vias is flagged as indicating a defective via.
Such measurements could also be performed in a general manner in a predetermined set of regions (that are a fraction of the total number of regions) related to vias (as described above) , to detect a problem with the process of forming vias that results in defective vias. If no defective vias are found the wafer is processed further in the normal manner (to form additional layers such as a dielectric layer followed by a metallization layer) . If a defective via is found, the wafer is identified as defective and placed in a cassette for further analysis (e.g. by probing, by sectioning or by scanning by electron microscope) .
The measured signal level and the signal-to-noise ratio (SNR) is calculated as follows. Equation (20) gives the power of the reflected portion of probe beam 102 as a function of the power of heating beam 101. If A (in units of amps/watt) is the conversion efficiency of photodetector 320 (FIG. 3) , then the signal is generated as a current:
lsig=AΔR(PL)Pp (21) where reflectance ΔR(PL)is given in equation (20) and PP is the power of probe beam 102 (PL is the power of heating beam 101 used to generate the temperature distribution) .
In one embodiment, a signal carried by current Isig is converted to a signal indicated by a voltage level using a transimpedance amplifier 324 (FIG. 3), and then amplified with a second amplifier 323, which is an amplifier providing a fixed voltage gain adjustable over the range of lOx to lOOOx. If the transimpedance gain is Tg (in units of volts/amp) and the amplifier gain is G, then the final signal has the voltage level:
Vsig = GTglsig = GTgAΔR(PL)PP ( 22 )
Noise in the measured signal can arise from two components - noise in beam 101 and shot noise in photodetector 320. Typically, shot noise exceeds the noise in beam 101. The (RMS) of current due to shot noise is
Figure imgf000035_0001
where BW is the noise bandwidth and q is the electron charge. For a probe beam 102 having power PP=1 milliwatt, a noise bandwidth of 0.2 Hz, and a conversion efficiency of 0.5 Amp/watt, the noise power is 11.3 picowatts and the noise current is 5.7 picoamps.
An equation for the signal-to-noise ratio is
Figure imgf000036_0001
For the values of reflected power given above (2.7 μ watt for aluminum), the SNR is 6.8x10 .
The predetermined frequency f at which heating beam 101 is modulated can be made as low as necessary to provide a low noise bandwidth required in a particular case. However, as frequency f is reduced, lock-in amplifier 322 (FIG. 3) must observe an increasing number of cycles of the modulation, thereby increasing the measurement time and decreasing the throughput. A predetermined frequency of 100 Hz allows measurement in a period of 0.1 sec that is typically compatible with commercial throughput requirements for processing production wafers, e.g. 2 minutes per wafer may be provided for the inspection of 13 sites on wafer 105 (FIG. 1A) . Under these conditions, the measurement period of 0.1 sec per site is negligible, and most of the throughput time may be used to load and position wafer 105 in measurement apparatus 13.
In one implementation, two coaxial laser beams with wavelengths of 830 and 1480 nanometers (for heating and probe beams respectively) are focused onto a series of glass slides (not shown) . Each of the glass slides have an aluminum coating of a different thickness in the range of 400 to 1600 angstroms and was 1 inch wide and 3 inches long. A 0.9 NA objective lens provides the 830 nm laser in a spot of diameter approximately 1 μm. The beam from the 830 nm laser is modulated at 1 KHz. The reflected portion of 1480 nm wavelength beam is sent through a narrow band filter to a germanium detector. The signal is then fed to a lock-in amplifier and detected synchronously with the 830 nm laser modulation.
The resistance between the two ends of each of the just-described glass slides is also measured (with an ohm meter) . FIG. 7 illustrates, in a graph, a scatter plot comparing the measured resistance (X-axis) with the measured reflection signal (Y-axis) . A straight line 710 (also called "correlation line") correlates the points on the graph, and illustrates the relationship between the actual resistance and the measured reflectance. The linear correlation shown by line 710 indicates the theoretical basis for use of method 200 (FIG. 2) to obtain a resistance measure, as described above. Numerous modifications and adaptations of the above-described embodiments will become apparent to a person skilled in the art of using lasers to measure semiconductor properties. For example, in an alternative embodiment, instead of using a laser to generate heating beam 101 to change peak temperature Tp, another heat source (such as an electron gun) is used to modulate the temperature T of a conductive line in a wafer. Use of electrons in beam 101 instead of photons allows the diameter of beam 101 to be made smaller than possible when using photons. However, use of electrons in beam 101 requires measurement apparatus 13 to include a vacuum chamber to contain the electron source .
Also, instead of measuring the steady-state ratio in a heated region (e.g. in region HIR) , the measurement is performed in a region different from heated region HIR in another embodiment. Although multiple measurements along conductive line 111 have been described above, such measurements need not be performed in a linear manner (e.g. along a straight line. Instead, method 200 (FIG. 2) can be used to perform measurements in an area, by focusing heating beam 101 in three different regions successively (by performing act 202 for a first region, followed by performing act 210 for a second and third region) , wherein the three regions together define a triangular area on conductive line 111, and measuring the power of the reflected portion of the probe beam at each of the three regions.
Note that the just-described method need not be performed on a single conductive line 111, and instead each of the three regions could be on three different conductive lines. Moreover, the three different regions can be regions of a planar metallized area (not shown) of wafer 103 as described below in reference to FIGs. 8A, 8B and 9. Also, instead of only three regions, a larger number (e.g. 100 regions) can be used to generate a two dimensional graph (e.g. when the regions form a 10x10 array) of the conductance of such a metallized area. Furthermore, in another embodiment, a polarized beam of light is focused on region HIR, and a polarization rotation upon reflection is measured by interference .
In another embodiment, the method is used to measure the properties of the underlying dielectric layer 112 (FIG. IB) . Specifically, the thermal profile (that indicates temperature as a function of distance of a point in layer 112 from line 111) is governed both by the characteristics (e.g. the thickness, width and thermal or electrical conductivity) of line 111, and by the characteristics (e.g. the thickness and thermal conductivity) of dielectric layer 112.
Therefore, in one embodiment the characteristics (such as resistivity, thickness, and thermal conductivity) of a metal film (that is normally etched to form line 111) are determined using a conventional method, and variations in the thickness or thermal conductivity of the underlying dielectric layer 112 are measured using the relationship in equation (20) . In one implementation, characteristics of the metal film are determined by use of a four point probe. In another implementation, two wafers are prepared in an identical manner except for the following differences: a first wafer includes, in dielectric layer 112, a known material, e.g. silicon dioxide, and a second wafer includes, in dielectric layer 112, a material for which the properties are to be determined. The first wafer is used to measure the properties of conductive line 111 (using reflectance measurements as described above) , and thereafter the measured properties are used to determine the characteristics of dielectric layer 112.
In another embodiment, measurements are performed on an unpatterned layer of conductive material, such as a layer formed by blanket deposition over all regions of a wafer. In one implementation, the properties of the conductive layer as a whole are substituted for the corresponding variables. Moreover, for a conductive layer, the change in reflectance is determined from a solution of an area equation that is similar to equation (20), but written in radial coordinates as follows :
Figure imgf000039_0001
where Δ is the difference between the temperature at a radius r and the ambient temperature, and the other variables are as defined earlier. The temperature profile is given by
Figure imgf000040_0001
where K0 and Ki are modified Bessel functions, and the other variables are as defined earlier. Note that the temperature profile for a line, equation (15) was a function of both the line thickness hm and line width W. In equation (26) , the temperature profile for a conductive layer however, is only a function of the thickness hm .of the conductive layer. Therefore, a material property, specifically the resistance per unit thickness pe/hm (called the sheet resistance, or sheet rho) of the layer is determined as described above in reference to equations (1), (2), and (19).
A numerical model is used to obtain a curved line that relates the change in reflectance (between the presence and absence of a heating beam) to the conductive layer's thickness (see line 801 in FIG. 8A) or between the change in reflectance and the sheet resistance (see line 851 in FIG. 8B) . This numerical model is analogous to the model for a conductive line, and uses the relations of equations (1), (2) and (19). FIG. 8A plots on the y axis the change in value of reflectance measurement multiplied by 10,000 and on the x axis the conductive layer's thickness. A laser of 10 milliwatts at 1.48 μm wavelength is used to generate heating beam 101 (FIG. IB) . The four curves illustrated in FIG. 8A are for degradation in the resistivity for an aluminum film of 0 (curve 801) , 10% (curve 802), 50% (curve 803), and 100% (curve 804). Therefore, in one example, resistance measurement apparatus 13 measures reflected power from a conductive layer in the presence and absence of heating beam 101, and determines the difference ΔR to be 4.2 x 10~4. Next, apparatus 13 interpolates, from line 801 (for no degradation) the thickness hm to be 0.4 μm. Therefore, if the thickness value of 0.4 μm falls within the specification (e.g. a range of 0.38 to 0.42 μm) , then the substrate is processed further in the normal manner, and otherwise the substrate is moved out of unit 10 (FIG. 1A) for future analysis. Instead of line 801, any of other lines 802-804 can be used depending on the resistance degradation required by a process. If the thickness hm is known from another method, the resistance degradation can be determined.
FIG. 8B illustrates, in a graph, the sheet resistance, given by the resistivity divided by the thickness, on the x axis and the change in reflectance multiplied by 10,000 on the y axis. Line 851 is for an aluminum film with resistivity degraded by 0% (in units of ohms/square) . Therefore, in the above-described example, resistance measurement apparatus 13 uses the ΔR value of 4.2 x 10"4 to interpolate, from line 851, the sheet resistivity to be 0.045. Apparatus 13 checks the measured sheet resistivity with the specification for the resistivity in the same manner as that described above in reference to FIG. 8A by comparison with a predetermined range of, e.g. 0.04 to 0.05.
Note that apparatus 13 need not compute a steady state ratio, and instead can use a single reflectance measurement, or a difference between two reflectance measurements to determine the acceptability of a conductive layer (or a conductive line) .
A transition from a measurement that is dependent on dimensions parallel to the plane of surface 105
(e.g. for a conductive line) to a measurement that is dependent only on the thickness hm of the conductive layer (e.g. when the conductive layer is yet to be patterned) occurs when the dimensions in the plane of surface 105 exceed the distance at which the temperature rise becomes negligible. FIG. 9 illustrates, in a graph, measurements taken at the center of square regions, each region having a side of a different dimension from another region. Each region is formed of aluminum and has a thickness of 0.2 μm. The regions have an underlying silicon dioxide insulator that is 1.0 μm thick. The y axis shows the value of reflectance measurement in millivolts and the x axis shows the length of a side of a region. For regions having a small length (e.g. less than 20 μm) the measured value is a function of the dimensions in the plane of the surface. For regions having sides larger than about 20 μm, however, the measured value (also called "signal") is independent of the dimensions in the plane of the surface. Therefore, measurements on regions having sides greater than 20 μm approximate the measurements for the entire layer.
Moreover, in one embodiment, the above-described measurements of the thermal conductivity of dielectric layer 112 are performed in a number of successive regions of the wafer, e.g. in a linear scan across the wafer.
Therefore, numerous such modifications and adaptations of the above-described embodiments are encompassed by the attached claims.

Claims

Claims
What is claimed is:
1. A method for determining a property of a portion of a substrate, the method comprising: generating a first beam of electromagnetic radiation modulated at a predetermined frequency; focusing the first beam on a region on said substrate, the energy of photons in said first beam that are not reflected by said region being converted into heat, said predetermined frequency being sufficiently small to cause a majority of said heat to transfer by diffusion from said region; measuring the power of a portion of a second beam of electromagnetic radiation, wherein the portion is reflected by said region, and is modulated in phase with modulation of said first beam.
2. The method of Claim 1 wherein: said predetermined frequency is smaller than a maximum frequency, said maximum frequency being inversely related to at least one of: length of a conductive line that includes said region in said substrate; and a distance at which the temperature of said conductive line is an order of magnitude smaller than the temperature in said region.
3. The method of Claim 2 wherein: said conductive line has a length of approximately 100 microns; and said maximum frequency is approximately 1000
Hz.
The method of Claim 2 further comprising: forming said conductive line in an integrated circuit die by using at least one process parameter; and changing said process parameter depending on said power of said second beam.
5. The method of Claim 2 wherein the predetermined frequency is less than:
πκr
25LZ
wherein: κm is thermal diffusivity of the metal; and
L is length of said conductive line.
6. The method of Claim 2 further comprising, after the generating, focusing and measuring: changing the power of said first beam; and measuring a change in power of said reflected portion of said second beam in response to said changing.
7. The method of Claim 6 further comprising: computing, in a programmed computer, a ratio of the difference in power of said portion of said second beam to a corresponding difference in power of said first beam; and using said programmed computer to compute the resistance per unit length of said conductive line by dividing said ratio by a predetermined constant.
8. The method of Claim 7 wherein said constant is determined from the formula:
Figure imgf000045_0001
wherein: c is speed of light in vacuum T is thickness of said conductive line; λ is wavelength of said first beam; ε0 is the dielectric constant of free space; q is the electron charge; kB is the Boltzmann's constant; R is absolute reflectance of said conductive line; hm is the thickness of the region; hj is the thickness of an insulating material underneath the region; Kj is the thermal conductivity of the insulating material;
T0is the ambient temperature; and T0is the Debye temperature.
9. The method of Claim 1 wherein: said measuring includes using a lock-in amplifier tuned to said predetermined frequency.
10. The method of Claim 9 wherein said measuring further includes: using a silicon wafer to filter out at least a portion of said first beam reflected by said conductive line.
11. The method of Claim 10 wherein said measuring also includes: using a narrow band filter tuned to the wavelength of said second beam to filter out at least another portion of said first beam reflected by said conductive line.
12. The method of Claim 1 further comprising: comparing the power measured in said region with a predetermined limit.
13. The method of Claim 1 further comprising: focusing the first beam on a second region adjacent to said region; and repeating said measuring.
14. The method of Claim 13 further comprising: changing a process parameter used in fabricating said wafer if the power measured in said region is greater than the power measured in said second region by a predetermined limit.
15. The method of Claim 13 further comprising: focusing the first beam on a third region, wherein said region, said second region and said third region define a triangular area on said conductive line; and repeating said measuring.
16. The method of Claim 15 further comprising: changing the power of said first beam; and repeating said measuring.
17. The method of Claim 1, wherein during said generating, said first beam has a first power incident on said region at least ten times greater than a second power of said second beam incident on said region.
18. The method of Claim 1 wherein said power has a modulated component, the method further comprising: dividing a parameter, related to the amplitude of said modulated component by the value of said constant component to obtain a measure of the change in reflectance normalized by the absolute reflectance.
19. The method of Claim 1 further comprising, determining the value of at least one property of said region, and performing the following acts after the generating, focusing and measuring: changing the power of said first beam; measuring a change in power of said reflected portion of said second beam in response to said changing; computing, in a programmed computer, a ratio of the difference in power of said reflected portion of said second beam to a corresponding difference in power of said first beam; and using said ratio and said value of said property to compute the thermal conductivity of a dielectric layer lying underneath said region by dividing said ratio by a predetermined constant.
20. The method of Claim 19 wherein: said region is included in a conductive line.
21. The method of Claim 19 wherein said constant is determined from the formula:
Figure imgf000047_0001
where εo is the dielectric constant of free space, c is the speed of light, λ is the wavelength of the probe beam, q is the electron charge, kB is Boltzmann's constant, R is the reflectivity of the region, hm is the thickness of the region, hi is the thickness of an insulator lying under the region,
T0 is the ambient temperature, w is the line width, pe(Tø) is the resistivity at the Debye temperature .
22. An apparatus for evaluating a wafer, said apparatus comprising: a first source of a first beam of photons having a first intensity modulated at a frequency sufficiently low to ensure transfer of a majority of heat from a region illuminated by said first beam by diffusion; a second source of a second beam of photons having energy sufficiently lower than said energy of said first beam to avoid generation of more than a negligible amount of heat in said region when said second beam is incident on said region; and a photosensitive element located in a path of a portion of said second beam, said portion being modulated at said frequency after reflection by said region, said photosensitive element generating a first signal indicative of an elevation in temperature of said region caused by incidence of said first beam.
23. The apparatus of Claim 22 further comprising: a computer coupled to said photosensitive element and programmed to determine a ratio of the difference in power of said portion of said second beam reflected by said region to a corresponding difference in power of said first beam.
24. The apparatus of Claim 23 wherein the computer is further programmed to compute the resistance per unit length of said region by dividing said ratio by a predetermined constant.
25. The apparatus of Claim 24, wherein the constant is determined from the following formula
Figure imgf000049_0001
wherein: c is speed of light in vacuum;
T is thickness of said region; λ is wavelength of said first beam; εn is the dielectric constant of free space; q is the electron charge; kB is the Boltzmann's constant;
R is absolute reflectance of said region; m is the thickness of region; h| is the thickness of an insulating film lying underneath the region;
Kj is the thermal conductivity of the insulating film;
T0 is the ambient temperature; and
T0 is the Debye temperature.
26. The apparatus of Claim 23 wherein: the computer is further programmed to use said ratio and a known value of said property to compute the thermal conductivity of a dielectric layer lying underneath said region by dividing said ratio by a predetermined constant.
27. The apparatus of Claim 26 wherein: the predetermined constant is the slope of a line obtained by curve fitting a plurality of reflectance measurements on reference substrates.
PCT/US1999/012999 1998-06-10 1999-06-09 An apparatus and method for measuring a property of a layer in a multilayered structure WO1999064842A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE69940550T DE69940550D1 (en) 1998-06-10 1999-06-09 DEVICE AND METHOD FOR MEASURING A PROPERTY OF A LAYER IN A MULTILAYER STRUCTURE
JP2000553787A JP2002517750A (en) 1998-06-10 1999-06-09 Apparatus and method for measuring properties of layers in a multilayer structure
EP99927393A EP1101098B1 (en) 1998-06-10 1999-06-09 Apparatus and method for measuring a property of a layer in a multilayered structure
AU44308/99A AU4430899A (en) 1998-06-10 1999-06-09 An apparatus and method for measuring a property of a layer in a multilayered structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/095,805 1998-06-10
US09/095,805 US6054868A (en) 1998-06-10 1998-06-10 Apparatus and method for measuring a property of a layer in a multilayered structure

Publications (1)

Publication Number Publication Date
WO1999064842A1 true WO1999064842A1 (en) 1999-12-16

Family

ID=22253662

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/012999 WO1999064842A1 (en) 1998-06-10 1999-06-09 An apparatus and method for measuring a property of a layer in a multilayered structure

Country Status (6)

Country Link
US (1) US6054868A (en)
EP (1) EP1101098B1 (en)
JP (1) JP2002517750A (en)
AU (1) AU4430899A (en)
DE (1) DE69940550D1 (en)
WO (1) WO1999064842A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1668690A2 (en) * 2003-09-16 2006-06-14 GITMAN, Jacob Electric ultimate defects analyzer detecting all defects in pcb/mcm

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2760528B1 (en) * 1997-03-05 1999-05-21 Framatome Sa METHOD AND DEVICE FOR PHOTOTHERMAL EXAMINATION OF A MATERIAL
FR2760529B1 (en) * 1997-03-05 1999-05-28 Framatome Sa PROCESS FOR PHOTOTHERMAL EXAMINATION OF A PART
JP3189778B2 (en) * 1998-03-11 2001-07-16 日本電気株式会社 Wiring temperature rise simulation method
US6049220A (en) 1998-06-10 2000-04-11 Boxer Cross Incorporated Apparatus and method for evaluating a wafer of semiconductor material
US6885444B2 (en) 1998-06-10 2005-04-26 Boxer Cross Inc Evaluating a multi-layered structure for voids
US6323951B1 (en) * 1999-03-22 2001-11-27 Boxer Cross Incorporated Apparatus and method for determining the active dopant profile in a semiconductor wafer
DE19958202C2 (en) * 1999-12-02 2003-08-14 Infineon Technologies Ag Process for producing a metal layer with a predetermined thickness
US6812047B1 (en) * 2000-03-08 2004-11-02 Boxer Cross, Inc. Evaluating a geometric or material property of a multilayered structure
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
EP1319244A1 (en) * 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
DE10056768B4 (en) * 2000-11-14 2004-08-26 Stephan la Barré Method and device for measuring properties of a sample with measurement signal modulation
US6911349B2 (en) * 2001-02-16 2005-06-28 Boxer Cross Inc. Evaluating sidewall coverage in a semiconductor wafer
US6812717B2 (en) * 2001-03-05 2004-11-02 Boxer Cross, Inc Use of a coefficient of a power curve to evaluate a semiconductor wafer
EP1262765A1 (en) * 2001-05-28 2002-12-04 Solectron GmbH Method and apparatus for detecting defects in raw printed circuit boards
US6541288B1 (en) 2001-09-07 2003-04-01 The United States Of America As Represented By The National Security Agency Method of determining semiconductor laser facet reflectivity after facet reflectance modification
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
US6971791B2 (en) * 2002-03-01 2005-12-06 Boxer Cross, Inc Identifying defects in a conductive structure of a wafer, based on heat transfer therethrough
US6958814B2 (en) 2002-03-01 2005-10-25 Applied Materials, Inc. Apparatus and method for measuring a property of a layer in a multilayered structure
US6787375B2 (en) * 2002-05-13 2004-09-07 Taiwan Semiconductor Manufacturing Co., Ltd Microelectronic fabrication die electrical test method providing enhanced microelectronic fabrication die electrical test efficiency
US6786637B2 (en) * 2002-09-13 2004-09-07 The University Of Bristol Temperature measurement of an electronic device
US7048434B2 (en) * 2002-09-17 2006-05-23 Intel Corporation Thermal analysis and characterization of layers and multiple layer structures
US6963393B2 (en) * 2002-09-23 2005-11-08 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US6878559B2 (en) * 2002-09-23 2005-04-12 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US6842661B2 (en) * 2002-09-30 2005-01-11 Advanced Micro Devices, Inc. Process control at an interconnect level
US6809542B2 (en) 2002-10-03 2004-10-26 Mti Instruments Inc. Wafer resistance measurement apparatus and method using capacitively coupled AC excitation signal
AU2003283772A1 (en) * 2002-12-13 2004-07-09 Koninklijke Philips Electronics N.V. Method and apparatus for measuring thickness of thin films via transient thermoreflectance
US6995575B2 (en) * 2003-04-30 2006-02-07 The Boeing Company Apparatus and methods for measuring resistance of conductive layers
US20040253751A1 (en) * 2003-06-16 2004-12-16 Alex Salnik Photothermal ultra-shallow junction monitoring system with UV pump
US6822472B1 (en) 2003-06-27 2004-11-23 International Business Machines Corporation Detection of hard mask remaining on a surface of an insulating layer
US7026175B2 (en) * 2004-03-29 2006-04-11 Applied Materials, Inc. High throughput measurement of via defects in interconnects
US7379185B2 (en) * 2004-11-01 2008-05-27 Applied Materials, Inc. Evaluation of openings in a dielectric layer
JP2006234635A (en) * 2005-02-25 2006-09-07 Three M Innovative Properties Co Nondestructive inspection method of junction in flexible printed-wiring board
US8362431B2 (en) * 2005-03-15 2013-01-29 Mount Holyoke College Methods of thermoreflectance thermography
US7182510B2 (en) * 2005-04-04 2007-02-27 David Gerard Cahill Apparatus and method for measuring thermal conductivity
CN101258387A (en) * 2005-07-05 2008-09-03 马特森技术公司 Method and system for determining optical properties of semiconductor wafers
JP4831814B2 (en) * 2006-02-23 2011-12-07 三菱重工業株式会社 Transparent conductive film evaluation apparatus and transparent conductive film evaluation method
US7543981B2 (en) * 2006-06-29 2009-06-09 Mattson Technology, Inc. Methods for determining wafer temperature
US7552018B1 (en) 2007-02-12 2009-06-23 The United States Of America As Represented By The Secretary Of The Navy Method for quickly quantifying the resistance of a thin film as a function of frequency
US7851234B2 (en) 2007-11-29 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for enhanced control of copper trench sheet resistance uniformity
JP4427594B2 (en) * 2008-08-11 2010-03-10 三菱重工業株式会社 Resistivity inspection method and apparatus
US9066028B1 (en) 2010-01-08 2015-06-23 The United States Of America As Represented By The Administator Of The National Aeronautics And Space Administration Methods and systems for measurement and estimation of normalized contrast in infrared thermography
JP5637204B2 (en) * 2012-12-10 2014-12-10 トヨタ自動車株式会社 Silicon carbide wafer inspection method and inspection apparatus
US9347898B1 (en) 2013-08-08 2016-05-24 The United States Of America As Represented By The Secretary Of The Army Measuring thermal properties of layered structure in situ
JP6354350B2 (en) * 2014-06-05 2018-07-11 株式会社ジェイテクト Optical nondestructive inspection method and optical nondestructive inspection apparatus
US10578569B2 (en) 2016-11-07 2020-03-03 Battelle Energy Alliance, Llc Apparatus for determining a thermal conductivity and a thermal diffusivity of a material, and related methods
US10209314B2 (en) 2016-11-21 2019-02-19 Battelle Energy Alliance, Llc Systems and methods for estimation and prediction of battery health and performance
US20220146443A1 (en) * 2018-08-28 2022-05-12 University Of Virginia Patent Foundation Steady-state thermo-reflectance method & system to measure thermal conductivity

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854710A (en) * 1985-03-01 1989-08-08 Therma-Wave, Inc. Method and apparatus for evaluating surface and subsurface features in a semiconductor
US4950990A (en) * 1989-07-21 1990-08-21 Iowa State University Research Foundation, Inc. Method and apparatus for photoinductive imaging
US5228776A (en) * 1992-05-06 1993-07-20 Therma-Wave, Inc. Apparatus for evaluating thermal and electrical characteristics in a sample
WO1997008536A1 (en) * 1995-08-25 1997-03-06 Brown University Research Foundation Ultrafast optical technique for the characterization of altered materials
US5667300A (en) * 1994-06-22 1997-09-16 Mandelis; Andreas Non-contact photothermal method for measuring thermal diffusivity and electronic defect properties of solids

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4255971A (en) * 1978-11-01 1981-03-17 Allan Rosencwaig Thermoacoustic microscopy
US4521118A (en) * 1982-07-26 1985-06-04 Therma-Wave, Inc. Method for detection of thermal waves with a laser probe
US4636088A (en) * 1984-05-21 1987-01-13 Therma-Wave, Inc. Method and apparatus for evaluating surface conditions of a sample
US4579463A (en) * 1984-05-21 1986-04-01 Therma-Wave Partners Detecting thermal waves to evaluate thermal parameters
US5042952A (en) * 1984-05-21 1991-08-27 Therma-Wave, Inc. Method and apparatus for evaluating surface and subsurface and subsurface features in a semiconductor
US4952063A (en) * 1985-03-01 1990-08-28 Therma-Wave, Inc. Method and apparatus for evaluating surface and subsurface features in a semiconductor
US4632561A (en) * 1985-04-30 1986-12-30 Therma-Wave, Inc. Evaluation of surface and subsurface characteristics of a sample
US4710030A (en) * 1985-05-17 1987-12-01 Bw Brown University Research Foundation Optical generator and detector of stress pulses
US4750822A (en) * 1986-03-28 1988-06-14 Therma-Wave, Inc. Method and apparatus for optically detecting surface states in materials
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5074669A (en) * 1989-12-12 1991-12-24 Therma-Wave, Inc. Method and apparatus for evaluating ion implant dosage levels in semiconductors
US5159412A (en) * 1991-03-15 1992-10-27 Therma-Wave, Inc. Optical measurement device with enhanced sensitivity
US5181080A (en) * 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5978074A (en) * 1997-07-03 1999-11-02 Therma-Wave, Inc. Apparatus for evaluating metalized layers on semiconductors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854710A (en) * 1985-03-01 1989-08-08 Therma-Wave, Inc. Method and apparatus for evaluating surface and subsurface features in a semiconductor
US4950990A (en) * 1989-07-21 1990-08-21 Iowa State University Research Foundation, Inc. Method and apparatus for photoinductive imaging
US5228776A (en) * 1992-05-06 1993-07-20 Therma-Wave, Inc. Apparatus for evaluating thermal and electrical characteristics in a sample
US5667300A (en) * 1994-06-22 1997-09-16 Mandelis; Andreas Non-contact photothermal method for measuring thermal diffusivity and electronic defect properties of solids
WO1997008536A1 (en) * 1995-08-25 1997-03-06 Brown University Research Foundation Ultrafast optical technique for the characterization of altered materials

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
C. MARTINSONS ET AL.: "Recent progress in the measurement of the thermal properties of hard coatings", THIN SOLID FILMS., vol. 317, April 1998 (1998-04-01), ELSEVIER-SEQUOIA S.A. LAUSANNE., CH, pages 455 - 457, XP004147705, ISSN: 0040-6090 *
J. KÖLZER ET AL.: "Thermal imaging and measurement techniques for electronic materials and devices", MICROELECTRONIC ENGINEERING., vol. 31, 1996, ELSEVIER PUBLISHERS BV., AMSTERDAM., NL, pages 251 - 270, XP004006637, ISSN: 0167-9317 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1668690A2 (en) * 2003-09-16 2006-06-14 GITMAN, Jacob Electric ultimate defects analyzer detecting all defects in pcb/mcm
EP1668690A4 (en) * 2003-09-16 2011-08-03 Invisible Ltd Electric ultimate defects analyzer detecting all defects in pcb/mcm

Also Published As

Publication number Publication date
DE69940550D1 (en) 2009-04-23
EP1101098A1 (en) 2001-05-23
JP2002517750A (en) 2002-06-18
US6054868A (en) 2000-04-25
AU4430899A (en) 1999-12-30
EP1101098B1 (en) 2009-03-11

Similar Documents

Publication Publication Date Title
US6054868A (en) Apparatus and method for measuring a property of a layer in a multilayered structure
US6906801B2 (en) Measuring a property of a layer in multilayered structure
US7141440B2 (en) Apparatus and method for measuring a property of a layer in a multilayered structure
US4679946A (en) Evaluating both thickness and compositional variables in a thin film sample
US5754294A (en) Optical micrometer for measuring thickness of transparent wafers
US5228776A (en) Apparatus for evaluating thermal and electrical characteristics in a sample
US6801044B2 (en) Universal electromagnetic resonance system for detecting and measuring local non-uniformities in metal and non-metal objects
US7465591B2 (en) Evaluating a geometric or material property of a multilayered structure
US7414409B1 (en) Non-contact method and apparatus for measurement of leakage current of p-n junctions in IC product wafers
JP2010504537A (en) Thermographic measurement system for performing thermal characterization of integrated circuits
CN109557129B (en) Method for measuring film thermal diffusion coefficient
US20020151092A1 (en) Evaluating sidewall coverage in a semiconductor wafer
Govorkov et al. A new method for measuring thermal conductivity of thin films
EP1212580B1 (en) Method and apparatus for performing optical measurements of layers and surface properties
Tessier et al. Thermoreflectance temperature imaging of integrated circuits: calibration technique and quantitative comparison with integrated sensors and simulations
US7379185B2 (en) Evaluation of openings in a dielectric layer
US20050247877A1 (en) Apparatus and method for determining a thickness of a deposited material
Burzo et al. Optimized thermo-reflectance system for measuring the thermal properties of thin-films and their interfaces
Serio et al. A gold–palladium thin-film microsensor array for thermal imaging of laser beam waists
Shimizu et al. Reflectance thermometry for microscale metal thin films
Welsch et al. Modulated thermoreflectance imaging of hidden electric current distributions in thin‐film layered structures
Almond et al. High-temperature superconductor thin-film characterization by the modulated optical reflectance technique
Si et al. Thermal distribution measurement upon micro-resistance lines using Thermoreflectance technique
Gualous et al. Realization and thermal characterization of thin-film optothermal microsensor
Badine et al. Measurement of Thermal Properties and Interface Thermal Resistance of Thin Films by Thermoreflectance

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 553787

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1999927393

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1999927393

Country of ref document: EP