WO2000005430A1 - Chemical vapor deposition vaporizer - Google Patents

Chemical vapor deposition vaporizer Download PDF

Info

Publication number
WO2000005430A1
WO2000005430A1 PCT/US1999/016396 US9916396W WO0005430A1 WO 2000005430 A1 WO2000005430 A1 WO 2000005430A1 US 9916396 W US9916396 W US 9916396W WO 0005430 A1 WO0005430 A1 WO 0005430A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
disposed
vaporizing section
main
injection member
Prior art date
Application number
PCT/US1999/016396
Other languages
French (fr)
Inventor
Jun Zhao
Lee Luo
Xiaoliang Jin
Frank Chang
Charles Dornfest
Po Tang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP99935734A priority Critical patent/EP1102871A1/en
Priority to KR1020017000978A priority patent/KR20010053597A/en
Priority to JP2000561376A priority patent/JP4480274B2/en
Publication of WO2000005430A1 publication Critical patent/WO2000005430A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material

Definitions

  • the invention relates to an apparatus and process for the vaporization of liquid precursors and deposition of a film on a suitable substrate.
  • a metal-oxide film such as a barium strontium titanate (BST) film
  • BST barium strontium titanate
  • Capacitors containing high- dielectric-constant materials such as organometallic compounds, usually have much larger capacitance densities than standard SiO 2 -Si 3 N 4 -SiO 2 stack capacitors making them the materials of choice in IC fabrication.
  • BST One organometallic compound of increasing interest as a material for use in ultra large scale integrated (ULSI) DRAMs is BST due to its high capacitance.
  • Deposition techniques used in the past to deposit BST include RF magnetron sputtering, laser ablation, sol-gel processing, and chemical vapor deposition (CVD) of metal organic materials.
  • a liquid source BST CVD process entails atomizing a compound, vaporizing the atomized compound, depositing the vaporized compound on a heated substrate and annealing the deposited film.
  • This process requires control over the liquid precursors and gases from introduction from an ampoule into a liquid delivery system through vaporization and ultimately to the surface of the substrate where it is deposited.
  • the goal is to achieve a repeatable process which deposits a film of uniform thickness under the effects of a controlled temperature and pressure environment. This goal has not been satisfactorily achieved because the precursors are finicky and the deposition equipment requires a complex design.
  • U.S. Pat. No. 5,204,314 entitled, "Method for Delivering an Involatile Reagent in Vapor Form to a CVD Reactor,” discloses a flash vaporizer using a matrix structure.
  • the matrix structure generally comprises a heated screen mesh having restricted openings. After extended usage the matrix structure accumulates build up leading to a reduction in vaporization efficiency of the liquid precursors and negative effects on process repeatability and deposition rate.
  • BST liquid precursors have a narrow range of vaporization between decomposition at higher temperatures and condensation at lower temperatures.
  • Known vaporizers lack temperature controlled surfaces and the ability to maintain liquid precursors at a low temperature prior to injection into the vaporizer. This results in deposition of material in the injection lines and in the vaporizer and premature condensation or unwanted decomposition of the precursors.
  • the deposits adversely affect not only the vaporizer but also upstream components such as positive displacement pumps because the pump can rupture its pressure seals or continue to operate until the pressure relief valves on the pump are tripped. Damage to system components, of course, requires maintenance and repair and over time becomes very expensive and increases the cost of ownership of the equipment. Additionally, the deposits formed in the vaporizer may be carried downstream to corrupt other components and ultimately even be delivered to the substrate surface thereby compromising its quality. Thus, temperature controlled flow paths through the vaporizer are needed.
  • Still another difficulty encountered in the deposition of BST is that the deposition process is performed at elevated substrate temperatures, preferably in the range of about 400-750° C and the annealing process is performed at substrate temperatures in the range of about 550°-850° C.
  • elevated substrate temperatures preferably in the range of about 400-750° C
  • the annealing process is performed at substrate temperatures in the range of about 550°-850° C.
  • These high temperature requirements impose demands on the chambers and its other components used in the deposition process.
  • elastomeric O-rings are typically used to seal the deposition chamber and are not generally made of materials that will resist temperatures in excess of about 100° C for many fabrication cycles. Seal failure may result in loss of pressure as well as contamination of the process chemistry and the system components, thereby resulting in defective film formation on the wafer.
  • a vaporizer for vaporizing BST and other materials which require vaporization, especially low volatility precursors which are transported as a liquid to the vaporizer to be converted to vapor phase and which must be transported at elevated temperatures to prevent unwanted condensation on gas flow surfaces.
  • the vaporizer comprises a series of heated temperature controlled components which are configured for rapid removal, cleaning and/or replacement.
  • the vaporizer also preferably includes features that protect seals (e.g., elastomeric O-rings) from the deleterious effects of high temperatures generated during fabrication of electrical devices, such as capacitors useful for ULSI DRAMs.
  • the invention also provides a vaporizing apparatus having large smooth vapor passageways for high conductance to prevent clogging for consistently mixing and efficiently vaporizing liquid precursor components, and delivering the vaporized material to a deposition chamber with negligible decomposition and condensation of the gas in the vaporizer and gas delivery lines.
  • the apparatus increases vaporizing efficiency by providing temperature controlled increased surface area to reduce the likelihood of fouling or clogging typically associated with existing vaporizers.
  • the present invention is characterized by its use in the manufacture of capacitor films of consistently high quality, with significantly reduced and simplified maintenance, and capability for depositing CVD films at high rates with less particle generation.
  • the net result is a fabrication process with enhanced efficiency and economy.
  • a main body having a main vaporizing section is equipped with detachable heating elements.
  • a blocker is disposed below the main vaporizing section.
  • High conductance channels formed in the blocker act as an extended vaporizing surface.
  • the channels are in parallel relation and lead to an outlet coupled to a downstream gas line.
  • the blocker comprises a gas compactor at least partially disposed within the main vaporizing section.
  • the gas compactor has upper and lower ports in communication with an inlet and a outlet, respectively.
  • a gas channel is defined between the gas compactor and the main vaporizing section to provide fluid communication between the inlet and outlet via the ports.
  • a filter may be disposed at a lower end of the vaporizer.
  • a vaporizer comprises separable components selectively coupled.
  • the vaporizer components are coupled by clamps while in another embodiment the components are coupled by VCR ® fittings.
  • the components are easily disassembled for inspection and cleaning.
  • Figure 1 is a perspective view of a chamber system of the present invention
  • Figure 2 is a perspective view of a chamber and vaporizer module
  • Figure 3 is a simplified schematic representation of a liquid and gas delivery system
  • Figure 4 is a partial schematic cross sectional view of a vaporizer of the present invention.
  • Figure 5 is partial schematic cross sectional view of an alternative embodiment of the vaporizer.
  • the present invention is directed to a vaporizer for use in a chemical vapor deposition (CVD) system. While the subsequent description makes references to BST deposition it is understood that the invention may be used in any processing system requiring the advantages of superior serviceability, uniform film deposition, and enhanced efficiency resulting from temperature controlled surfaces.
  • the vaporizer has particular application for the fabrication of metal-oxide dielectrics useful in making capacitors used in ULSI DRAMs as well as a number of other electrical devices. In general, devices that can be made with the present system are those characterized by having one or more layers of insulating, dielectric, or conducting material deposited on a substrate.
  • FIGS 1 and 2 are perspective views of a CVD system 10 incorporating the present invention.
  • the system 10 generally includes a chamber body 12, a heated lid assembly 14, an integrated vaporizer module 16 and an exhaust/pumping system 18 comprising a gate valve 20, a turbo pump 22, and a first cold trap 24.
  • the vaporizing module 16 is shown mounted adjacent to the chamber body 12 in a vaporizer cabinet 26 which includes an outlet line 28 connected to the inlet into the chamber body 12 at one end and a vaporizer 100 at another end.
  • a first valve 30 Disposed along the outlet line 28 is a first valve 30 which is connected in turn to a bypass line 32 extending out through the back of the cabinet 26 and is connected to the exhaust pumping system 18 by a conduit in which a second cold trap 34, located downstream from the valve 30, is disposed.
  • the bypass line 32 is adapted to deliver both vaporized gas as well as liquid solvent into the cold trap 34 in preparation of delivering vaporized gas to the chamber body 12 during processing or during cleaning of the system 10.
  • the first valve 30 controls delivery of the vaporized material to the chamber 12 through the cold trap 34.
  • a second valve 36 such as an isovalve is disposed downstream from the first valve 30 to selectively deliver the vaporized gas into the chamber body 12.
  • the second valve 36 is mounted to the lower portion of the chamber 12 via a rod and washer assembly 38.
  • This assembly 38 enables adjustment of the delivery line as well as the valve 36 in relation to the chamber 12.
  • the mount generally includes first and second rings 40, 42, respectively, one disposed in the other, to allow rotatable adjustment of an isovalve 36 and the outlet line 28.
  • the second valve 36 is mounted to the second ring 42 via a plurality of rods 44 (four shown here) which are mounted from the ring 42 and include a spring 46 disposed above the upper portion of the rod and the ring 42.
  • the two rings 40, 42 enable rotation of the assembly 38 while the spring and rod arrangement allow vertical adjustment of the assembly 38 to ensure proper alignment of the gas feed line 30 into the chamber 12.
  • the suspension assembly 38 provides automatic compensation for thermal expansion/contraction to maintain vacuum seals without the mechanical and thermal stress.
  • the size and dimensions of the system 10 are dictated by the size and shape of the workpiece on which processes of the present invention are performed.
  • Figure 3 shows a simplified liquid and gas delivery system 50 for supplying the liquid precursors and carrier gases to the vaporizer 100.
  • a first gas container 52 and second gas container 54 are connected to the vaporizer 100 to provide carrier gases. The function of these gases is described in detail below.
  • a liquid ampoule 56 is shown connected to the vaporizer 100 to provide liquid precursors. So that the flow rates of the gases and liquids may be monitored and controlled, flow meters 58 are disposed in the liquid and gas delivery lines.
  • the gas delivery lines are preferably made of a material having a low coefficient of friction, such as PTFE, to allow for high flow velocities.
  • Other devices which are commonly known and used in the industry but not shown in Figure 3 include bubblers, degassers, shut-off valves, etc.
  • the inventors have recognized that deposition layer uniformity can be enhanced, and maintenance can be reduced, if the vaporizer is substantially maintained at an ideal isothermal system temperature (e.g., 250° C ⁇ 5° for BST).
  • the vaporizer 100 incorporates several active and passive thermal control systems including thermal control features which serve to protect a main seal by cooling it below the ideal isothermal system temperature. Cooling is achieved without inducing significant temperature fluctuations and gradients in the vaporizer components exposed to the system chemistry, and without excessive cooling and heating power losses.
  • FIG 4 is a cross sectional view of one embodiment of the vaporizer 100 of the present invention.
  • the vaporizer 100 generally includes an input manifold 102, a cooling head 104, and a main body 106 comprising a top block 108 and a bottom block 110.
  • the input manifold 102 is coupled at the upper end of the vaporizer 100 and provides an inlet 112 wherein an injection member 114, such as a capillary tube, is coaxially disposed.
  • the injection member 114 is connected to the liquid precursor ampoule 56 (shown in Figure 3) and the first gas container 52 (also shown in Figure 3).
  • the cooling head 104 and the top block 108 are joined at abutting flanges 120 and 122 formed on the head 104 and top block 108, respectively.
  • the top block 108 and the bottom block 110 are joined at flanges 124 and 126 defined on the top block 108 and bottom block 110, respectively.
  • the flanges 120, 122, 124, and 126 are adapted to receive clamps 128, such as KF clamps, to hold the various vaporizer components together during operation.
  • O-ring seals 130 and 132 disposed in flanges 120 and 124, respectively, provide hermetic seals at the interfaces of the blocks 108, 110 and cooling head 104.
  • O-rings 130, 132 may be any of many high temperature metal-to-metal seals such as the aluminum Delta seal from Helicoflex, for example.
  • One or more cooling channels 134 are preferably disposed in the head 104 in order to actively cool the O-ring seal 130 and the incoming liquid precursors.
  • a heat exchange fluid e.g., water, ethylene glycol, silicone oil, etc.
  • another cooling channel may be disposed in the main body 106 adjacent the O-ring seal 132.
  • the cooling head is preferably made of aluminum or some other thermal conductor.
  • a thermocouple may be disposed in a slot 222 to monitor the operating temperature at an upper end of the vaporizer 100.
  • a dispersion/carrier, gas conduit 135 is formed in the cooling head 104 and leads to a recess 137 formed in an upper portion of the top block 108.
  • An injection line (not shown) connects the second gas container 54 (shown in Figure 3) to the dispersion'carrier gas conduit 135 to provide a dispersion/carrier gas thereto.
  • the cooling head 104 provides a centrally formed inlet bore 136 wherein the injection member 1 14 is disposed and secured by a threaded sleeve 138.
  • the injection member 114 is concentrically received by a gas passageway 140 extending longitudinally through a neck 142 of the top block 108 and terminating near a lower end of the neck 142.
  • the concentric gas passageway 140 disposed about the outer perimeter of the injection member 114, may be of any geometric shape and is adapted to deliver one or more dispersion gases to a tip, or nozzle 144, of the injection member 114.
  • the concentric gas passageway 140 and the injection member 1 14 are made of PTFE for low friction coefficient and prevention of clogging.
  • the concentric gas passageway 140 leads to a main vaporizing section 146 which is shown as a frustoconical surface having a diametrically narrower upper end and a diametrically enlarged lower end.
  • the main vaporizing section 146 provides a large, preferably smooth, heated surface area onto which a fluid may be deposited.
  • a blocker 148 aligned with the lower end of the main vaporizing section 146 provides an extended vaporizing surface.
  • the blocker 148 is preferably made of aluminum, or some other thermal conductor, and comprises a plurality of high conductance channels 150.
  • a commercially available filter 152 such as the one available from PALL is disposed below the blocker 148 and above a high conductance outlet 153.
  • the filter 152 is seated on an annular shoulder 154 of the bottom block 110 and is secured from above by the top block 108 thereby allowing for ease of periodic replacement by unclamping the two blocks 108, 110.
  • the filter 152 can be any number of commercially available filters such as the one available from PALL. This arrangement provides a large conductance for shorter resonance time in the vaporizer 100 and also facilitates inspection and cleaning of the vapor flow paths.
  • the blocks 108 and 1 provide a relatively large thermal mass for retention and transmission of thermal energy generated by one or more heating elements 156 (shown here as cartridges) surrounding the blocks 108 and 110 thereby ensuring an optimal isothermal temperature on the vaporization surfaces, as well as downstream.
  • the heaters 156 are slidably received in receptacles 158 and may be selectively removed for maintenance and servicing.
  • the heating elements 156 preferably deliver a total heating power of between about 1000W and 3000W to the blocks 108, 1 10 and are controlled to maintain the main body 106 at the optimum isothermal temperature by a conventional PID controller (not shown).
  • the controller is connected to a thermocouple (also not shown) positioned within at least one, and preferably both, of the blocks 108, 1 10 proximate to the heated vaporizing surfaces.
  • the vaporizer 100 of the first embodiment consists of six primary components, i.e., the input manifold 102, the cooling head 104, the top block 108, the bottom block 110, the heating elements 156, the filter 152, and the blocker 148. As described above, the top block 108 and cooling head 104 are selectively coupled with a KF clamp. The top block 108 is similarly coupled to the bottom block 1 10.
  • the filer 152 which must be periodically exchanged, and the blocker 148, which may require periodic cleaning, may be removed by uncoupling the top block 108 from the bottom block 110. While the blocks 108, 110 are shown coupled by KF clamps, other coupling assemblies, such as VCR ® fittings may be used to advantage.
  • the liquid precursor is initially combined with a carrier gas, such as argon, upstream from the vaporizer 100.
  • a carrier gas such as argon
  • the mixture of liquid precursor components and the carrier gas is then delivered through the injection member 114 (preferably 2-20 mils inner diameter) to a point just above the main vaporizing section 146.
  • the liquid and gas are supplied at a relatively high flow rate, e.g., lOml/min. liquid and 100-2000sccm gas, which causes the liquid to exit the nozzle 144 and enter the main vaporizing section 146 as a jet of liquid and gas with a high nozzle velocity.
  • the flow meter 58 (shown in Figure 3) can be used to control the amount of gas flowed in direct relation to the flow rate of the liquid precursor component mixture.
  • the flow rate of the liquid is typically controlled by a flow controller such as the flow meter shown in Figure 3.
  • the flow velocity of the liquid precursors may be independently controlled by the flow of the carrier gas input to the vaporizer 100.
  • One or more dispersion/carrier gases such as argon, are delivered through the dispersion/carrier gas conduit 135 and flowed concentrically about the injection member 1 14 to prevent liquid droplets from forming on the nozzle 144 and moving up the outer cylinder of the injection member 1 14.
  • the dispersion/carrier gas picks up the liquid precursor mixture jetting out of the injection member 1 14 and carries the mixture down into the main vaporizing section 146 where the liquid precursor is vaporized.
  • the spacing between the injection member nozzle 144 and the main vaporization section 146 is preferably adjustable.
  • Adjustment of the flash vaporization to avoid a liquid droplet "dance on the frying pan” effect is obtained by adjusting the flow rate of the gas and liquid precursor mixture.
  • the vaporized precursors are then channeled through the plurality of high conductance channels 150 formed in the blocker 148.
  • the blocker 148 acts as a second stage vaporizer while simultaneously collecting unvaporized liquid and directing them into the filter 152.
  • the filter 152 enables the entrapment of any liquid which is not vaporized. This prevents liquids from passing through the vaporizer 100 and into the chamber 12 (shown in Figures 1, 2, and 3).
  • the resultant deposition gas then passes through the vaporizer outlet 153 for delivery to the deposition chamber 12.
  • the wide-mouthed outlet 153 is designed for large conductance so that precursor vapors are readily carried from the vaporizer 100 into the chamber 12.
  • a thermal choke structure comprising the cooling channel 134 and physical separation of the main body 106 from the other upstream vaporizer components by the neck.
  • the thermal choke isolates the upper portion of the vaporizer 100 from the heat generated by heating elements 156 and prevents heat loss and generation of cold spots without inducing significant detrimental cooling effects on the other system components.
  • the design allows the main body 106 to be maintained at an optimal isothermal temperature (e.g., 250° C ⁇ 5° for BST).
  • FIG. 5 is a cross sectional view of a second embodiment of the vaporizer 100 of the present invention.
  • the vaporizer 100 generally includes the components of the first embodiment, i.e.. an input manifold 102, a cooling head 104, and a main body 106.
  • the second embodiment comprises some design modifications which are discussed below.
  • Figure 5 shows the dispersion/carrier gas conduit 202 formed in the main body 106.
  • the conduit 202 extends from below the main body 106 along a perimeter portion thereof and then terminates in a passageway at the latter portion of the injection nozzle 144.
  • An injection line 204 connected to the conduit 202 by quick disconnect fittings 206 delivers a dispersion/carrier gas to the conduit 202 from the second gas container 54 (shown in Figure 3).
  • the injection member 1 14 terminates at the entrance to a main vaporizing section 146 housing a blocker, or gas compactor 208.
  • the gas compactor 208 is a substantially elongated cylinder having an inlet 210 at an upper end and outlet 212 at a lower end.
  • the inlet 210 receives the nozzle 144 and comprises a plurality of exhaust ports 214 formed in the inlet wall.
  • the outlet 212 has a plurality of intake ports 216.
  • the outer diameter of the gas compactor 208 is slightly less (a few millimeters) than the diameter of the main vaporizing section 146 so that a fluid channel 218 is formed leading from the exhaust ports 214 to the intake ports 216 providing communication therebetween.
  • the main body 106 preferably made of a monolithic piece of stainless steel, provides a relatively large thermal mass for retention and transmission of thermal energy generated by a heating jacket 220 and has a high specific heat capacity thereby ensuring an optimal isothermal temperature on the vaporization surfaces, as well as downstream.
  • the heating jacket 220 is in the form of a C-clamp having its ends secured by a screw (shown in Figure 3) such that it is supported on the exterior of the main body 106 and allows for easy removal of the heating jacket 220.
  • the heating jacket 220 may be electrically heated (e.g., resistive heaters) or fluidly heated and preferably delivers a total heating power of between about 1000W and 3000W to the main body 106 for typical sizes and flow rates of these applications.
  • Cartridges such as those used in Figure 4 may also be used.
  • the heating jacket 220 is controlled to maintain the main vaporizing section 146 at the optimum isothermal temperature by a conventional PID controller (not shown).
  • the vaporizer 100 of the second embodiment may also comprise a thermocouple, preferably located in the main body 106 proximate the injection member 114, to monitor the temperature during operation.
  • An additional thermocouple (also not shown) may be received by the slot 222 located partially in the input and partially in the cooling head 104.
  • a thermal radiation shield 224 is shown circumferentially disposed about the midsection of the vaporizer 100.
  • at least the main body 106 is enclosed within the shield 224.
  • the cooling head 104 is also enclosed.
  • the shield 224 does not directly contact the main body so that an air pocket is formed around the main body 106.
  • the shield 224 is preferably a metal having a high thermal insulating capacity such as stainless steel.
  • the vaporizer 100 of the second embodiment consists of six primary components, i.e., the input manifold 102, the cooling head 104, the main body 106, the heating jacket 220, the gas compactor 208, and the shield 224.
  • the components are equipped with VCR fittings.
  • other coupling devices may be used, such as the KF clamps used in the first embodiment for example.
  • the operation of the vaporizer 100 of the second embodiment is substantially the same as that of the first embodiment described above with a few exceptions.
  • the dispersion/carrier gas conduit 202 is shown disposed in the main body 106 at least partially adjacent the heating jacket 220. This allows the dispersion/carrier gas to be heated before its injection into the passageway.
  • the injection member 114 is shown in Figure 5 extending below the neck 142 and terminating at the end of passageway 140 above the gas compactor inlet 210. This allows the liquid precursors to reach an elevated temperature due to the heat generated by the heating jacket 220 and transmitted by the main body 106.
  • the precursors are then delivered into the inlet 210 where they are channeled through and around the gas compactor 208 as indicated by the arrows.
  • the vaporized gas then exits the vaporizer 100 through the outlet 212 and is delivered to the deposition chamber 12 downstream.
  • While the number of intake ports 216 (three shown) is preferably less than the exhaust ports 214 (five shown) the total effective cross sectional area of the ports 214, 216 is substantially equal such that the volume flow rate (seem) is substantially equal.
  • the desired pressure is achieved by manipulating the orientation of the vaporizer 100 (to compensate for the effects of gravity), changing the length of the gas compactor 208, and altering relative size difference between the cross sectional areas of the ports 214, 216.
  • the precise dimensions will also depend on the type of fluid used and the surface friction provided by the main vaporizing section 146 and the gas compactor 208. A slight pressure differential which biases the fluid downstream is most preferable.
  • the second embodiment also employs the metal membrane 226 to reduce thermal decomposition of the liquid precursor components prior to vaporization by further inhibiting thermal conduction. Additional thermal insulation of the injection member 114 is provided by the relatively thin wall of the neck 142, e.g., a few millimeters and by the thermal insulating value of the material.
  • the neck 142 which forms an integral part of the main body 106, is preferably made of stainless steel, PTFE, or other material having a relatively low thermal conductivity.
  • the vaporizer 100 of each embodiment operates to vaporize a mixture of precursor components, such as BST, and a carrier gas by providing a main vaporizer section 146 with increased surface area which exposes the mixture to a large area of evenly heated surfaces.
  • the various components of the vaporizer 100 such as the main vaporizing section 146, the blocker 148 of the first embodiment, and the gas compactor 208 of the second embodiment each act to vigorously mix and vaporize the precursor components, carrier gases, and dispersion gases. This arrangement provides a large conductance for shorter resonance time in the vaporizer 100. The maximized surface area serves to vaporize more efficiently as well as prevent clogging.
  • the amount of power required for complete vaporization is a function of the chemistry of the precursor components and carrier gas, and the flow rate of the mixture. As one example, with a BST flow rate of 0.10 ml/min and a carrier gas, e.g., Ar, flow rate of 200-300 seem, the amount of power necessary to heat and completely vaporize the flow is approximately 10W. In contrast to conventional arrangements, the amount of heating (e.g., vaporizing) power supplied to the mixture is set substantially higher than the level of power actually required to achieve complete vaporization.
  • the thermal power transferred to the vaporizer 100 is set to be one to two orders of magnitude higher than the 10W required for complete vaporization of the mixture, i.e., between about 100W and 1000W, and preferably 20-30 times higher, i.e., between 200-300W and 2000W-3000W.
  • the heating power absorbed by the flowing mixture is a small fraction of the heating power which is available. Therefore, the power absorbed by the gas vapor presents an insignificant perturbation in relation to the available heating power, making it possible to substantially maintain an ideal isothermal temperature (e.g., 250° C ⁇ 5° for BST) of the heating surfaces.
  • the ideal isothermal system temperature will be in the range of about 200-300°C.
  • Exemplary metal-oxide layers which can be deposited using the present system may include tantalum pentoxide (Ta,O 5 ), a zirconate titanate (ZrxTiyOz ), strontium titanate (SrTiO 3 ), barium strontium titanate (BST), lead zirconate titanate (PZT), lanthanum-doped PZT, bismuth titanate (Bi 4 Ti 3 O
  • Other materials which can be deposited include those materials having a narrow range of vaporization between condensation and decomposition.
  • a Cu layer may be deposited using by any known CVD Cu process or precursor gas, including copper ⁇ 2 (hfac) 2 and Cu +2 (fod) 2 (fod being an abbreviation for heptafluoro dimethyl octanediene), but a preferred process uses the volatile liquid complex copper +l hfac,TMVS (hfac being an abbreviation for the hexafluoro acetylacetonate anion and TMVS being an abbreviation for trimethylvinylsilane) with argon as the carrier gas.
  • One such mixture i.e., copper +2 (hfac) 2
  • Cupra SelectTM a registered trademark of Schumacher, Inc.
  • TMVS and hfac are additives used to enhance adhesion, nucleation, and stability. Specifically, TMVS is a thermal stabilizer which prevents a reaction until a desired temperature is reached while hfac is a deposition controlling compound. Both TMVS and copper +2 (hfac) 2 are volatile byproducts of the deposition reaction that are exhausted from the chamber. The deposition reaction is believed to proceed according to the following mechanism, in which (s) denotes interaction with a surface and (g) denotes the gas phase:
  • step 1 the complex is adsorbed from the gas phase onto a metallic surface.
  • step 2. the coordinated olefin (TMVS in this specific case) dissociates from the complex as a free gas leaving behind Cu * 'hfac as an unstable compound.
  • step 3 the Cu * 'hfac disproportionates to yield copper metal and volatile Cu ⁇ 2 (hfac) 2 .
  • the disproportionation at CVD temperatures appears to be most strongly catalyzed by metallic or electrically conducting surfaces.
  • the organometallic copper complex can be reduced by hydrogen to yield metallic copper.
  • the volatile liquid complex, Cu +1 hfac,TMVS can be used to deposit Cu through either a thermal or plasma based process, with the thermal based process being most preferred.
  • the substrate temperature for a plasma enhanced process is preferably between about 100 and about 400°C, while that for a thermal process is between about 50 and about 300°C, and most preferably about 170°C.
  • the vaporizer temperature for copper deposition is preferably between 50 and 85° C and most preferably 65° C.

Abstract

The invention relates to an apparatus and process for the vaporization of liquid precursors and deposition of a film on a suitable substrate. Particularly contemplated is an apparatus and process for the vaporization of a metal-oxide film, such as a barium, strontium, titanium oxide (BST) film, for deposition on a silicon wafer to make integrated circuit capacitors useful in high capacity dynamic memory modules. The vaporizer comprises thermally controlled components which are adapted for easy assembly and disassembly. A main vaporizing section provides a large heated surface for flash vaporization. A high conductance blocker is disposed at a lower end of the vaporizer to provide an extended vaporization surface. Optionally, a filter may be employed to capture unvaporized precursor droplets.

Description

CHEMICAL VAPOR DEPOSITION VAPORIZER
BACKGROUND OF THE INVENTION Field of the Invention
The invention relates to an apparatus and process for the vaporization of liquid precursors and deposition of a film on a suitable substrate. Particularly contemplated is an apparatus and process for the deposition of a metal-oxide film, such as a barium strontium titanate (BST) film, on a silicon wafer to make integrated circuit capacitors useful in high capacity dynamic memory modules. Background of the Related Art
The increasing density of integrated circuits (ICs) is driving the need for materials with high dielectric constants to be used in electrical devices such as capacitors for forming 256 Mbit and 1 Gbit DRAMs. Capacitors containing high- dielectric-constant materials, such as organometallic compounds, usually have much larger capacitance densities than standard SiO2-Si3N4-SiO2 stack capacitors making them the materials of choice in IC fabrication.
One organometallic compound of increasing interest as a material for use in ultra large scale integrated (ULSI) DRAMs is BST due to its high capacitance. Deposition techniques used in the past to deposit BST include RF magnetron sputtering, laser ablation, sol-gel processing, and chemical vapor deposition (CVD) of metal organic materials.
A liquid source BST CVD process entails atomizing a compound, vaporizing the atomized compound, depositing the vaporized compound on a heated substrate and annealing the deposited film. This process requires control over the liquid precursors and gases from introduction from an ampoule into a liquid delivery system through vaporization and ultimately to the surface of the substrate where it is deposited. The goal is to achieve a repeatable process which deposits a film of uniform thickness under the effects of a controlled temperature and pressure environment. This goal has not been satisfactorily achieved because the precursors are finicky and the deposition equipment requires a complex design.
For example, a series of problems result from the use of vaporizers. One difficulty is the lack of efficiency in vaporizing the liquid precursors. Typically, only a ->
portion of the liquid precursors are vaporized due to low conductance in the vaporizer, thereby inhibiting deposition rates and resulting in processes which are not consistently repeatable. In addition, known vaporizers used in CVD processes incorporate narrow passages which eventually become clogged during use and are not adapted for continuous flow processes which can be stabilized. For example, U.S. Pat. No. 5,204,314 entitled, "Method for Delivering an Involatile Reagent in Vapor Form to a CVD Reactor," discloses a flash vaporizer using a matrix structure. The matrix structure generally comprises a heated screen mesh having restricted openings. After extended usage the matrix structure accumulates build up leading to a reduction in vaporization efficiency of the liquid precursors and negative effects on process repeatability and deposition rate.
Another difficulty is that BST liquid precursors have a narrow range of vaporization between decomposition at higher temperatures and condensation at lower temperatures. Known vaporizers lack temperature controlled surfaces and the ability to maintain liquid precursors at a low temperature prior to injection into the vaporizer. This results in deposition of material in the injection lines and in the vaporizer and premature condensation or unwanted decomposition of the precursors. The deposits adversely affect not only the vaporizer but also upstream components such as positive displacement pumps because the pump can rupture its pressure seals or continue to operate until the pressure relief valves on the pump are tripped. Damage to system components, of course, requires maintenance and repair and over time becomes very expensive and increases the cost of ownership of the equipment. Additionally, the deposits formed in the vaporizer may be carried downstream to corrupt other components and ultimately even be delivered to the substrate surface thereby compromising its quality. Thus, temperature controlled flow paths through the vaporizer are needed.
Still another difficulty encountered in the deposition of BST is that the deposition process is performed at elevated substrate temperatures, preferably in the range of about 400-750° C and the annealing process is performed at substrate temperatures in the range of about 550°-850° C. These high temperature requirements impose demands on the chambers and its other components used in the deposition process. For example, elastomeric O-rings are typically used to seal the deposition chamber and are not generally made of materials that will resist temperatures in excess of about 100° C for many fabrication cycles. Seal failure may result in loss of pressure as well as contamination of the process chemistry and the system components, thereby resulting in defective film formation on the wafer. In addition, it is necessary to prevent temperature fluctuations of vaporizer surfaces which result from thermal conduction. Loss of heat due to thermal conduction causes temperature gradients across the surface of the substrate resulting in decreased uniformity in film thickness and also increases the power demands required of the system to maintain the high temperature environment in the chamber.
There is a need, therefor, for a high conductance vaporization apparatus which can efficiently vaporize the precursors, deliver the vaporized precursors to downstream system components while maintaining elevated temperatures, preventing unwanted condensation or decomposition of precursors along the pathway and avoiding temperature gradients. It would be preferable if the system were adapted for rapid cleaning and continuous flow operation.
SUMMARY OF THE INVENTION
In one aspect of the present invention, a vaporizer is provided for vaporizing BST and other materials which require vaporization, especially low volatility precursors which are transported as a liquid to the vaporizer to be converted to vapor phase and which must be transported at elevated temperatures to prevent unwanted condensation on gas flow surfaces. The vaporizer comprises a series of heated temperature controlled components which are configured for rapid removal, cleaning and/or replacement. The vaporizer also preferably includes features that protect seals (e.g., elastomeric O-rings) from the deleterious effects of high temperatures generated during fabrication of electrical devices, such as capacitors useful for ULSI DRAMs.
The invention also provides a vaporizing apparatus having large smooth vapor passageways for high conductance to prevent clogging for consistently mixing and efficiently vaporizing liquid precursor components, and delivering the vaporized material to a deposition chamber with negligible decomposition and condensation of the gas in the vaporizer and gas delivery lines. Preferably, the apparatus increases vaporizing efficiency by providing temperature controlled increased surface area to reduce the likelihood of fouling or clogging typically associated with existing vaporizers.
The present invention is characterized by its use in the manufacture of capacitor films of consistently high quality, with significantly reduced and simplified maintenance, and capability for depositing CVD films at high rates with less particle generation. The net result is a fabrication process with enhanced efficiency and economy.
In another aspect of the present invention, a main body having a main vaporizing section is equipped with detachable heating elements. A blocker is disposed below the main vaporizing section. High conductance channels formed in the blocker act as an extended vaporizing surface. In a first embodiment, the channels are in parallel relation and lead to an outlet coupled to a downstream gas line. In a second embodiment, the blocker comprises a gas compactor at least partially disposed within the main vaporizing section. The gas compactor has upper and lower ports in communication with an inlet and a outlet, respectively. A gas channel is defined between the gas compactor and the main vaporizing section to provide fluid communication between the inlet and outlet via the ports. Optionally, a filter may be disposed at a lower end of the vaporizer.
In still another embodiment of the present invention, a vaporizer comprises separable components selectively coupled. In a first embodiment the vaporizer components are coupled by clamps while in another embodiment the components are coupled by VCR® fittings. In each embodiment the components are easily disassembled for inspection and cleaning.
BRIEF DESCRIPTION OF THE FIGURES
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. Figure 1 is a perspective view of a chamber system of the present invention;
Figure 2 is a perspective view of a chamber and vaporizer module;
Figure 3 is a simplified schematic representation of a liquid and gas delivery system;
Figure 4 is a partial schematic cross sectional view of a vaporizer of the present invention;
Figure 5 is partial schematic cross sectional view of an alternative embodiment of the vaporizer.
DETAILED DESCRIPTION OF THE INVENTION
The present invention is directed to a vaporizer for use in a chemical vapor deposition (CVD) system. While the subsequent description makes references to BST deposition it is understood that the invention may be used in any processing system requiring the advantages of superior serviceability, uniform film deposition, and enhanced efficiency resulting from temperature controlled surfaces. The vaporizer has particular application for the fabrication of metal-oxide dielectrics useful in making capacitors used in ULSI DRAMs as well as a number of other electrical devices. In general, devices that can be made with the present system are those characterized by having one or more layers of insulating, dielectric, or conducting material deposited on a substrate.
Figures 1 and 2 are perspective views of a CVD system 10 incorporating the present invention. The system 10 generally includes a chamber body 12, a heated lid assembly 14, an integrated vaporizer module 16 and an exhaust/pumping system 18 comprising a gate valve 20, a turbo pump 22, and a first cold trap 24. The vaporizing module 16 is shown mounted adjacent to the chamber body 12 in a vaporizer cabinet 26 which includes an outlet line 28 connected to the inlet into the chamber body 12 at one end and a vaporizer 100 at another end. Disposed along the outlet line 28 is a first valve 30 which is connected in turn to a bypass line 32 extending out through the back of the cabinet 26 and is connected to the exhaust pumping system 18 by a conduit in which a second cold trap 34, located downstream from the valve 30, is disposed. The bypass line 32 is adapted to deliver both vaporized gas as well as liquid solvent into the cold trap 34 in preparation of delivering vaporized gas to the chamber body 12 during processing or during cleaning of the system 10. The first valve 30 controls delivery of the vaporized material to the chamber 12 through the cold trap 34. A second valve 36, such as an isovalve is disposed downstream from the first valve 30 to selectively deliver the vaporized gas into the chamber body 12. The second valve 36 is mounted to the lower portion of the chamber 12 via a rod and washer assembly 38. This assembly 38 enables adjustment of the delivery line as well as the valve 36 in relation to the chamber 12. The mount generally includes first and second rings 40, 42, respectively, one disposed in the other, to allow rotatable adjustment of an isovalve 36 and the outlet line 28. The second valve 36 is mounted to the second ring 42 via a plurality of rods 44 (four shown here) which are mounted from the ring 42 and include a spring 46 disposed above the upper portion of the rod and the ring 42. The two rings 40, 42 enable rotation of the assembly 38 while the spring and rod arrangement allow vertical adjustment of the assembly 38 to ensure proper alignment of the gas feed line 30 into the chamber 12. In general, the suspension assembly 38 provides automatic compensation for thermal expansion/contraction to maintain vacuum seals without the mechanical and thermal stress. The size and dimensions of the system 10 are dictated by the size and shape of the workpiece on which processes of the present invention are performed.
Figure 3 shows a simplified liquid and gas delivery system 50 for supplying the liquid precursors and carrier gases to the vaporizer 100. A first gas container 52 and second gas container 54 are connected to the vaporizer 100 to provide carrier gases. The function of these gases is described in detail below. A liquid ampoule 56 is shown connected to the vaporizer 100 to provide liquid precursors. So that the flow rates of the gases and liquids may be monitored and controlled, flow meters 58 are disposed in the liquid and gas delivery lines. The gas delivery lines are preferably made of a material having a low coefficient of friction, such as PTFE, to allow for high flow velocities. Other devices which are commonly known and used in the industry but not shown in Figure 3 include bubblers, degassers, shut-off valves, etc.
The inventors have recognized that deposition layer uniformity can be enhanced, and maintenance can be reduced, if the vaporizer is substantially maintained at an ideal isothermal system temperature (e.g., 250° C ±5° for BST). The vaporizer 100 incorporates several active and passive thermal control systems including thermal control features which serve to protect a main seal by cooling it below the ideal isothermal system temperature. Cooling is achieved without inducing significant temperature fluctuations and gradients in the vaporizer components exposed to the system chemistry, and without excessive cooling and heating power losses.
Figure 4 is a cross sectional view of one embodiment of the vaporizer 100 of the present invention. The vaporizer 100 generally includes an input manifold 102, a cooling head 104, and a main body 106 comprising a top block 108 and a bottom block 110. The input manifold 102 is coupled at the upper end of the vaporizer 100 and provides an inlet 112 wherein an injection member 114, such as a capillary tube, is coaxially disposed. The injection member 114 is connected to the liquid precursor ampoule 56 (shown in Figure 3) and the first gas container 52 (also shown in Figure 3).
The cooling head 104 and the top block 108 are joined at abutting flanges 120 and 122 formed on the head 104 and top block 108, respectively. Similarly, the top block 108 and the bottom block 110 are joined at flanges 124 and 126 defined on the top block 108 and bottom block 110, respectively. The flanges 120, 122, 124, and 126 are adapted to receive clamps 128, such as KF clamps, to hold the various vaporizer components together during operation. O-ring seals 130 and 132 disposed in flanges 120 and 124, respectively, provide hermetic seals at the interfaces of the blocks 108, 110 and cooling head 104. O-rings 130, 132 may be any of many high temperature metal-to-metal seals such as the aluminum Delta seal from Helicoflex, for example.
One or more cooling channels 134 are preferably disposed in the head 104 in order to actively cool the O-ring seal 130 and the incoming liquid precursors. A heat exchange fluid (e.g., water, ethylene glycol, silicone oil, etc.) circulates through the channel 134 to remove heat at the O-ring seal 130. Optionally, another cooling channel (not shown) may be disposed in the main body 106 adjacent the O-ring seal 132. To maximize thermal conductivity the cooling head is preferably made of aluminum or some other thermal conductor. Although not shown in Figure 4, a thermocouple may be disposed in a slot 222 to monitor the operating temperature at an upper end of the vaporizer 100. A dispersion/carrier, gas conduit 135 is formed in the cooling head 104 and leads to a recess 137 formed in an upper portion of the top block 108. An injection line (not shown) connects the second gas container 54 (shown in Figure 3) to the dispersion'carrier gas conduit 135 to provide a dispersion/carrier gas thereto. The cooling head 104 provides a centrally formed inlet bore 136 wherein the injection member 1 14 is disposed and secured by a threaded sleeve 138. The injection member 114 is concentrically received by a gas passageway 140 extending longitudinally through a neck 142 of the top block 108 and terminating near a lower end of the neck 142. The concentric gas passageway 140, disposed about the outer perimeter of the injection member 114, may be of any geometric shape and is adapted to deliver one or more dispersion gases to a tip, or nozzle 144, of the injection member 114. Preferably, the concentric gas passageway 140 and the injection member 1 14 are made of PTFE for low friction coefficient and prevention of clogging.
The concentric gas passageway 140 leads to a main vaporizing section 146 which is shown as a frustoconical surface having a diametrically narrower upper end and a diametrically enlarged lower end. The main vaporizing section 146 provides a large, preferably smooth, heated surface area onto which a fluid may be deposited. A blocker 148 aligned with the lower end of the main vaporizing section 146 provides an extended vaporizing surface. The blocker 148 is preferably made of aluminum, or some other thermal conductor, and comprises a plurality of high conductance channels 150. A commercially available filter 152 such as the one available from PALL is disposed below the blocker 148 and above a high conductance outlet 153. The filter 152 is seated on an annular shoulder 154 of the bottom block 110 and is secured from above by the top block 108 thereby allowing for ease of periodic replacement by unclamping the two blocks 108, 110. The filter 152 can be any number of commercially available filters such as the one available from PALL. This arrangement provides a large conductance for shorter resonance time in the vaporizer 100 and also facilitates inspection and cleaning of the vapor flow paths.
The blocks 108 and 1 10, preferably made of stainless steel, provide a relatively large thermal mass for retention and transmission of thermal energy generated by one or more heating elements 156 (shown here as cartridges) surrounding the blocks 108 and 110 thereby ensuring an optimal isothermal temperature on the vaporization surfaces, as well as downstream. The heaters 156 are slidably received in receptacles 158 and may be selectively removed for maintenance and servicing. The heating elements 156 preferably deliver a total heating power of between about 1000W and 3000W to the blocks 108, 1 10 and are controlled to maintain the main body 106 at the optimum isothermal temperature by a conventional PID controller (not shown). The controller is connected to a thermocouple (also not shown) positioned within at least one, and preferably both, of the blocks 108, 1 10 proximate to the heated vaporizing surfaces.
All the vaporizer components are uniquely designed to facilitate disassembly, maintenance, and replacement. Each component comprises an independent unit which may be individually serviced or replaced. As shown in Figure 4, the vaporizer 100 of the first embodiment consists of six primary components, i.e., the input manifold 102, the cooling head 104, the top block 108, the bottom block 110, the heating elements 156, the filter 152, and the blocker 148. As described above, the top block 108 and cooling head 104 are selectively coupled with a KF clamp. The top block 108 is similarly coupled to the bottom block 1 10. Thus, the filer 152, which must be periodically exchanged, and the blocker 148, which may require periodic cleaning, may be removed by uncoupling the top block 108 from the bottom block 110. While the blocks 108, 110 are shown coupled by KF clamps, other coupling assemblies, such as VCR® fittings may be used to advantage.
In operation, the liquid precursor is initially combined with a carrier gas, such as argon, upstream from the vaporizer 100. The mixture of liquid precursor components and the carrier gas is then delivered through the injection member 114 (preferably 2-20 mils inner diameter) to a point just above the main vaporizing section 146. The liquid and gas are supplied at a relatively high flow rate, e.g., lOml/min. liquid and 100-2000sccm gas, which causes the liquid to exit the nozzle 144 and enter the main vaporizing section 146 as a jet of liquid and gas with a high nozzle velocity.
The flow meter 58 (shown in Figure 3) can be used to control the amount of gas flowed in direct relation to the flow rate of the liquid precursor component mixture. The flow rate of the liquid is typically controlled by a flow controller such as the flow meter shown in Figure 3. As will be understood by a person skilled in the art, the flow velocity of the liquid precursors may be independently controlled by the flow of the carrier gas input to the vaporizer 100.
One or more dispersion/carrier gases, such as argon, are delivered through the dispersion/carrier gas conduit 135 and flowed concentrically about the injection member 1 14 to prevent liquid droplets from forming on the nozzle 144 and moving up the outer cylinder of the injection member 1 14. At the level of the nozzle 144, the dispersion/carrier gas picks up the liquid precursor mixture jetting out of the injection member 1 14 and carries the mixture down into the main vaporizing section 146 where the liquid precursor is vaporized. To allow for optimization of this initial "flash" vaporization, the spacing between the injection member nozzle 144 and the main vaporization section 146 is preferably adjustable. Adjustment of the flash vaporization to avoid a liquid droplet "dance on the frying pan" effect is obtained by adjusting the flow rate of the gas and liquid precursor mixture. The vaporized precursors are then channeled through the plurality of high conductance channels 150 formed in the blocker 148. The blocker 148 acts as a second stage vaporizer while simultaneously collecting unvaporized liquid and directing them into the filter 152. The filter 152 enables the entrapment of any liquid which is not vaporized. This prevents liquids from passing through the vaporizer 100 and into the chamber 12 (shown in Figures 1, 2, and 3). The resultant deposition gas then passes through the vaporizer outlet 153 for delivery to the deposition chamber 12. The wide-mouthed outlet 153 is designed for large conductance so that precursor vapors are readily carried from the vaporizer 100 into the chamber 12.
All but a final short segment of the path of the liquid mixture is kept relatively cool (0-80° C for BST) by a thermal choke structure comprising the cooling channel 134 and physical separation of the main body 106 from the other upstream vaporizer components by the neck. The thermal choke isolates the upper portion of the vaporizer 100 from the heat generated by heating elements 156 and prevents heat loss and generation of cold spots without inducing significant detrimental cooling effects on the other system components. In particular, the design allows the main body 106 to be maintained at an optimal isothermal temperature (e.g., 250° C ±5° for BST).
Figure 5 is a cross sectional view of a second embodiment of the vaporizer 100 of the present invention. The vaporizer 100 generally includes the components of the first embodiment, i.e.. an input manifold 102, a cooling head 104, and a main body 106.
However, the second embodiment comprises some design modifications which are discussed below.
Figure 5 shows the dispersion/carrier gas conduit 202 formed in the main body 106. The conduit 202 extends from below the main body 106 along a perimeter portion thereof and then terminates in a passageway at the latter portion of the injection nozzle 144. An injection line 204 connected to the conduit 202 by quick disconnect fittings 206 delivers a dispersion/carrier gas to the conduit 202 from the second gas container 54 (shown in Figure 3). The injection member 1 14 terminates at the entrance to a main vaporizing section 146 housing a blocker, or gas compactor 208. The gas compactor 208 is a substantially elongated cylinder having an inlet 210 at an upper end and outlet 212 at a lower end. The inlet 210 receives the nozzle 144 and comprises a plurality of exhaust ports 214 formed in the inlet wall. Similarly, the outlet 212 has a plurality of intake ports 216. The outer diameter of the gas compactor 208 is slightly less (a few millimeters) than the diameter of the main vaporizing section 146 so that a fluid channel 218 is formed leading from the exhaust ports 214 to the intake ports 216 providing communication therebetween.
The main body 106, preferably made of a monolithic piece of stainless steel, provides a relatively large thermal mass for retention and transmission of thermal energy generated by a heating jacket 220 and has a high specific heat capacity thereby ensuring an optimal isothermal temperature on the vaporization surfaces, as well as downstream. The heating jacket 220 is in the form of a C-clamp having its ends secured by a screw (shown in Figure 3) such that it is supported on the exterior of the main body 106 and allows for easy removal of the heating jacket 220. The heating jacket 220 may be electrically heated (e.g., resistive heaters) or fluidly heated and preferably delivers a total heating power of between about 1000W and 3000W to the main body 106 for typical sizes and flow rates of these applications. Cartridges such as those used in Figure 4 may also be used. The heating jacket 220 is controlled to maintain the main vaporizing section 146 at the optimum isothermal temperature by a conventional PID controller (not shown). Although not shown in Figure 5, the vaporizer 100 of the second embodiment may also comprise a thermocouple, preferably located in the main body 106 proximate the injection member 114, to monitor the temperature during operation. An additional thermocouple (also not shown) may be received by the slot 222 located partially in the input and partially in the cooling head 104.
A thermal radiation shield 224 is shown circumferentially disposed about the midsection of the vaporizer 100. Preferably, at least the main body 106 is enclosed within the shield 224. Most preferably, the cooling head 104 is also enclosed. Preferably, the shield 224 does not directly contact the main body so that an air pocket is formed around the main body 106. The shield 224 is preferably a metal having a high thermal insulating capacity such as stainless steel. A metal membrane 226, also preferably comprised of a thermal insulator such as stainless steel, is horizontally interposed between the head 104 and the main body 106 to act as a thermal choke.
As shown in Figure 5, the vaporizer 100 of the second embodiment consists of six primary components, i.e., the input manifold 102, the cooling head 104, the main body 106, the heating jacket 220, the gas compactor 208, and the shield 224. To allow for ease of connecting/disconnecting to one another, the components are equipped with VCR fittings. However, other coupling devices may be used, such as the KF clamps used in the first embodiment for example.
The operation of the vaporizer 100 of the second embodiment is substantially the same as that of the first embodiment described above with a few exceptions. In the second embodiment the dispersion/carrier gas conduit 202 is shown disposed in the main body 106 at least partially adjacent the heating jacket 220. This allows the dispersion/carrier gas to be heated before its injection into the passageway. Additionally, the injection member 114 is shown in Figure 5 extending below the neck 142 and terminating at the end of passageway 140 above the gas compactor inlet 210. This allows the liquid precursors to reach an elevated temperature due to the heat generated by the heating jacket 220 and transmitted by the main body 106. The precursors are then delivered into the inlet 210 where they are channeled through and around the gas compactor 208 as indicated by the arrows. The vaporized gas then exits the vaporizer 100 through the outlet 212 and is delivered to the deposition chamber 12 downstream.
While the number of intake ports 216 (three shown) is preferably less than the exhaust ports 214 (five shown) the total effective cross sectional area of the ports 214, 216 is substantially equal such that the volume flow rate (seem) is substantially equal. Thus, by the equation of continuity A,v, = A:v2, wherein A, the total cross sectional area of the exhaust ports 214, v, is the velocity of the fluid through the exhaust ports 214, A, is the total cross sectional area of the intake ports 216, and v2 is the velocity of the fluid through the intake ports 216. Bernoulli's equation may then be solved for the pressure at each end of the gas compactor 208. The desired pressure is achieved by manipulating the orientation of the vaporizer 100 (to compensate for the effects of gravity), changing the length of the gas compactor 208, and altering relative size difference between the cross sectional areas of the ports 214, 216. The precise dimensions will also depend on the type of fluid used and the surface friction provided by the main vaporizing section 146 and the gas compactor 208. A slight pressure differential which biases the fluid downstream is most preferable.
As with the first embodiment all but a final short segment of the path of the liquid mixture is kept relatively cool, e.g., 0°-80° C for BST, by a thermal choke structure comprising the cooling channel 134 and physical separation of the main body 106 from the other upstream vaporizer components. The second embodiment also employs the metal membrane 226 to reduce thermal decomposition of the liquid precursor components prior to vaporization by further inhibiting thermal conduction. Additional thermal insulation of the injection member 114 is provided by the relatively thin wall of the neck 142, e.g., a few millimeters and by the thermal insulating value of the material. The neck 142, which forms an integral part of the main body 106, is preferably made of stainless steel, PTFE, or other material having a relatively low thermal conductivity.
While certain design features are shown only with respect to the second embodiment, such as the shield 224, the heated dispersion/carrier gas conduit 202, and the heating jacket 220, these features may also be employed by the vaporizer 100 of the first embodiment. Similarly, the features of the first embodiment, such as the heating cartridges 156, may also be used to advantage in the second embodiment. Further, adjusts to elements such as the injection member 114 in either embodiment may be made without deviating from the scope of the present invention.
The vaporizer 100 of each embodiment operates to vaporize a mixture of precursor components, such as BST, and a carrier gas by providing a main vaporizer section 146 with increased surface area which exposes the mixture to a large area of evenly heated surfaces. The various components of the vaporizer 100, such as the main vaporizing section 146, the blocker 148 of the first embodiment, and the gas compactor 208 of the second embodiment each act to vigorously mix and vaporize the precursor components, carrier gases, and dispersion gases. This arrangement provides a large conductance for shorter resonance time in the vaporizer 100. The maximized surface area serves to vaporize more efficiently as well as prevent clogging.
The amount of power required for complete vaporization is a function of the chemistry of the precursor components and carrier gas, and the flow rate of the mixture. As one example, with a BST flow rate of 0.10 ml/min and a carrier gas, e.g., Ar, flow rate of 200-300 seem, the amount of power necessary to heat and completely vaporize the flow is approximately 10W. In contrast to conventional arrangements, the amount of heating (e.g., vaporizing) power supplied to the mixture is set substantially higher than the level of power actually required to achieve complete vaporization. In accordance with the invention, the thermal power transferred to the vaporizer 100 is set to be one to two orders of magnitude higher than the 10W required for complete vaporization of the mixture, i.e., between about 100W and 1000W, and preferably 20-30 times higher, i.e., between 200-300W and 2000W-3000W. In this manner, the heating power absorbed by the flowing mixture is a small fraction of the heating power which is available. Therefore, the power absorbed by the gas vapor presents an insignificant perturbation in relation to the available heating power, making it possible to substantially maintain an ideal isothermal temperature (e.g., 250° C ±5° for BST) of the heating surfaces. In general, depending on the precursor component mixture which is used, the ideal isothermal system temperature will be in the range of about 200-300°C.
Applications of the System
Example 1
Exemplary metal-oxide layers which can be deposited using the present system may include tantalum pentoxide (Ta,O5), a zirconate titanate (ZrxTiyOz ), strontium titanate (SrTiO3), barium strontium titanate (BST), lead zirconate titanate (PZT), lanthanum-doped PZT, bismuth titanate (Bi4Ti3O|2), barium titanate (BaTiO3), or the like. Other materials which can be deposited include those materials having a narrow range of vaporization between condensation and decomposition. Example 2
While the present invention is described primarily with reference to metal oxide layers such as BST, other processes requiring the advantages of isothermal vaporization over a large conductance path may also be carried out. For example, one area of particular interest in the semiconductor industry is copper deposition.
A Cu layer may be deposited using by any known CVD Cu process or precursor gas, including copper~2(hfac)2 and Cu+2(fod)2 (fod being an abbreviation for heptafluoro dimethyl octanediene), but a preferred process uses the volatile liquid complex copper+lhfac,TMVS (hfac being an abbreviation for the hexafluoro acetylacetonate anion and TMVS being an abbreviation for trimethylvinylsilane) with argon as the carrier gas. One such mixture (i.e., copper+2(hfac)2) is Cupra Select™ a registered trademark of Schumacher, Inc. Because this complex is a liquid under ambient conditions (i.e., > 60°C), it can be utilized in standard CVD precursor delivery systems currently used in semiconductor fabrication. TMVS and hfac are additives used to enhance adhesion, nucleation, and stability. Specifically, TMVS is a thermal stabilizer which prevents a reaction until a desired temperature is reached while hfac is a deposition controlling compound. Both TMVS and copper+2(hfac)2 are volatile byproducts of the deposition reaction that are exhausted from the chamber. The deposition reaction is believed to proceed according to the following mechanism, in which (s) denotes interaction with a surface and (g) denotes the gas phase:
2Cu+1hfac,TMVS(g) → 2Cu+'hfac,TMVS(s) step
(1)
2Cu+lhfac,TMVS(s) → 2Cu hfac(s) + 2TMVS(g) step
(2)
2Cu*'hfac(s) → Cu(s) + Cu+2(hfac)2(g) step
(3)
In step 1. the complex is adsorbed from the gas phase onto a metallic surface. In step 2. the coordinated olefin (TMVS in this specific case) dissociates from the complex as a free gas leaving behind Cu*'hfac as an unstable compound. In step 3, the Cu*'hfac disproportionates to yield copper metal and volatile Cu~2(hfac)2. The disproportionation at CVD temperatures appears to be most strongly catalyzed by metallic or electrically conducting surfaces. In an alternative reaction, the organometallic copper complex can be reduced by hydrogen to yield metallic copper.
The volatile liquid complex, Cu+1hfac,TMVS, can be used to deposit Cu through either a thermal or plasma based process, with the thermal based process being most preferred. The substrate temperature for a plasma enhanced process is preferably between about 100 and about 400°C, while that for a thermal process is between about 50 and about 300°C, and most preferably about 170°C. The vaporizer temperature for copper deposition is preferably between 50 and 85° C and most preferably 65° C.
While the foregoing is directed to a preferred embodiment of the invention, other and further embodiments of the invention may be devised without departing from the basic scope of thereof, and the scope thereof is determined by the claims which follow.

Claims

CLAIMS:
1. An apparatus for vaporizing one or more liquids, comprising: a) a main body defining a main vaporizing section, the body having an inlet channel and outlet channel; b) a liquid injection member to deliver the one or more liquids into the main vaporizing section; c) a high conductance blocker disposed proximate to the main vaporizing section; and d) one or more heating elements in thermal communication with the main vaporizing section.
2. The apparatus of claim 1 wherein the injection member is adapted to deliver one or more liquids into the main vaporizing section at a supersonic velocity at a liquid injection flow rate of less than about lOml/min.
3. The apparatus of claim 1 wherein the liquid injection member is attached to a liquid and gas delivery system.
4. The apparatus of claim 1 wherein the height of the liquid injection member is adjustable.
5. The apparatus of claim 1 wherein the main body is comprised of stainless steel.
6. The apparatus of claim 1 wherein the main vaporizing section is a frustoconical surface.
7. The apparatus of claim 1 further comprising a removable filter interposed between the main vaporizing section and the outlet channel.
8. The apparatus of claim 1 further comprising a removable shield disposed about the main body.
9. The apparatus of claim 1 wherein the blocker is interposed between the main vaporizing section and the outlet channel and comprises a plurality of high conductance channels.
10. The apparatus of claim 1 further comprising: a) a gas passageway disposed in the main body wherein at least a portion of the liquid injection member is disposed and wherein the gas passageway is in fluid communication with the main vaporizing section; and b) a gas conduit terminating in the gas passageway at a position above a lower end of the injection member to deliver a gas thereto.
11. The apparatus of claim 1 wherein the blocker comprises a gas compactor at least partially disposed within the main vaporizing section, the gas compactor comprising: a) an inlet having a first plurality of ports formed therein; and b) an outlet having a second plurality of ports formed therein.
12. The apparatus of claim 1 1 further comprising a gas channel defined by the main vaporizing section and the gas compactor providing fluid communication between the first plurality of ports and the second plurality of ports.
13. The apparatus of claim 1 further comprising a cooling head selectively fastened at an upper end of the body, the cooling head comprising an annular cooling channel.
14. The apparatus of claim 13 further comprising: a) a gas passageway disposed in the main body wherein at least a portion of the liquid injection member is disposed and wherein the gas passageway is in fluid communication with the main vaporizing section; and b) a gas conduit formed in the cooling head, the gas conduit terminating in the gas passageway at a position above a lower end of the injection member to deliver a gas thereto.
15. The apparatus of claim 1 wherein the body further comprises: a) a top block having the main vaporizing section formed therein; and b) a bottom block having the outlet channel formed therein, the bottom block being selectively fastened to a lower side of the top block.
16. The apparatus of claim 15 further comprising a seal disposed between the top block and the bottom block.
17. The apparatus of claim 15 further comprising a thermal choke and a thermocouple each extending parallel to a least a part of the liquid injection member.
18. The apparatus of claim 15 wherein the top block and the bottom block are comprised of a thermal insulator.
19. The apparatus of claim 15 wherein the thermal insulator is stainless steel.
20. The apparatus of claim 15 wherein the top block and bottom block are selectively coupled together.
21. The apparatus of claim 20 wherein the top block and bottom block are selectively fastened together by clamps.
22. The apparatus of claim 20 wherein the top block and bottom block are selectively fastened together by VCR® fittings.
23. The apparatus of claim 15 further comprising a cooling head selectively fastened at an upper end of the top block, the cooling head comprising an annular cooling channel.
24. The apparatus of claim 23 wherein the top block and the bottom block are comprised of stainless steel and the cooling head is comprised of aluminum.
25. The apparatus of claim 23 further comprising a seal disposed between the cooling head and the top block.
26. The apparatus of claim 23 further comprising: a) a gas passageway disposed in the top block wherein at least a portion of the liquid injection member is disposed and wherein the gas passageway is in fluid communication with the main vaporizing section; and b) a gas conduit formed in the cooling head, the gas conduit terminating in the gas passageway at a position above a lower end of the injection member to deliver a gas thereto.
27. An apparatus for vaporizing one or more liquids, comprising: a) an input manifold having an inlet channel; b) a cooling head detachably connected to the lower end of the input manifold and having an inlet bore in alignment with the inlet channel; c) a main body coupled to the lower end of the cooling head, the main body comprising: i) a gas passageway and main vaporizing section formed therein, the gas passageway, main vaporizing section, and the inlet being in fluid communication; ii) a high conductance removable blocker disposed proximately to the main vaporizing section; and iii) an outlet; and d) one or more heating elements in thermal communication with the main vaporizing section.
28. The apparatus of claim 27 wherein the blocker comprises a plurality of high conductance channels.
29. The apparatus of claim 27 wherein the blocker comprises a gas compactor at least partially disposed within the main vaporizing section, the gas compactor comprising: a) an inlet having a first plurality of ports formed therein: and b) an outlet having a second plurality of ports formed therein.
30. The apparatus of claim 29 further compπsing a gas channel defined between the main vapoπzing section and the gas compactor providing fluid communication between the first plurality of ports and the second plurality of ports.
31. The apparatus of claim 27 further comprising a removable filter disposed between the main vaporizing section and the outlet.
32. The apparatus of claim 27 wherein the one or more heating elements are detachably disposed circumferential ly around the main body.
33. The apparatus of claim 27 further comprising a thermal choke disposed in the top block, the thermal choke extending parallel to at least a portion of the gas passageway.
34. The apparatus of claim 27 further comprising an injection member disposed in the inlet and extending at least partially within the gas passageway.
35. The apparatus of claim 34 further comprising a liquid and gas delivery system connected to the liquid injection member.
36. The apparatus of claim 34 wherein the height of the liquid injection member is adjustable.
37 The apparatus of claim 34 further comprising a gas conduit disposed at least partially m the cooling head and terminating in the gas passageway at a position above the lower end of the injection member
38 The apparatus of claim 37 wherein the gas conduit is disposed in the main body.
39. An semiconductor processing system comprising: a) processing chamber; b) a vaporizer disposed upstream from the processing chamber, the vaporizer having a cooling head detachably coupled at an upper side to an input manifold and detachably coupled at a lower side to a main body, the main body comprising:
I) a main vaporizing section; ii) a gas passageway fluidly connected to the main vaporizing section; ii) an injection member disposed at least partially into the gas passageway; iii) a high conductance blocker disposed proximate to the main vaporizing section; and iv) an outlet channel fluidly connected to the processing chamber; and c) a liquid delivery system connected to the gas passageway.
40. The system of claim 39 further comprising a removable filter disposed between the main vaporizing section and the outlet.
41. The system of claim 39 wherein a portion of the injection member extends through the input manifold and the cooling head.
42. The system of claim 39 wherein the height of the injection member is adjustable.
43. The system of claim 39 further comprising a gas conduit formed in the cooling head and terminating in the gas passageway at a point above the lower end of the injection member.
44. The system of claim 43 wherein the gas conduit is formed in the main body.
45. The system of claim 39 further comprising a thermal radiation shield disposed circumferentially about the main body.
46. The apparatus of claim 39 wherein the blocker is interposed between the main vaporizing section and the outlet channel and comprises a plurality of high conductance channels.
47. The apparatus of claim 46 wherein the blocker comprises a gas compactor at least partially disposed within the main vaporizing section, the gas compactor comprising: a) an inlet having a first plurality of ports formed therein; and b) an outlet having a second plurality of ports formed therein.
48. The apparatus of claim 46 further comprising a gas channel defined between the main vaporizing section and the gas compactor providing fluid communication between the first plurality of ports and the second plurality of ports.
PCT/US1999/016396 1998-07-21 1999-07-20 Chemical vapor deposition vaporizer WO2000005430A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP99935734A EP1102871A1 (en) 1998-07-21 1999-07-20 Chemical vapor deposition vaporizer
KR1020017000978A KR20010053597A (en) 1998-07-21 1999-07-20 Chemical vapor deposition vaporizer
JP2000561376A JP4480274B2 (en) 1998-07-21 1999-07-20 Chemical vapor deposition vaporizer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US9356398P 1998-07-21 1998-07-21
US60/093,563 1998-07-21

Publications (1)

Publication Number Publication Date
WO2000005430A1 true WO2000005430A1 (en) 2000-02-03

Family

ID=22239632

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/016396 WO2000005430A1 (en) 1998-07-21 1999-07-20 Chemical vapor deposition vaporizer

Country Status (5)

Country Link
US (1) US6210485B1 (en)
EP (1) EP1102871A1 (en)
JP (1) JP4480274B2 (en)
KR (1) KR20010053597A (en)
WO (1) WO2000005430A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002061170A1 (en) * 2001-01-31 2002-08-08 Pall Corporation Purification systems, methods and devices

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3470055B2 (en) * 1999-01-22 2003-11-25 株式会社渡邊商行 MOCVD vaporizer and raw material solution vaporization method
JP3823591B2 (en) * 1999-03-25 2006-09-20 三菱電機株式会社 Vaporizing apparatus for CVD raw material and CVD apparatus using the same
JP2000345345A (en) * 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd device and vaporizer for cvd device
DE19932630C2 (en) * 1999-07-13 2003-12-04 Perkin Elmer Bodenseewerk Zwei Unit for a plasma atomization device with plasma gas supply device, sample atomizing device and sample injection device
KR100649852B1 (en) * 1999-09-09 2006-11-24 동경 엘렉트론 주식회사 Semiconductor manufacturing system having a vaporizer which efficiently vaporizes a liquid material
FR2800754B1 (en) * 1999-11-08 2003-05-09 Joint Industrial Processors For Electronics DEVICE FOR EVAPORATING A CHEMICAL VAPOR DEPOSIT SYSTEM
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
KR100322411B1 (en) * 2000-01-29 2002-03-18 손명호 Apparatus for vaporizing a liquid source
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
US6299692B1 (en) * 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6451692B1 (en) * 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US7163197B2 (en) * 2000-09-26 2007-01-16 Shimadzu Corporation Liquid substance supply device for vaporizing system, vaporizer, and vaporization performance appraisal method
KR100756627B1 (en) * 2000-11-08 2007-09-07 주성엔지니어링(주) Vaporizer
IL156978A0 (en) * 2001-01-18 2004-02-08 Watanabe M & Co Ltd Carburetor, various types of devices using the carburetor, and method of vaporization
JP2005511894A (en) * 2001-12-04 2005-04-28 プライマックス・インコーポレーテッド Chemical vaporizer
KR100468244B1 (en) * 2001-12-26 2005-01-27 주성엔지니어링(주) Separable vaporizer
KR100474970B1 (en) * 2002-07-18 2005-03-10 주식회사 아이피에스 Vaporize for thin film deposition apparatus
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
JP2005537626A (en) * 2002-08-30 2005-12-08 アクセリス テクノロジーズ インコーポレーテッド Gas tube end caps for microwave plasma generators
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
CN101255551B (en) * 2003-05-12 2010-12-01 东京毅力科创株式会社 Vaporizer and semiconductor processing apparatus
JP4185015B2 (en) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 Vaporized raw material supply structure, raw material vaporizer and reaction processing apparatus
JP2005072195A (en) * 2003-08-22 2005-03-17 Watanabe Shoko:Kk Dispersing device for vaporizer, vaporizer for mocvd using the same, and method of vaporizing carrier gas
US20050147749A1 (en) * 2004-01-05 2005-07-07 Msp Corporation High-performance vaporizer for liquid-precursor and multi-liquid-precursor vaporization in semiconductor thin film deposition
AU2005244856B2 (en) * 2004-05-13 2008-10-09 Caldera Engineering, Llc Controlled dispersion multi-phase nozzle and method of making the same
TWI278899B (en) * 2004-08-23 2007-04-11 Ind Tech Res Inst Apparatus for manufacturing a quantum-dot element
TWI281691B (en) 2004-08-23 2007-05-21 Ind Tech Res Inst Method for manufacturing a quantum-dot element
JP4601535B2 (en) * 2005-09-09 2010-12-22 株式会社リンテック A vaporizer capable of vaporizing liquid raw materials at low temperatures
FI121430B (en) * 2006-04-28 2010-11-15 Beneq Oy Hot spring
JP4973071B2 (en) * 2006-08-31 2012-07-11 東京エレクトロン株式会社 Deposition equipment
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP5306993B2 (en) * 2007-03-30 2013-10-02 東京エレクトロン株式会社 Vapor deposition source unit, vapor deposition apparatus, and temperature control apparatus for vapor deposition source unit
DE102008026001B4 (en) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Method and device for producing and processing layers on substrates under a defined process atmosphere and heating element
US8297223B2 (en) * 2007-10-02 2012-10-30 Msp Corporation Method and apparatus for particle filtration and enhancing tool performance in film deposition
JP5461786B2 (en) * 2008-04-01 2014-04-02 株式会社フジキン Gas supply device with vaporizer
BRPI1006654A2 (en) 2009-04-15 2016-02-10 3M Innovative Properties Co retroreflective optical constructions and optical films
US9291752B2 (en) 2013-08-19 2016-03-22 3M Innovative Properties Company Retroreflecting optical construction
WO2010120468A1 (en) 2009-04-15 2010-10-21 3M Innovative Properties Company Process and apparatus for a nanovoided article
WO2010120864A1 (en) 2009-04-15 2010-10-21 3M Innovative Properties Company Optical film
US9464179B2 (en) 2009-04-15 2016-10-11 3M Innovative Properties Company Process and apparatus for a nanovoided article
US20110151590A1 (en) * 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair
JP5889806B2 (en) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Atomic layer deposition chamber with dual injection
WO2011129832A1 (en) 2010-04-15 2011-10-20 3M Innovative Properties Company Retroreflective articles including optically active areas and optically inactive areas
KR101849889B1 (en) 2010-04-15 2018-04-17 쓰리엠 이노베이티브 프로퍼티즈 캄파니 Retroreflective articles including optically active areas and optically inactive areas
CN102844175B (en) 2010-04-15 2016-08-03 3M创新有限公司 Including optical active areas with without the retroreflective articles of optical active areas
JP2013527800A (en) * 2010-04-21 2013-07-04 ラシルク Apparatus and method for delivery of vapor
US20120024233A1 (en) * 2010-12-22 2012-02-02 Primestar Solar, Inc. Conveyor Assembly with Releasable Drive Coupling
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN104053811B (en) 2011-11-18 2017-04-12 第一太阳能有限公司 Vapor transport deposition method and system for material co-deposition
KR101389011B1 (en) * 2012-03-28 2014-04-24 주식회사 유니텍스 Source container and reactor for vapor phase deposition
US20130302520A1 (en) * 2012-05-11 2013-11-14 Kai-An Wang Co-evaporation system comprising vapor pre-mixer
EP2855730B1 (en) 2012-05-31 2020-08-12 Entegris Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9523151B2 (en) * 2014-02-21 2016-12-20 Tokyo Electron Limited Vaporizer unit with open cell core and method of operating
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) * 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0548990A2 (en) * 1991-12-26 1993-06-30 Canon Kabushiki Kaisha Chemical vapor deposition method for forming a deposited film with the use of a liquid raw material and apparatus suitable for practising said method
DE19605254A1 (en) * 1995-02-13 1996-08-14 Toshiba Kawasaki Kk Multi-stage CVD process for semiconductor device mfr.
EP0814177A2 (en) * 1996-05-23 1997-12-29 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
WO1998014633A1 (en) * 1996-10-03 1998-04-09 Emcore Corporation Liquid vaporizer system and method

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US809839A (en) 1903-07-20 1906-01-09 Gorham Lyle Olds Steam-generator.
US2490547A (en) 1943-07-06 1949-12-06 Vapor Rapid A G Method of and apparatus for evaporating liquids
US2925329A (en) 1956-11-28 1960-02-16 Garrett Corp Gas generator
US2920179A (en) 1957-12-23 1960-01-05 Harold N Shaw Stored heat steam generators
US3190262A (en) 1961-10-20 1965-06-22 Alloyd Corp Vapor deposition
US3350219A (en) 1966-07-07 1967-10-31 Stackpole Carbon Co Evaporating metal employing porous member
US4212663A (en) 1978-01-26 1980-07-15 Corning Glass Works Reactants delivery system for optical waveguide manufacturing
US4349732A (en) 1980-01-07 1982-09-14 The Singer Company Laser spatial stabilization transmission system
SE452451B (en) * 1984-06-07 1987-11-30 Svenska Utvecklings Ab MEMBRANE STILLATION DEVICE
US4954371A (en) 1986-06-23 1990-09-04 Spectrum Control, Inc. Flash evaporation of monomer fluids
US4723967A (en) 1987-04-27 1988-02-09 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4738693A (en) 1987-04-27 1988-04-19 Advanced Technology Materials, Inc. Valve block and container for semiconductor source reagent dispensing and/or purification
US4847469A (en) 1987-07-15 1989-07-11 The Boc Group, Inc. Controlled flow vaporizer
JP2615469B2 (en) 1988-04-21 1997-05-28 松下電器産業株式会社 Method for producing metal sulfide thin film
US5120703A (en) 1990-04-17 1992-06-09 Alfred University Process for preparing oxide superconducting films by radio-frequency generated aerosol-plasma deposition in atmosphere
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
DE4124018C1 (en) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5259995A (en) 1991-10-30 1993-11-09 Liquid Carbonic Industries Corporation Vapor pressure device
US5376409B1 (en) 1992-12-21 1997-06-03 Univ New York State Res Found Process and apparatus for the use of solid precursor sources in liquid form for vapor deposition of materials
JPH07130662A (en) * 1993-11-04 1995-05-19 Nec Corp Vertical low pressure cvd apparatus
US5653806A (en) 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5653813A (en) 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
US5935337A (en) 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5741363A (en) 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
TW322602B (en) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
JP3645682B2 (en) * 1997-03-18 2005-05-11 三菱電機株式会社 CVD equipment for Cu film formation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0548990A2 (en) * 1991-12-26 1993-06-30 Canon Kabushiki Kaisha Chemical vapor deposition method for forming a deposited film with the use of a liquid raw material and apparatus suitable for practising said method
DE19605254A1 (en) * 1995-02-13 1996-08-14 Toshiba Kawasaki Kk Multi-stage CVD process for semiconductor device mfr.
EP0814177A2 (en) * 1996-05-23 1997-12-29 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
WO1998014633A1 (en) * 1996-10-03 1998-04-09 Emcore Corporation Liquid vaporizer system and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002061170A1 (en) * 2001-01-31 2002-08-08 Pall Corporation Purification systems, methods and devices

Also Published As

Publication number Publication date
EP1102871A1 (en) 2001-05-30
JP4480274B2 (en) 2010-06-16
KR20010053597A (en) 2001-06-25
JP2003527739A (en) 2003-09-16
US6210485B1 (en) 2001-04-03

Similar Documents

Publication Publication Date Title
US6210485B1 (en) Chemical vapor deposition vaporizer
US6635114B2 (en) High temperature filter for CVD apparatus
US6527865B1 (en) Temperature controlled gas feedthrough
US6077562A (en) Method for depositing barium strontium titanate
US5835678A (en) Liquid vaporizer system and method
KR100328356B1 (en) Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
US5835677A (en) Liquid vaporizer system and method
US20030116091A1 (en) Chemical vapor deposition vaporizer
EP0808916A2 (en) Vaporizer apparatus
KR20030088344A (en) Vaporizer and apparatus for vaporizing and supplying
WO2001036707A1 (en) Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a cvd chamber
EP1113089A1 (en) MOCVD of lead zirconate titanate films
JP2010056565A (en) Apparatus for forming thin film

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 561376

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020017000978

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1999935734

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999935734

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017000978

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999935734

Country of ref document: EP

WWR Wipo information: refused in national office

Ref document number: 1020017000978

Country of ref document: KR