WO2000042231A2 - Polycrystalline silicon germanium films for forming micro-electromechanical systems - Google Patents
Polycrystalline silicon germanium films for forming micro-electromechanical systems Download PDFInfo
- Publication number
- WO2000042231A2 WO2000042231A2 PCT/US2000/000964 US0000964W WO0042231A2 WO 2000042231 A2 WO2000042231 A2 WO 2000042231A2 US 0000964 W US0000964 W US 0000964W WO 0042231 A2 WO0042231 A2 WO 0042231A2
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- transistors
- layer
- sacrificial
- substrate
- structural
- Prior art date
Links
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00015—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
- B81C1/00222—Integrating an electronic processing unit with a micromechanical structure
- B81C1/00246—Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81B—MICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
- B81B2203/00—Basic microelectromechanical structures
- B81B2203/01—Suspended structures, i.e. structures allowing a movement
- B81B2203/0118—Cantilevers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0102—Surface micromachining
- B81C2201/0105—Sacrificial layer
- B81C2201/0109—Sacrificial layers not provided for in B81C2201/0107 - B81C2201/0108
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2203/00—Forming microstructural systems
- B81C2203/07—Integrating an electronic processing unit with a micromechanical structure
- B81C2203/0707—Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
- B81C2203/0735—Post-CMOS, i.e. forming the micromechanical structure after the CMOS circuit
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/933—Germanium or silicon or Ge-Si on III-V
Definitions
- This invention relates to micro-electromechanical systems (MEMS), and more particularly to the fabrication of microstructures using structural and sacrificial films.
- Surface micromachining is the fabrication of thin-film microstructures by the selective removal of a sacrificial film. Since the 1980s, polycrystalline silicon (poly-Si), deposited by low-pressure chemical vapor deposition (LPCVD), has become established as an important microstructural material for a variety of applications. Silicon dioxide (SiO 2 ) is typically used for the sacrificial layer and hydrofluoric acid (HF) is used as the selective "release" etchant in poly-Si micromachining.
- poly-Si polycrystalline silicon
- LPCVD low-pressure chemical vapor deposition
- MEMS-last strategy is infeasible for poly-Si microstructures because the deposition and stress-annealing temperatures for poly-Si films are much too high for aluminum or copper interconnects to survive, the MEMS-last strategy is nonetheless very desirable.
- the state-of-the-art poly-Si integration strategy is to fabricate the thin-film stack of structural and sacrificial films prior to starting the electronic circuit process.
- MEMS-first There are several practical disadvantages to this "MEMS-first" approach.
- First, the highly tuned and complex electronics process may be adversely affected by the previous MEMS deposition, patterning, and annealing steps. For this reason, commercial electronics foundries are unlikely to accept the pre-processed wafers as a starting material.
- Second, the planarity of the wafer surface must be restored after completion of the MEMS thin- film stack, which can be accomplished by fabricating the MEMS in a micromachined well or by growing additional silicon through selective epitaxy.
- the release of the structure occurs at the end of the electronics process and the electronic circuits must be protected against the hydrofluoric acid etchant.
- the MEMS-first approach requires that the MEMS and electronics be located adjacent to each other, with electrical interconnections that contribute significant parasitic resistance and capacitance and thereby degrade device performance.
- the invention features a process for forming a micro- electromechanical system on a substrate.
- the process includes depositing a sacrificial layer of silicon-germanium onto the substrate; depositing a structural layer of silicon- germanium onto the sacrificial layer, where the germanium content of the sacrificial layer is greater than the germanium content of the structural layer; and removing at least a portion of the sacrificial layer.
- the invention is directed to a process for forming a micro- electromechanical system.
- the process includes depositing onto a substrate a sacrificial layer of silicon oxide; depositing onto the sacrificial layer a structural layer of Si ⁇ - X G X , where 0 ⁇ x ⁇ 1, at a temperature of about 650°C or less; and removing at least a portion of the sacrificial layer.
- the invention is directed to a process which for forming a micro-electromechanical system, comprising the steps of depositing onto a substrate a sacrificial layer of polycrystalline germanium; depositing onto the sacrificial layer a structural layer of Si ]- x Ge x , where 0 ⁇ x ⁇ 1 at a temperature of about 650°C or less; and removing at least a portion of the sacrificial layer.
- the invention is directed to a process which includes depositing a ground plane layer of Si ⁇ - x Ge x , where 0.6 ⁇ x ⁇ 0.8; depositing onto the ground plane layer a sacrificial layer; depositing onto the sacrificial layer a structural layer of Si ⁇ Ge, . , where 0 ⁇ x ⁇ 1 , at a temperature of about 650°C or less; and removing at least a portion of the sacrificial layer.
- the process may form one or more transistors on the substrate where the transistors are formed before the sacrificial and structural layers are deposited onto the substrate.
- the transistors may be formed using Cu metallization or Al metallization.
- the transistors may be formed without metallization before the sacrificial and structural layers are deposited onto the substrate and are metalized after the sacrificial and structural layers are deposited.
- the transistors may be MOS transistors or bipolar transistors.
- the sacrificial layer may be composed of Si ⁇ - x G x , where 0.4 ⁇ x ⁇ 1.
- the sacrificial layer and the structural layer may be deposited at a temperature of about 550°C or less.
- the germanium concentration of the structural layer may vary through its depth.
- the process may remove portions of the structural layer to achieve a desired three- dimensional shape.
- the sacrificial layer may be completely removed.
- the sacrificial layer may be removed by exposing it to a solution comprising hydrogen peroxide, ammonium hydroxide, and water, or HF. Before the sacrificial layer is exposed to HF, amorphous silicon may be deposited on the substrate.
- the invention is directed to a micro-electromechanical system.
- the system includes a substrate; one or more structural layers of Si 1-x Ge x , formed on the substrate, where-0 ⁇ ? ⁇ J ⁇ and one or more transistors formed on the substrate.
- the micro-electromechanical system may feature a glass or a silicon substrate. It may comprise at least portions of one or more sacrificial layers of silicon-germanium formed under structural layers, where the germanium content of the one or more sacrificial layers is greater than the germanium content of the respective structural layers. The system may also comprise at least portions of one or more sacrificial layers of silicon oxide formed under structural layers.
- the one or more transistors in the micro-electromechanical system may be MOS transistors or bipolar transistors.
- the one or more structural layers in the micro-electromechanical system are deposited above the one or more transistors.
- the one or more structural layers may be deposited onto an upper level of a metal interconnect of the one or more transistors.
- the one or more structural layers include a ground plane which is electrically connected to the upper level of the metal interconnect.
- the one or more structural layers may form a resonator, or may be incorporated into an optical
- a principal advantage of using poly-silicon-germanium is its much lower deposition temperature than LPCVD poly-Si; furthermore, a dopant-activation and residual stress annealing step, if even necessary, can be conducted at a much lower temperature than for LPCVD poly-Si.
- the in situ doped, p-type poly-silicon- germanium does not require an annealing step, because its as-deposited resistivity, residual stress and stress gradient are sufficiently low for many MEMS applications.
- In situ doped p-type poly-Si - x) Ge x films may be used as the structural layer, both to maximize the deposition rate and to minimize the film's resistivity.
- poly-silicon-germanium (poly-Si (1-X) Ge x ) microstructures can be fabricated using a
- MEMS-last paradigm directly on top of state-of-the-art microelectronics.
- the initial layer of poly-SiGe can be deposited directly onto an upper-level of a metal interconnect in the electronic process.
- the low thermal budget does not come at the price of degraded performance: the mechanical properties of poly-Si ( i -X) Ge x , such as the intrinisic damping parameter and fracture strain, are in the same range as those of poly-Si.
- LPCVD poly-Si (! - x) Ge x films may be used for the sacrificial layers, as well as the microstructural layers.
- Germanium or germanium- rich poly-SiGe films are etched selectively with respect to poly-SiGe films containing at least 30 percent Si by using hydrogen peroxide (H 2 O ) as a release etchant.
- H 2 O hydrogen peroxide
- the elimination of HF as the release etchant greatly simplifies the final steps and increases the safety of the process. Hydrogen peroxide does not attack the upper layers in microelectronic structures, such as aluminum, oxides, or oxynitrides; as a result, there is no need for special masking films to protect the electronics during the release etch.
- SiGe promises to revolutionize MEMS technology by easing modular integration with CMOS devices, for example, using standard processing techniques, increasing process throughput and yield, improving molded microstructure (HEXSIL) fabrication, and enabling new device designs. These improvements are economically viable, since an LPCVD Si furnace can be converted to a SiGe furnace simply by adding another input gas.
- FIG. 1A is a top view of a MEMS resonator and a microelectronics amplifier built side-by-side.
- FIG. IB is a top view of a MEMS resonator built on top of a microelectronics amplifier.
- FIGS. 2-7 are cross-sectional views illustrating steps in the fabrication of the resonator and the amplifier of FIG. IB.
- FIGS. 8-13 are cross-sectional views illustrating alternative steps in the fabrication of the resonator and the amplifier of FIG. IB.
- FIG. 14 is a graph illustrating the frequency response of a microresonator and
- CMOS amplifier like that of FIG. 1A.
- FIG. 15 is a cross-sectional view of a resonator fabricated on top of a five-level CMOS device.
- FIG. 16 is a cross-sectional view of a HEXSIL structure having silicon oxide and germanium as sacrificial layers.
- the present invention is directed to the use of a Si 1-x Ge Xj material, where 0 ⁇ x ⁇ 1, for fabricating MEMS devices.
- the present invention will be described in terms of several representative embodiments and process steps in fabricating a MEMS resonator with pre-existing microelectronics.
- Poly-SiGe is a semiconductor alloy material which has properties similar to Poly- Si, but can be processed at substantially lower temperatures. Table 1 provides a comparison of the various properties of poly-Si and poly-Ge.
- Fig. 1A shows the top view of device 120 including a CMOS trans-resistance amplifier 100 and a microresonator 105 in a side-by-side configuration.
- Resonator microstructures are described in U.S. Patent 5,025,346; U.S. Patent 5,491,604; U.S. Patent 5,537,083; and U.S. Patent 5,839,062. These patents are all assigned to the assignee of the present application and are incorporated herein by reference.
- the amplifier 100 may include one or more transistors.
- the transistors may be MOS or bipolar transistors.
- the transistors may be formed on a silicon substrate.
- Fig. IB shows amplifier 100 and microresonator 105 in a vertical configuration on device 120.
- the low deposition temperature of SiGe films makes it possible to deposit the MEMS structure after completion of the microelectronics. Therefore, resonator 105 can be fabricated directly on top of amplifier 100. This vertial configuration reduces interconnect resistance and capacitance inherent in the side-by-side configuration of Fig. 1 A, enhancing device performance.
- LPCVD low pressure chemical vapor deposition
- the films may be deposited at temperatures of about 650°C or less, about
- Si deposition is catalyzed by the presence of Ge, so
- the film deposition rate increases with increasing Ge content when the process is limited by surface reactions.
- the deposition temperature can be lowered by increasing the Ge content. Deposition rates of greater than 50 A/minute can be achieved
- the Ge content in the structural and sacrificial layers can range from about 30 to
- the Ge content in the sacrificial Si (1-X) Ge (X) layer needs to be greater than that in the structural layer.
- Poly-Si 1-x Ge x films can be heavily doped by the incorporation of dopants in-situ during deposition or ex-situ by ion implantation or diffusion and subsequent thermal annealing.
- the resistivity of p-type poly- Si ⁇ - x Ge x films generally decreases with Ge content, due to increases in carrier mobility and dopant activation rate.
- the resistivity of n-type films increases with Ge content above about 40 percent, due to reductions in dopant activation rate.
- Poly-Si!- x Ge x films can be patterned by well-established wet-or dry-etching techniques. Germanium oxides are soluble in water; consequently, Ge-rich poly-Si ⁇ - x Ge x is etched in oxidizing solutions such as H O 2 . Ge is not attacked by nonoxidizing acids, such as HF, and bases.
- the Si 1-x Ge x films with greater than about 60 percent Ge content are rapidly etched in the standard RCA, SCI clean bath (1:1:5 NH 4 OH:H 2 O 2 :H 2 O). This solution can thus be used to etch both doped and undoped Si 1-x Ge x films with a selectivity (to Si and SiO 2 ) which increases exponentially with Ge content.
- Poly-Si 1-x Ge x films are not significantly affected by mildly oxidizing or non-oxidizing solutions which are typically used in wet cleaning processes.
- poly-Si 1-x Ge x is etched in flourine-based plasmas.
- the plasma etch rate of poly Si ⁇ -x Ge x films increases with increasing Ge content due to the greater gasification rate of Ge atoms.
- High Si ⁇ -x Ge x -to-Si etch-rate ratios can easily be achieved using reactive ion etching.
- RTA rapid thermal annealing
- Si has a lower energy band gap than Si, it absorbs the lamp radiation much more efficiently than Si. Its higher absorption coefficient results in selective heating of Ge during the anneal. This feature can be exploited to realize higher annealing temperatures for poly-Si ⁇ -x Ge x or poly-Ge microstructural films than would otherwise be possible with furnace annealing. This selective annealing phenomenon is a unique advantage of poly-Si ⁇ Ge,.
- a starting substrate 110 contains microelectronic circuitry, such as NMOS 210, fabricated using a conventional CMOS or BiCMOS transistor process.
- a metal interconnect 215 may be formed with Al or an alloy of Al. Alternatively, it can be formed by Cu or an alloy of Cu, or other standard metallurgy. There can be barrier metals such as Ti/TiN (not shown) between interconnect 215 and substrate 110.
- the interconnect 215 is connected to a heavily doped p+ type (p ) polycrystalline silicon (poly-Si) strap 205.
- the electronics are passivated with low-temperature-deposited silicon dioxide (LTO) 225.
- LTO low-temperature-deposited silicon dioxide
- the LTO 225 is chemo-mechanically polished to achieve a planar surface.
- a via 305 is cut through LTO 225 to p+ poly-Si connection strap 205 using conventional lithography and etch steps.
- via 305 could go down to interconnect 215, eliminating the need for p+ poly-Si connection strap 205 and thus reducing interconnect resistance.
- ground plane 310 is deposited and patterned.
- an in-situ doped film is used.
- ground plane 310 can be formed by depositing an undoped film and subsequently doping it by ion implantation or diffusion processes well-known in the art.
- a p+ poly-Sij- x Ge x material with 0.8> x > 0.6 could be used for ground plane 310, as the Ge content must be high enough to enable low processing temperatures (for compatibility with metallized electronics), but cannot be so high that the ground plane would not be able to withstand the final microstructure-release etching step.
- deposition and predeposition conditions are possible for this step and other steps mentioned elsewhere in this detailed description. It should be clear that the various deposition conditions are mentioned for illustrative purposes only. While there are other possible deposition conditions, the following deposition conditions for p+ poly- Sij- x G ⁇ x ground plane 310 are provided: predepositing an amorphous Si layer (not shown) of less than 5 nanometers by flowing for two minutes 200 standard cubic centimeter per minute (seem) Si 2 H 6 at a pressure of 300mT and a temperature of 425 °C.
- the final ground plane thickness is approximately 500 nanometers, and it is deposited by flowing for 30 minutes 85 seem SiH 4 , 90 seem GeH , and 50 seem of the B dopant source
- Figure 4 shows that a sacrificial layer 405 of poly-Ge is then deposited, and selectively etched down to p+ poly-Si ⁇ -x Ge x ground plane 310 in region 410 where the structural layer of the microstructure is to be anchored.
- the location of region 410 with respect to interconnect 215 is for illustrative purpose only and can be more to the right or to the left of the interconnect.
- the deposition conditions for the sacrificial layer 405 are as follows:
- predeposition 5 min., 300 mT, 375°C, 200 seem Si H 6 ; and deposition: 165 min., 300
- sacrificial poly-Si 1-x Ge x must have an x greater than the x for the structural poly-Si ⁇ -x Ge x ; that is, the sacrificial material must have a higher Ge content than the structural layers. This is because the material with higher Ge content will be etched (sacrificed) faster in oxidizing solutions than the material with lower Ge content.
- a structural layer 505 of p+ poly-Si 1-x Ge x is deposited and patterned.
- the deposition conditions for the layer 505 of p+ poly-Si 1-x Ge x are as follows:
- predeposition 2 min., 300 mT, 425°C, 200 seem Si 2 H 6 ; and deposition: 180 min., 600
- the deposited structural layer 505 is a 3 micron thick film. Again the predeposition allows structural layer 505 to form on SiO . Although there should not have been any SiO 2 surfaces, poly-Si 1-x Ge x can form a thin native oxide layer (not shown).
- opening 610 is then patterned and etched through sacrificial poly-Ge layer 405, ground-plane 310 and layer 225. This step exposes a metal bond pad 605.
- the standard release etchant used in conventional surface-mi cromachining technology is a hydrofluoric acid (HF) solution, which attacks metal and hence makes it difficult to clear bond-pad areas prior to microstructure release.
- HF hydrofluoric acid
- germanium as a sacrificial material makes it possible to expose the metal bond pad without risking any damage, since germanium is easily removed in an oxidizing solution which is benign to metal. This simplifies and improves the reliability of the packaging process.
- sacrificial poly-Ge layer 405 is then etched away using an oxidizing solution such as H 2 O .
- substrate 110 is rinsed and dried. Precautions to prevent stiction between structural layer 505 and ground plane 310 may be necessary. Note that this process allows MEMS structures to be fabricated directly on top of the electronics as depicted in Figures IB and 7. This reduces parasitic resistances and capacitances associated with long interconnects, and also reduces cost by saving area.
- CMOS complementary metal-oxide-semiconductor
- CMOS complementary metal-oxide-semiconductor
- p+ poly-Si would be readily available for forming interconnecting straps between the MEMS and CMOS devices.
- the p+ poly-Si ⁇ . x Ge x ground plane could be connected directly to a metal line, without the need for an intermediary poly-Si strap.
- the MEMS-last integration strategy is also feasible using oxide sacrificial layers.
- oxide sacrificial layers it is possible to use n-type or p-type poly-Sij- x Ge x as the structural layer.
- HF is the release etchant for oxide sacrificial layers, it is necessary to protect the electronic structures from attack by HF during release.
- a pinhole-free layer is needed that can be deposited at low temperatures ( ⁇ 450°C) and that can withstand lengthy exposure to HF without degradation.
- the film cannot be highly conductive, or it will short out the poly-Si ⁇ -x Ge x microstructures.
- Figs. 8-13 illustrate an alternative process of manufacturing a MEMS device, such as microresonator 105, directly on top of microelectronics, such as amplifier 100, using oxide as the sacrificial material.
- a starting substrate 112 contains microelectronic circuitry, such as NMOS 212, fabricated using a conventional CMOS or BiCMOS transistor process.
- a metal interconnect 217 may be formed with Al, Cu, an alloy of Al, an alloy of Cu, or other standard metallurgy.
- interconnect 217 is made of Al.
- barrier metals such as Ti/TiN (not shown) between interconnect 217 and substrate 112.
- a strap 805 connected to interconnect 217 is a heavily doped n-type (n+) polycrystalline silicon (poly-Si) material.
- LTO low-temperature-deposited silicon dioxide
- an amorphous Si ( ⁇ -Si) layer 905 is then deposited. This ⁇ -Si
- LTO layer 910 is resistant to hydrofluoric acid (HF) and was demonstrated to protect the electronics, such as NMOS 212, from HF.
- Another LTO layer 910 is subsequently deposited to serve as an etch-stop layer for a later etch step. This LTO layer 910 can be eliminated in other embodiments.
- the deposition conditions for a 590 A thick layer 905 include a two-step LPCVD process for flowing Si 2 H 6 at 500 mT. Step 1 is conducted at 450°C for 6 minutes, and step 2 is conducted at 410°C for 40 minutes .
- via 1000 is then formed through multilayer stack layers 227, 905 and 910 using conventional lithography and etch steps.
- the via 1000 goes down to an n+ poly-Si connection strap 805.
- the via(s) could go down to interconnect 217 instead and n+ poly-Si connection strap 805 could be eliminated, reducing interconnect resistance.
- ground-plane layer 1010 is deposited.
- This n+ poly-Ge layer is the ground-plane layer.
- ground-plane layer 1010 can be formed by depositing an undoped film and subsequently doping it by ion implantation or diffusion processes well-known in the art. It should be noted that instead of n+ or p+ poly-Ge, n+ or p+ poly-Si 1-x Ge x with x ⁇ 1 could be employed for the ground- plane layer.
- the ground plane layer is patterned using conventional lithography and etch processes.
- the deposition conditions for a 3100 A thick n+ poly-Ge ground plane layer 1010 include a LPCVD process conducted at 400°C and 300 mT: predeposition: 200 seem Si 2 H 6 for 1 minute; and deposition: 100 seem Ge L. and 10 seem 50% PH 3 /50% SilL for 50 minutes.
- a sacrificial layer 1100 of LTO is deposited.
- the LTO layer 1100 is chemo-mechanically polished to give a flat surface.
- the LTO layer 1100 is then etched down to the n+ poly-Ge ground plane in region 1110 where the structural layer is to be anchored (e.g. on the right side of the figure) and connected to ground plane 1010.
- n+ poly-Ge 1200 is next deposited.
- structural layer 1200 can be formed by depositing an undoped film and subsequently doping it by ion implantation or diffusion as is well-known in the art.
- n+ or p+ poly-Ge n+ or p+ poly-Si ⁇ -x Ge x with x ⁇ 1 could be employed for structural layer 1200.
- the structural layer 1200 is patterned using conventional lithography and etch processes.
- the deposition conditions for forming a 2.2 micron thick n+ poly-Ge structural layer 1200 include a LPCVD process conducted at 400°C, 300 mT: predeposition: 200 seem Si 2 H 6 for 1 minute; and deposition: 100 seem GeH and 10 seem 50% PH 3 /50% SiH 4 for 4 hours and 45 minutes.
- the devices are next annealed with RTA of 550°C for 30 seconds in a nitrogen (N ) environment to lower the resistance of n+ poly-Ge layer 1200.
- the sacrificial LTO 1100 is then etched away using an HF-containing solution.
- substrate 112 is rinsed with water and then methanol, and air-dried.
- stiction between structural layer 1200 and ground plane layer 1010 occurs during the drying process, and extra steps are needed to avoid this problem. It is found that poly-Ge structural layer 1200 does not stick down to poly-Ge ground plane layer 1010. This advantageous low stiction property of poly-Ge may also exist for poly-Si ⁇ -x Ge x with x ⁇ 1.
- this process allows the MEMS structures to be fabricated directly on top of the electronics as depicted in Figure 13. This reduces parasitic resistances and capacitances associated with long interconnects, and also reduces cost by saving area.
- This process flow is directly applicable to fabricating MEMS structures over standard electronic circuitry in which an n+ poly-Si layer is available to form interconnections between devices. It is also possible to use heavily doped p-type (p+) poly-Si ⁇ -x Ge x for the structural layer(s). If so, either a p+ poly-Si interconnection strap could be used, or the p+ poly-Si ⁇ -x Ge x ground plane could be connected directly to a metal line, without the need for an intermediary poly-Si strap.
- the transistors on the substrate may be formed without metallization before the sacrificial and structural layers for the microstructure are formed.
- the transistors may then be metallized after the sacrificial and structural layers are formed.
- this interleaved fabrication strategy does not have the manufacturing advantages of the post-electronics modular approachs described in Figs. 2-13.
- the frequency response of an integrated poly-Ge resonator and standard CMOS amplifier is displayed in Figure 14.
- the ground plane and shuttle were biased at 50 V.
- the drive signal was an AC signal with 7V P-P .
- the device was tested in air and the resonator had a Q of 45 and a resonant frequency of 14.05 kHz.
- the frequency response shows that the device was fully functional
- Fig. 15 illustrates that the several metal interconnect layers that are available in a modern CMOS device enable the design of short, well-shielded vertical interconnections between a MEMS structure and the electronics.
- the MEMS structure 1500 such as a microresonator, is fabricated directly on a 5-level metal interconnect 1550.
- the microresonator includes drive electrodes 1505, a tuning fork resonator 1510, and sense electrodes 1515.
- the 5-level metal interconnect 1550 includes a DC bias 1520 to resonator 1510, and shields 1525 and 1530 to protect interconnect 1555 to drive electrodes 1505.
- the interconnect 1550 also includes shields 1540 and 1535 to protect interconnect 1545 to sense electrodes 1515.
- the integrated MEMS 1500 is inexpensive to fabricate, since there is no need for a specialized, expensive electronics process and since the addition of the MEMS structure does not increase the die size. Finally, the extension to multiple structural layers is much easier than for MEMS-first integration strategies because the increase in thickness of the MEMS film stack has no impact on the electronics process.
- HEXSIL structure 1615 of SiGe is formed in a Si mold 1620 using two sacrificial layers, an SiO 2 layer 1610 and a Ge layer 1605.
- SiO 2 layer 1610 is formed in a Si mold 1620 using two sacrificial layers, an SiO 2 layer 1610 and a Ge layer 1605.
- the ability to etch different sacrificial layers at different times during a process offers various design options.
- the thermal coefficient of expansion of SiO layer 1610 is sufficiently different from that of Si mold 1620 so that cracks can result from cooling the mold after deposition.
- An HF etchant could also damage the mold with repeated use.
- Layers of Ge-rich SiGe and SiO 2 could be used to make the thermal expansion coefficient of the sacrificial material match that of the Si mold.
- an H 2 O 2 :NH 4 OH:H 2 0, 1 :1:5 solution bubbles at about 70°C which eases release of the molded structure from mold 1620. This solution would also not damage the mold.
- SiGe has unique properties that will allow the design of new devices. Unlike Si, Ge is reflective at the infrared wavelengths of interest for communication applications.
- the reflectivity of Ge is higher at wavelengths in the infrared and visible regimes.
- Optical switches and projection television applications may be able to use Ge reflectors without coatings to improve reflectivity.
- Such devices may be fabricated on glass substrates.
- the low processing temperatures for SiGe will allow the use of low temperature materials. Relatively thick layers can be fabricated with less concern for wafer bow during processing.
- By grading the Ge concentration three- dimensional sculpting of layered structures will be possible.
- the stress, Young's Modulus, density, and conductivity can be tailored by changing the Ge concentration.
Abstract
Description
Claims
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP00911583A EP1173893A4 (en) | 1999-01-15 | 2000-01-14 | Polycrystalline silicon germanium films for forming micro-electromechanical systems |
AU33460/00A AU3346000A (en) | 1999-01-15 | 2000-01-14 | Polycrystalline silicon germanium films for forming micro-electromechanical systems |
JP2000593787A JP4511739B2 (en) | 1999-01-15 | 2000-01-14 | Polycrystalline silicon germanium films for forming microelectromechanical systems |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11602499P | 1999-01-15 | 1999-01-15 | |
US60/116,024 | 1999-01-15 |
Publications (4)
Publication Number | Publication Date |
---|---|
WO2000042231A2 true WO2000042231A2 (en) | 2000-07-20 |
WO2000042231A3 WO2000042231A3 (en) | 2000-11-30 |
WO2000042231A8 WO2000042231A8 (en) | 2001-09-13 |
WO2000042231A9 WO2000042231A9 (en) | 2001-10-25 |
Family
ID=22364791
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2000/000964 WO2000042231A2 (en) | 1999-01-15 | 2000-01-14 | Polycrystalline silicon germanium films for forming micro-electromechanical systems |
Country Status (5)
Country | Link |
---|---|
US (2) | US6210988B1 (en) |
EP (1) | EP1173893A4 (en) |
JP (1) | JP4511739B2 (en) |
AU (1) | AU3346000A (en) |
WO (1) | WO2000042231A2 (en) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1232996A2 (en) * | 2001-02-14 | 2002-08-21 | Robert Bosch Gmbh | Micromechanical device and process for its manufacture |
WO2003005421A2 (en) * | 2001-07-05 | 2003-01-16 | The Regents Of The University Of California | Fabricating structures using chemo-mechanical polishing and chemically-selective endpoint detection |
WO2003027002A2 (en) * | 2001-09-01 | 2003-04-03 | Robert Bosch Gmbh | Method for the production of a micromechanical structure |
WO2003095707A2 (en) * | 2002-05-07 | 2003-11-20 | Memgen Corporation | Method of and apparatus for forming three-dimensional structures |
WO2003095712A2 (en) * | 2002-05-07 | 2003-11-20 | University Of Southern California | Method of and apparatus for forming three-dimensional structures integral with semiconductor based circuitry |
WO2005092782A1 (en) * | 2004-03-02 | 2005-10-06 | Analog Devices, Inc. | Single crystal silicon sensor with additional layer and method of producing the same |
JP2006212773A (en) * | 2005-02-03 | 2006-08-17 | Robert Bosch Gmbh | Micromachining type component element and corresponding manufacturing method |
US7371600B2 (en) | 2001-06-13 | 2008-05-13 | Mitsubishi Denki Kabushiki Kaisha | Thin-film structure and method for manufacturing the same, and acceleration sensor and method for manufacturing the same |
EP2277823A3 (en) * | 2009-06-18 | 2013-09-11 | Imec | Method for forming MEMS devices having low contact resistance and devices obtained thereof |
Families Citing this family (194)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7550794B2 (en) | 2002-09-20 | 2009-06-23 | Idc, Llc | Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer |
US7297471B1 (en) | 2003-04-15 | 2007-11-20 | Idc, Llc | Method for manufacturing an array of interferometric modulators |
US7830588B2 (en) * | 1996-12-19 | 2010-11-09 | Qualcomm Mems Technologies, Inc. | Method of making a light modulating display device and associated transistor circuitry and structures thereof |
US7176111B2 (en) * | 1997-03-28 | 2007-02-13 | Interuniversitair Microelektronica Centrum (Imec) | Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof |
EP0867701A1 (en) | 1997-03-28 | 1998-09-30 | Interuniversitair Microelektronica Centrum Vzw | Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer |
KR100400808B1 (en) * | 1997-06-24 | 2003-10-08 | 매사츄세츠 인스티튜트 오브 테크놀러지 | CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION |
US8928967B2 (en) | 1998-04-08 | 2015-01-06 | Qualcomm Mems Technologies, Inc. | Method and device for modulating light |
KR100703140B1 (en) | 1998-04-08 | 2007-04-05 | 이리다임 디스플레이 코포레이션 | Interferometric modulation and its manufacturing method |
US7227176B2 (en) * | 1998-04-10 | 2007-06-05 | Massachusetts Institute Of Technology | Etch stop layer system |
US6303986B1 (en) | 1998-07-29 | 2001-10-16 | Silicon Light Machines | Method of and apparatus for sealing an hermetic lid to a semiconductor die |
FR2784230B1 (en) * | 1998-10-05 | 2000-12-29 | St Microelectronics Sa | METHOD FOR PRODUCING INTER AND / OR INTRA-METALLIC AIR INSULATION IN AN INTEGRATED CIRCUIT AND INTEGRATED INTEGRATED CIRCUIT |
US6822304B1 (en) * | 1999-11-12 | 2004-11-23 | The Board Of Trustees Of The Leland Stanford Junior University | Sputtered silicon for microstructures and microcavities |
US6750130B1 (en) | 2000-01-20 | 2004-06-15 | Amberwave Systems Corporation | Heterointegration of materials using deposition and bonding |
US6503773B2 (en) * | 2000-01-20 | 2003-01-07 | Amberwave Systems Corporation | Low threading dislocation density relaxed mismatched epilayers without high temperature growth |
US6602613B1 (en) | 2000-01-20 | 2003-08-05 | Amberwave Systems Corporation | Heterointegration of materials using deposition and bonding |
US6521477B1 (en) | 2000-02-02 | 2003-02-18 | Raytheon Company | Vacuum package fabrication of integrated circuit components |
US6479320B1 (en) | 2000-02-02 | 2002-11-12 | Raytheon Company | Vacuum package fabrication of microelectromechanical system devices with integrated circuit components |
US6693033B2 (en) | 2000-02-10 | 2004-02-17 | Motorola, Inc. | Method of removing an amorphous oxide from a monocrystalline surface |
US6440766B1 (en) * | 2000-02-16 | 2002-08-27 | Analog Devices Imi, Inc. | Microfabrication using germanium-based release masks |
US6690014B1 (en) | 2000-04-25 | 2004-02-10 | Raytheon Company | Microbolometer and method for forming |
US6406929B1 (en) * | 2000-06-21 | 2002-06-18 | University Of Vermont And State Agricultural College | Structure and method for abrupt PN junction diode formed using chemical vapor deposition processing |
US6867143B1 (en) * | 2000-06-22 | 2005-03-15 | International Business Machines Corporation | Method for etching a semiconductor substrate using germanium hard mask |
AU2001264987A1 (en) * | 2000-06-30 | 2002-01-14 | Motorola, Inc., A Corporation Of The State Of Delware | Hybrid semiconductor structure and device |
US6638249B1 (en) * | 2000-07-17 | 2003-10-28 | Wisconsin Alumni Research Foundation | Ultrasonically actuated needle pump system |
US6555946B1 (en) | 2000-07-24 | 2003-04-29 | Motorola, Inc. | Acoustic wave device and process for forming the same |
US20020118850A1 (en) * | 2000-08-02 | 2002-08-29 | Yeh Jer-Liang (Andrew) | Micromachine directional microphone and associated method |
US6583015B2 (en) | 2000-08-07 | 2003-06-24 | Amberwave Systems Corporation | Gate technology for strained surface channel and strained buried channel MOSFET devices |
WO2002015244A2 (en) * | 2000-08-16 | 2002-02-21 | Massachusetts Institute Of Technology | Process for producing semiconductor article using graded expitaxial growth |
US20020070816A1 (en) * | 2000-08-24 | 2002-06-13 | Wan-Thai Hsu | Method for making micromechanical structures having at least one lateral, small gap therebetween and micromechanical device produced thereby |
US6638838B1 (en) | 2000-10-02 | 2003-10-28 | Motorola, Inc. | Semiconductor structure including a partially annealed layer and method of forming the same |
US6649480B2 (en) * | 2000-12-04 | 2003-11-18 | Amberwave Systems Corporation | Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs |
US20020100942A1 (en) * | 2000-12-04 | 2002-08-01 | Fitzgerald Eugene A. | CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs |
US20020074897A1 (en) * | 2000-12-15 | 2002-06-20 | Qing Ma | Micro-electromechanical structure resonator frequency adjustment using radient energy trimming and laser/focused ion beam assisted deposition |
US6387723B1 (en) * | 2001-01-19 | 2002-05-14 | Silicon Light Machines | Reduced surface charging in silicon-based devices |
KR101050377B1 (en) * | 2001-02-12 | 2011-07-20 | 에이에스엠 아메리카, 인코포레이티드 | Improved process for deposition of semiconductor films |
US6673646B2 (en) | 2001-02-28 | 2004-01-06 | Motorola, Inc. | Growth of compound semiconductor structures on patterned oxide films and process for fabricating same |
US6724008B2 (en) | 2001-03-02 | 2004-04-20 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6830976B2 (en) * | 2001-03-02 | 2004-12-14 | Amberwave Systems Corproation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6703688B1 (en) | 2001-03-02 | 2004-03-09 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
US6723661B2 (en) * | 2001-03-02 | 2004-04-20 | Amberwave Systems Corporation | Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits |
WO2002082514A1 (en) * | 2001-04-04 | 2002-10-17 | Massachusetts Institute Of Technology | A method for semiconductor device fabrication |
US6707591B2 (en) | 2001-04-10 | 2004-03-16 | Silicon Light Machines | Angled illumination for a single order light modulator based projection system |
US6777681B1 (en) | 2001-04-25 | 2004-08-17 | Raytheon Company | Infrared detector with amorphous silicon detector elements, and a method of making it |
US7060582B2 (en) * | 2001-06-05 | 2006-06-13 | Sony Corporation | Adjusting the germanium concentration of a semiconductor layer for equal thermal expansion for a hetero-junction bipolar transistor device |
WO2002103760A2 (en) * | 2001-06-14 | 2002-12-27 | Amberware Systems Corporation | Method of selective removal of sige alloys |
US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
KR100511019B1 (en) * | 2001-06-21 | 2005-08-30 | 미쓰비시덴키 가부시키가이샤 | Method for manufacturing thin-film structure |
US6782205B2 (en) | 2001-06-25 | 2004-08-24 | Silicon Light Machines | Method and apparatus for dynamic equalization in wavelength division multiplexing |
US7005314B2 (en) * | 2001-06-27 | 2006-02-28 | Intel Corporation | Sacrificial layer technique to make gaps in MEMS applications |
US6646293B2 (en) | 2001-07-18 | 2003-11-11 | Motorola, Inc. | Structure for fabricating high electron mobility transistors utilizing the formation of complaint substrates |
US6667519B2 (en) * | 2001-07-20 | 2003-12-23 | Raytheon Company | Mixed technology microcircuits |
US6693298B2 (en) | 2001-07-20 | 2004-02-17 | Motorola, Inc. | Structure and method for fabricating epitaxial semiconductor on insulator (SOI) structures and devices utilizing the formation of a compliant substrate for materials used to form same |
US6587613B2 (en) * | 2001-07-24 | 2003-07-01 | Innovative Technology Licensing, Llc | Hybrid MEMS fabrication method and new optical MEMS device |
US6667196B2 (en) | 2001-07-25 | 2003-12-23 | Motorola, Inc. | Method for real-time monitoring and controlling perovskite oxide film growth and semiconductor structure formed using the method |
US6639249B2 (en) | 2001-08-06 | 2003-10-28 | Motorola, Inc. | Structure and method for fabrication for a solid-state lighting device |
US6589856B2 (en) | 2001-08-06 | 2003-07-08 | Motorola, Inc. | Method and apparatus for controlling anti-phase domains in semiconductor structures and devices |
US6673667B2 (en) | 2001-08-15 | 2004-01-06 | Motorola, Inc. | Method for manufacturing a substantially integral monolithic apparatus including a plurality of semiconductor materials |
US6829092B2 (en) | 2001-08-15 | 2004-12-07 | Silicon Light Machines, Inc. | Blazed grating light valve |
US6559530B2 (en) * | 2001-09-19 | 2003-05-06 | Raytheon Company | Method of integrating MEMS device with low-resistivity silicon substrates |
US6831292B2 (en) * | 2001-09-21 | 2004-12-14 | Amberwave Systems Corporation | Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same |
US6933518B2 (en) | 2001-09-24 | 2005-08-23 | Amberwave Systems Corporation | RF circuits including transistors having strained material layers |
US6670717B2 (en) * | 2001-10-15 | 2003-12-30 | International Business Machines Corporation | Structure and method for charge sensitive electrical devices |
US6838302B2 (en) * | 2002-01-11 | 2005-01-04 | Reflectivity, Inc | Method for adjusting a micro-mechanical device |
US6800238B1 (en) | 2002-01-15 | 2004-10-05 | Silicon Light Machines, Inc. | Method for domain patterning in low coercive field ferroelectrics |
US20030161949A1 (en) * | 2002-02-28 | 2003-08-28 | The Regents Of The University Of California | Vapor deposition of dihalodialklysilanes |
KR100419233B1 (en) * | 2002-03-11 | 2004-02-21 | 삼성전자주식회사 | MEMS device and a fabrication method thereof |
AU2003222003A1 (en) | 2002-03-14 | 2003-09-29 | Amberwave Systems Corporation | Methods for fabricating strained layers on semiconductor substrates |
GB0206509D0 (en) * | 2002-03-20 | 2002-05-01 | Qinetiq Ltd | Micro-Electromechanical systems |
US6916717B2 (en) * | 2002-05-03 | 2005-07-12 | Motorola, Inc. | Method for growing a monocrystalline oxide layer and for fabricating a semiconductor device on a monocrystalline substrate |
US6858459B2 (en) | 2002-05-23 | 2005-02-22 | Institute Of Microelectronics | Method of fabricating micro-mirror switching device |
US6767751B2 (en) * | 2002-05-28 | 2004-07-27 | Silicon Light Machines, Inc. | Integrated driver process flow |
US6728023B1 (en) | 2002-05-28 | 2004-04-27 | Silicon Light Machines | Optical device arrays with optimized image resolution |
US6822797B1 (en) | 2002-05-31 | 2004-11-23 | Silicon Light Machines, Inc. | Light modulator structure for producing high-contrast operation using zero-order light |
US7615829B2 (en) * | 2002-06-07 | 2009-11-10 | Amberwave Systems Corporation | Elevated source and drain elements for strained-channel heterojuntion field-effect transistors |
US20030227057A1 (en) * | 2002-06-07 | 2003-12-11 | Lochtefeld Anthony J. | Strained-semiconductor-on-insulator device structures |
US7074623B2 (en) * | 2002-06-07 | 2006-07-11 | Amberwave Systems Corporation | Methods of forming strained-semiconductor-on-insulator finFET device structures |
US7307273B2 (en) * | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
US6995430B2 (en) | 2002-06-07 | 2006-02-07 | Amberwave Systems Corporation | Strained-semiconductor-on-insulator device structures |
US7335545B2 (en) * | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US6946371B2 (en) * | 2002-06-10 | 2005-09-20 | Amberwave Systems Corporation | Methods of fabricating semiconductor structures having epitaxially grown source and drain elements |
US6891170B1 (en) | 2002-06-17 | 2005-05-10 | Zyvex Corporation | Modular manipulation system for manipulating a sample under study with a microscope |
US6982474B2 (en) * | 2002-06-25 | 2006-01-03 | Amberwave Systems Corporation | Reacted conductive gate electrodes |
US6829258B1 (en) | 2002-06-26 | 2004-12-07 | Silicon Light Machines, Inc. | Rapidly tunable external cavity laser |
US6813059B2 (en) | 2002-06-28 | 2004-11-02 | Silicon Light Machines, Inc. | Reduced formation of asperities in contact micro-structures |
US6714337B1 (en) | 2002-06-28 | 2004-03-30 | Silicon Light Machines | Method and device for modulating a light beam and having an improved gamma response |
DE10230252B4 (en) * | 2002-07-04 | 2013-10-17 | Robert Bosch Gmbh | Process for the production of integrated microsystems |
US6770569B2 (en) * | 2002-08-01 | 2004-08-03 | Freescale Semiconductor, Inc. | Low temperature plasma Si or SiGe for MEMS applications |
AU2003303133A1 (en) * | 2002-08-06 | 2004-07-22 | Piezoelectric mems resonator | |
US7186630B2 (en) * | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US6801354B1 (en) | 2002-08-20 | 2004-10-05 | Silicon Light Machines, Inc. | 2-D diffraction grating for substantially eliminating polarization dependent losses |
US7375385B2 (en) | 2002-08-23 | 2008-05-20 | Amberwave Systems Corporation | Semiconductor heterostructures having reduced dislocation pile-ups |
US7594967B2 (en) * | 2002-08-30 | 2009-09-29 | Amberwave Systems Corporation | Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy |
US7781850B2 (en) | 2002-09-20 | 2010-08-24 | Qualcomm Mems Technologies, Inc. | Controlling electromechanical behavior of structures within a microelectromechanical systems device |
US6712480B1 (en) | 2002-09-27 | 2004-03-30 | Silicon Light Machines | Controlled curvature of stressed micro-structures |
US20040160118A1 (en) * | 2002-11-08 | 2004-08-19 | Knollenberg Clifford F. | Actuator apparatus and method for improved deflection characteristics |
US7019434B2 (en) * | 2002-11-08 | 2006-03-28 | Iris Ao, Inc. | Deformable mirror method and apparatus including bimorph flexures and integrated drive |
DE10260860B4 (en) * | 2002-12-23 | 2008-07-10 | Robert Bosch Gmbh | Layer of Si1-xGex, process for their preparation and micromechanical device with it |
US6808953B2 (en) * | 2002-12-31 | 2004-10-26 | Robert Bosch Gmbh | Gap tuning for surface micromachined structures in an epitaxial reactor |
US6770504B2 (en) * | 2003-01-06 | 2004-08-03 | Honeywell International Inc. | Methods and structure for improving wafer bow control |
US20040157426A1 (en) * | 2003-02-07 | 2004-08-12 | Luc Ouellet | Fabrication of advanced silicon-based MEMS devices |
US6829077B1 (en) | 2003-02-28 | 2004-12-07 | Silicon Light Machines, Inc. | Diffractive light modulator with dynamically rotatable diffraction plane |
US6806997B1 (en) | 2003-02-28 | 2004-10-19 | Silicon Light Machines, Inc. | Patterned diffractive light modulator ribbon for PDL reduction |
US6888233B2 (en) * | 2003-03-10 | 2005-05-03 | Honeywell International Inc. | Systems for buried electrical feedthroughs in a glass-silicon MEMS process |
US6713829B1 (en) | 2003-03-12 | 2004-03-30 | Analog Devices, Inc. | Single unit position sensor |
US7793233B1 (en) | 2003-03-12 | 2010-09-07 | Microsoft Corporation | System and method for customizing note flags |
US7514283B2 (en) | 2003-03-20 | 2009-04-07 | Robert Bosch Gmbh | Method of fabricating electromechanical device having a controlled atmosphere |
DE10314989A1 (en) * | 2003-04-02 | 2004-10-14 | Robert Bosch Gmbh | Micromechanical structure manufacturing method for integrated micromechanical system, using function layer deposited on sacrificial layer having edge layer with different characteristics |
US8912174B2 (en) * | 2003-04-16 | 2014-12-16 | Mylan Pharmaceuticals Inc. | Formulations and methods for treating rhinosinusitis |
US7172917B2 (en) * | 2003-04-17 | 2007-02-06 | Robert Bosch Gmbh | Method of making a nanogap for variable capacitive elements, and device having a nanogap |
EP1473383B1 (en) * | 2003-04-29 | 2012-08-15 | Imec | Method for producing polycrystalline silicon germanium suitable for micromachining |
EP1482069A1 (en) * | 2003-05-28 | 2004-12-01 | Interuniversitair Microelektronica Centrum Vzw | Method for producing polycrystalline silicon germanium suitable for micromachining |
TW570896B (en) | 2003-05-26 | 2004-01-11 | Prime View Int Co Ltd | A method for fabricating an interference display cell |
US7075160B2 (en) | 2003-06-04 | 2006-07-11 | Robert Bosch Gmbh | Microelectromechanical systems and devices having thin film encapsulated mechanical structures |
US6936491B2 (en) | 2003-06-04 | 2005-08-30 | Robert Bosch Gmbh | Method of fabricating microelectromechanical systems and devices having trench isolated contacts |
US7221495B2 (en) * | 2003-06-24 | 2007-05-22 | Idc Llc | Thin film precursor stack for MEMS manufacturing |
DE10333189A1 (en) * | 2003-07-22 | 2005-02-10 | Robert Bosch Gmbh | Integrated microsystem manufacturing method e.g. for resonator, acceleration sensor or rotation rate sensor, has substrate provided with first function layer and structured mechanical function layer in succession |
US6952041B2 (en) * | 2003-07-25 | 2005-10-04 | Robert Bosch Gmbh | Anchors for microelectromechanical systems having an SOI substrate, and method of fabricating same |
DE10342155A1 (en) * | 2003-09-12 | 2005-04-07 | Robert Bosch Gmbh | Process for the production of etch holes and / or etch trenches as well as membrane sensor unit |
JP2005093887A (en) * | 2003-09-19 | 2005-04-07 | Fujitsu Ltd | Semiconductor device and method for manufacturing the same |
CN1871684B (en) * | 2003-09-23 | 2011-08-24 | 塞威仪器公司 | Method, system and device for microscopic examination employing fib-prepared sample grasping element |
US20050170609A1 (en) * | 2003-12-15 | 2005-08-04 | Alie Susan A. | Conductive bond for through-wafer interconnect |
US6936918B2 (en) * | 2003-12-15 | 2005-08-30 | Analog Devices, Inc. | MEMS device with conductive path through substrate |
TW200531420A (en) | 2004-02-20 | 2005-09-16 | Zyvex Corp | Positioning device for microscopic motion |
KR20060043141A (en) * | 2004-02-23 | 2006-05-15 | 지벡스 코포레이션 | Charged particle beam device probe operator |
JP3884439B2 (en) * | 2004-03-02 | 2007-02-21 | 株式会社東芝 | Semiconductor device |
US7068125B2 (en) * | 2004-03-04 | 2006-06-27 | Robert Bosch Gmbh | Temperature controlled MEMS resonator and method for controlling resonator frequency |
KR100607176B1 (en) * | 2004-03-25 | 2006-08-01 | 삼성전자주식회사 | Methods Of Fabricating A Semiconductor Device By Using An Aqueous Solution Diluted Ammonia And Peroxide Mixture |
US7326293B2 (en) * | 2004-03-26 | 2008-02-05 | Zyvex Labs, Llc | Patterned atomic layer epitaxy |
JP4602130B2 (en) * | 2004-04-28 | 2010-12-22 | パナソニック株式会社 | Electromechanical filter |
US7102467B2 (en) * | 2004-04-28 | 2006-09-05 | Robert Bosch Gmbh | Method for adjusting the frequency of a MEMS resonator |
US7608534B2 (en) * | 2004-06-02 | 2009-10-27 | Analog Devices, Inc. | Interconnection of through-wafer vias using bridge structures |
KR100585148B1 (en) | 2004-06-22 | 2006-05-30 | 삼성전자주식회사 | Fine pattern forming method of a semiconductor device using SiGe layer as a sacrificing layer and forming method for self-aligned contacts using the fine pattern forming method |
KR101354520B1 (en) | 2004-07-29 | 2014-01-21 | 퀄컴 엠이엠에스 테크놀로지스, 인크. | System and method for micro-electromechanical operating of an interferometric modulator |
DE102004044678B4 (en) * | 2004-09-09 | 2006-08-31 | Infineon Technologies Ag | Method for producing a capacitor |
US7232759B2 (en) * | 2004-10-04 | 2007-06-19 | Applied Materials, Inc. | Ammonium hydroxide treatments for semiconductor substrates |
US7270891B2 (en) * | 2004-11-17 | 2007-09-18 | Northrop Grumman Corporation | Mixed germanium-silicon thermal control blanket |
US7393733B2 (en) | 2004-12-01 | 2008-07-01 | Amberwave Systems Corporation | Methods of forming hybrid fin field-effect transistor structures |
FR2881416B1 (en) * | 2005-01-31 | 2007-06-01 | St Microelectronics Crolles 2 | microresonator |
JP4337983B2 (en) * | 2005-02-17 | 2009-09-30 | 国立大学法人 東京大学 | Mixed semiconductor integrated circuit and manufacturing method thereof |
US7683429B2 (en) * | 2005-05-31 | 2010-03-23 | Semiconductor Energy Laboratory Co., Ltd. | Microstructure and manufacturing method of the same |
CN101228091A (en) | 2005-07-22 | 2008-07-23 | 高通股份有限公司 | Support structure for MEMS device and methods thereof |
EP2495212A3 (en) | 2005-07-22 | 2012-10-31 | QUALCOMM MEMS Technologies, Inc. | Mems devices having support structures and methods of fabricating the same |
EP1801067A3 (en) * | 2005-12-21 | 2012-05-09 | Imec | Method for forming silicon germanium layers at low temperatures for controlling stress gradient |
US7795061B2 (en) | 2005-12-29 | 2010-09-14 | Qualcomm Mems Technologies, Inc. | Method of creating MEMS device cavities by a non-etching process |
US7747557B2 (en) | 2006-01-05 | 2010-06-29 | Microsoft Corporation | Application of metadata to documents and document objects via an operating system user interface |
US7916980B2 (en) * | 2006-01-13 | 2011-03-29 | Qualcomm Mems Technologies, Inc. | Interconnect structure for MEMS device |
US7382515B2 (en) | 2006-01-18 | 2008-06-03 | Qualcomm Mems Technologies, Inc. | Silicon-rich silicon nitrides as etch stops in MEMS manufacture |
US20070170528A1 (en) * | 2006-01-20 | 2007-07-26 | Aaron Partridge | Wafer encapsulated microelectromechanical structure and method of manufacturing same |
US7678601B2 (en) * | 2006-01-20 | 2010-03-16 | Texas Instruments Incorporated | Method of forming an acceleration sensor |
US7655909B2 (en) * | 2006-01-26 | 2010-02-02 | L-3 Communications Corporation | Infrared detector elements and methods of forming same |
US7459686B2 (en) * | 2006-01-26 | 2008-12-02 | L-3 Communications Corporation | Systems and methods for integrating focal plane arrays |
US7462831B2 (en) * | 2006-01-26 | 2008-12-09 | L-3 Communications Corporation | Systems and methods for bonding |
US7450295B2 (en) | 2006-03-02 | 2008-11-11 | Qualcomm Mems Technologies, Inc. | Methods for producing MEMS with protective coatings using multi-component sacrificial layers |
EP1999772B1 (en) * | 2006-03-08 | 2020-05-06 | Wispry, Inc. | Micro-electro-mechanical system mems variable capacitor |
US8008735B2 (en) * | 2006-03-20 | 2011-08-30 | Semiconductor Energy Laboratory Co., Ltd. | Micromachine device with a spatial portion formed within |
US7321457B2 (en) | 2006-06-01 | 2008-01-22 | Qualcomm Incorporated | Process and structure for fabrication of MEMS device having isolated edge posts |
US7452741B2 (en) * | 2006-06-19 | 2008-11-18 | Lucent Technologies Inc. | Process for manufacturing an apparatus that protects features during the removal of sacrificial materials |
US7642114B2 (en) * | 2006-07-19 | 2010-01-05 | Semiconductor Energy Laboratory Co., Ltd. | Micro electro mechanical device and manufacturing method thereof |
US7763546B2 (en) | 2006-08-02 | 2010-07-27 | Qualcomm Mems Technologies, Inc. | Methods for reducing surface charges during the manufacture of microelectromechanical systems devices |
US7718965B1 (en) | 2006-08-03 | 2010-05-18 | L-3 Communications Corporation | Microbolometer infrared detector elements and methods for forming same |
US20080087979A1 (en) * | 2006-10-13 | 2008-04-17 | Analog Devices, Inc. | Integrated Circuit with Back Side Conductive Paths |
JP2008132583A (en) * | 2006-10-24 | 2008-06-12 | Seiko Epson Corp | Mems device |
US20100062224A1 (en) * | 2006-10-31 | 2010-03-11 | Interuniversitair Microelektronica Centrum | Method for manufacturing a micromachined device |
US8153980B1 (en) | 2006-11-30 | 2012-04-10 | L-3 Communications Corp. | Color correction for radiation detectors |
WO2008070669A2 (en) * | 2006-12-05 | 2008-06-12 | Miradia Inc. | Method and apparatus for mems oscillator |
WO2008086530A2 (en) * | 2007-01-11 | 2008-07-17 | Analog Devices, Inc. | Mems sensor with cap electrode |
US20080185522A1 (en) * | 2007-02-06 | 2008-08-07 | Shih-Chia Chang | Infrared sensors and methods for manufacturing the infrared sensors |
US7736929B1 (en) | 2007-03-09 | 2010-06-15 | Silicon Clocks, Inc. | Thin film microshells incorporating a getter layer |
US7595209B1 (en) | 2007-03-09 | 2009-09-29 | Silicon Clocks, Inc. | Low stress thin film microshells |
US7659150B1 (en) | 2007-03-09 | 2010-02-09 | Silicon Clocks, Inc. | Microshells for multi-level vacuum cavities |
US7923790B1 (en) * | 2007-03-09 | 2011-04-12 | Silicon Laboratories Inc. | Planar microshells for vacuum encapsulated devices and damascene method of manufacture |
US7733552B2 (en) | 2007-03-21 | 2010-06-08 | Qualcomm Mems Technologies, Inc | MEMS cavity-coating layers and methods |
US8463325B2 (en) * | 2007-03-26 | 2013-06-11 | Research In Motion Limited | System and method for providing calling feature icons in a user interface that facilitates user selection of a communication line for an outgoing call on a mobile device |
CN101652317B (en) * | 2007-04-04 | 2012-12-12 | 高通Mems科技公司 | Eliminate release etch attack by interface modification in sacrificial layers |
US7719752B2 (en) | 2007-05-11 | 2010-05-18 | Qualcomm Mems Technologies, Inc. | MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same |
US7569488B2 (en) | 2007-06-22 | 2009-08-04 | Qualcomm Mems Technologies, Inc. | Methods of making a MEMS device by monitoring a process parameter |
US7795605B2 (en) * | 2007-06-29 | 2010-09-14 | International Business Machines Corporation | Phase change material based temperature sensor |
US7563720B2 (en) * | 2007-07-23 | 2009-07-21 | Honeywell International Inc. | Boron doped shell for MEMS device |
US7851239B2 (en) | 2008-06-05 | 2010-12-14 | Qualcomm Mems Technologies, Inc. | Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices |
US8174352B2 (en) * | 2008-06-26 | 2012-05-08 | Cornell University | Method for making a transducer, transducer made therefrom, and applications thereof |
US8956904B2 (en) | 2008-09-10 | 2015-02-17 | Analog Devices, Inc. | Apparatus and method of wafer bonding using compatible alloy |
US7981765B2 (en) | 2008-09-10 | 2011-07-19 | Analog Devices, Inc. | Substrate bonding with bonding material having rare earth metal |
FR2942681B1 (en) * | 2009-02-27 | 2011-05-13 | Commissariat Energie Atomique | MICROMETRIC OR NANOMETRIC RESONANT DEVICE WITH TRANSISTORS |
FR2942682A1 (en) * | 2009-02-27 | 2010-09-03 | Commissariat Energie Atomique | DEVICE RESULTING IN IMPROVED CHARACTERISTICS |
US7864403B2 (en) | 2009-03-27 | 2011-01-04 | Qualcomm Mems Technologies, Inc. | Post-release adjustment of interferometric modulator reflectivity |
US8765514B1 (en) | 2010-11-12 | 2014-07-01 | L-3 Communications Corp. | Transitioned film growth for conductive semiconductor materials |
US8852984B1 (en) | 2011-03-30 | 2014-10-07 | Silicon Laboratories | Technique for forming a MEMS device |
US8877536B1 (en) * | 2011-03-30 | 2014-11-04 | Silicon Laboratories Inc. | Technique for forming a MEMS device using island structures |
US8659816B2 (en) | 2011-04-25 | 2014-02-25 | Qualcomm Mems Technologies, Inc. | Mechanical layer and methods of making the same |
JP2014053529A (en) * | 2012-09-10 | 2014-03-20 | Toshiba Corp | Electronic device |
US9450066B2 (en) * | 2012-10-12 | 2016-09-20 | Texas State University | Vertically movable gate field effect transistor (VMGFET) on a silicon-on-insulator (SOI) wafer and method of forming a VMGFET |
US9484199B2 (en) * | 2013-09-06 | 2016-11-01 | Applied Materials, Inc. | PECVD microcrystalline silicon germanium (SiGe) |
US9637371B2 (en) | 2014-07-25 | 2017-05-02 | Semiconductor Manufacturing International (Shanghai) Corporation | Membrane transducer structures and methods of manufacturing same using thin-film encapsulation |
CN112670408B (en) * | 2019-10-15 | 2023-06-02 | 夏泰鑫半导体(青岛)有限公司 | Preparation method of capacitor and capacitor |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5190637A (en) * | 1992-04-24 | 1993-03-02 | Wisconsin Alumni Research Foundation | Formation of microstructures by multiple level deep X-ray lithography with sacrificial metal layers |
US5440152A (en) * | 1993-11-26 | 1995-08-08 | Nec Corporation | Heterojunction bipolar transistor having particular Ge distributions and gradients |
US6064081A (en) * | 1994-11-10 | 2000-05-16 | Lawrence Semiconductor Research Laboratory, Inc. | Silicon-germanium-carbon compositions and processes thereof |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3764865A (en) * | 1970-03-17 | 1973-10-09 | Rca Corp | Semiconductor devices having closely spaced contacts |
US5025346A (en) | 1989-02-17 | 1991-06-18 | Regents Of The University Of California | Laterally driven resonant microstructures |
JPH0673383B2 (en) * | 1990-12-21 | 1994-09-14 | 株式会社東芝 | Method for manufacturing semiconductor pressure transducer |
WO1994014240A1 (en) | 1992-12-11 | 1994-06-23 | The Regents Of The University Of California | Microelectromechanical signal processors |
US5491604A (en) * | 1992-12-11 | 1996-02-13 | The Regents Of The University Of California | Q-controlled microresonators and tunable electronic filters using such resonators |
WO1994030030A1 (en) | 1993-06-04 | 1994-12-22 | The Regents Of The University Of California | Microfabricated acoustic source and receiver |
US5651900A (en) | 1994-03-07 | 1997-07-29 | The Regents Of The University Of California | Microfabricated particle filter |
US5660680A (en) | 1994-03-07 | 1997-08-26 | The Regents Of The University Of California | Method for fabrication of high vertical aspect ratio thin film structures |
US5839062A (en) | 1994-03-18 | 1998-11-17 | The Regents Of The University Of California | Mixing, modulation and demodulation via electromechanical resonators |
US5578843A (en) * | 1994-10-06 | 1996-11-26 | Kavlico Corporation | Semiconductor sensor with a fusion bonded flexible structure |
US5919364A (en) | 1996-06-24 | 1999-07-06 | Regents Of The University Of California | Microfabricated filter and shell constructed with a permeable membrane |
JP3536563B2 (en) * | 1996-12-20 | 2004-06-14 | アイシン精機株式会社 | Semiconductor micromachine |
JPH10223914A (en) * | 1997-01-31 | 1998-08-21 | Aisin Seiki Co Ltd | Manufacture of semiconductor micromachine |
EP0867701A1 (en) * | 1997-03-28 | 1998-09-30 | Interuniversitair Microelektronica Centrum Vzw | Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer |
US6033974A (en) * | 1997-05-12 | 2000-03-07 | Silicon Genesis Corporation | Method for controlled cleaving process |
JPH11233788A (en) * | 1998-02-09 | 1999-08-27 | Semiconductor Energy Lab Co Ltd | Semiconductor device |
JP3762221B2 (en) * | 1998-04-10 | 2006-04-05 | マサチューセッツ・インスティテュート・オブ・テクノロジー | Silicon germanium etch stop layer system |
-
2000
- 2000-01-14 AU AU33460/00A patent/AU3346000A/en not_active Abandoned
- 2000-01-14 US US09/483,222 patent/US6210988B1/en not_active Expired - Lifetime
- 2000-01-14 WO PCT/US2000/000964 patent/WO2000042231A2/en active Application Filing
- 2000-01-14 JP JP2000593787A patent/JP4511739B2/en not_active Expired - Lifetime
- 2000-01-14 EP EP00911583A patent/EP1173893A4/en not_active Withdrawn
- 2000-07-17 US US09/617,300 patent/US6448622B1/en not_active Expired - Lifetime
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5190637A (en) * | 1992-04-24 | 1993-03-02 | Wisconsin Alumni Research Foundation | Formation of microstructures by multiple level deep X-ray lithography with sacrificial metal layers |
US5440152A (en) * | 1993-11-26 | 1995-08-08 | Nec Corporation | Heterojunction bipolar transistor having particular Ge distributions and gradients |
US6064081A (en) * | 1994-11-10 | 2000-05-16 | Lawrence Semiconductor Research Laboratory, Inc. | Silicon-germanium-carbon compositions and processes thereof |
Non-Patent Citations (2)
Title |
---|
SEDKY ET. AL.: 'Structural and Mechanical Properties of Polycrystalline Silicon germanium for Micromachining Applications' JOURNAL OF MICROELECTROMECHANICAL SYSTEMS vol. 7, no. 4, December 1998, pages 365 - 372, XP002929755 * |
See also references of EP1173893A2 * |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1232996A2 (en) * | 2001-02-14 | 2002-08-21 | Robert Bosch Gmbh | Micromechanical device and process for its manufacture |
EP1232996A3 (en) * | 2001-02-14 | 2005-01-19 | Robert Bosch Gmbh | Micromechanical device and process for its manufacture |
DE10196506B3 (en) * | 2001-06-13 | 2014-09-04 | Mitsubishi Denki K.K. | Manufacturing method for a thin-film structural body |
US7371600B2 (en) | 2001-06-13 | 2008-05-13 | Mitsubishi Denki Kabushiki Kaisha | Thin-film structure and method for manufacturing the same, and acceleration sensor and method for manufacturing the same |
WO2003005421A2 (en) * | 2001-07-05 | 2003-01-16 | The Regents Of The University Of California | Fabricating structures using chemo-mechanical polishing and chemically-selective endpoint detection |
WO2003005421A3 (en) * | 2001-07-05 | 2003-08-28 | Univ California | Fabricating structures using chemo-mechanical polishing and chemically-selective endpoint detection |
WO2003027002A2 (en) * | 2001-09-01 | 2003-04-03 | Robert Bosch Gmbh | Method for the production of a micromechanical structure |
WO2003027002A3 (en) * | 2001-09-01 | 2003-10-16 | Bosch Gmbh Robert | Method for the production of a micromechanical structure |
WO2003095712A3 (en) * | 2002-05-07 | 2005-08-18 | Univ Southern California | Method of and apparatus for forming three-dimensional structures integral with semiconductor based circuitry |
WO2003095707A3 (en) * | 2002-05-07 | 2005-08-18 | Memgen Corp | Method of and apparatus for forming three-dimensional structures |
WO2003095712A2 (en) * | 2002-05-07 | 2003-11-20 | University Of Southern California | Method of and apparatus for forming three-dimensional structures integral with semiconductor based circuitry |
WO2003095707A2 (en) * | 2002-05-07 | 2003-11-20 | Memgen Corporation | Method of and apparatus for forming three-dimensional structures |
WO2005092782A1 (en) * | 2004-03-02 | 2005-10-06 | Analog Devices, Inc. | Single crystal silicon sensor with additional layer and method of producing the same |
US7138694B2 (en) | 2004-03-02 | 2006-11-21 | Analog Devices, Inc. | Single crystal silicon sensor with additional layer and method of producing the same |
US8227876B2 (en) | 2004-03-02 | 2012-07-24 | Analog Devices, Inc. | Single crystal silicon sensor with additional layer and method of producing the same |
US8227286B2 (en) | 2004-03-02 | 2012-07-24 | Analog Devices, Inc. | Single crystal silicon sensor with additional layer and method of producing the same |
JP2006212773A (en) * | 2005-02-03 | 2006-08-17 | Robert Bosch Gmbh | Micromachining type component element and corresponding manufacturing method |
EP2277823A3 (en) * | 2009-06-18 | 2013-09-11 | Imec | Method for forming MEMS devices having low contact resistance and devices obtained thereof |
Also Published As
Publication number | Publication date |
---|---|
US6448622B1 (en) | 2002-09-10 |
WO2000042231A8 (en) | 2001-09-13 |
JP2002534285A (en) | 2002-10-15 |
AU3346000A (en) | 2000-08-01 |
WO2000042231A3 (en) | 2000-11-30 |
EP1173893A2 (en) | 2002-01-23 |
JP4511739B2 (en) | 2010-07-28 |
US6210988B1 (en) | 2001-04-03 |
WO2000042231A9 (en) | 2001-10-25 |
EP1173893A4 (en) | 2007-08-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6210988B1 (en) | Polycrystalline silicon germanium films for forming micro-electromechanical systems | |
US7075160B2 (en) | Microelectromechanical systems and devices having thin film encapsulated mechanical structures | |
US8269291B2 (en) | Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters | |
US6770569B2 (en) | Low temperature plasma Si or SiGe for MEMS applications | |
US4519126A (en) | Method of fabricating high speed CMOS devices | |
JP2006526509A5 (en) | ||
GB2038552A (en) | Composite conductor structure for a semiconductor device | |
US8071411B2 (en) | Low temperature ceramic microelectromechanical structures | |
EP1658519A2 (en) | Solution to thermal budget | |
JP2005519475A (en) | Monolithic integrated SOI circuit with capacitor | |
Takeuchi et al. | Ge-blade damascene process for post-CMOS integration of nano-mechanical resonators | |
WO1993011558A1 (en) | Method of modifying contact resistance in semiconductor devices and articles produced thereby | |
Howe et al. | Low-temperature LPCVD MEMS technologies | |
US6812056B2 (en) | Technique for fabricating MEMS devices having diaphragms of “floating” regions of single crystal material | |
US7094621B2 (en) | Fabrication of diaphragms and “floating” regions of single crystal semiconductor for MEMS devices | |
JP2005271085A (en) | Method of integrating mems and ic on substrate, and mems device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A2 Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GE GH GM HR HU ID IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A2 Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
AK | Designated states |
Kind code of ref document: A3 Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GE GH GM HR HU ID IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A3 Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG |
|
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
ENP | Entry into the national phase |
Ref country code: JP Ref document number: 2000 593787 Kind code of ref document: A Format of ref document f/p: F |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2000911583 Country of ref document: EP |
|
AK | Designated states |
Kind code of ref document: C1 Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: C1 Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG |
|
CFP | Corrected version of a pamphlet front page | ||
CR1 | Correction of entry in section i |
Free format text: PAT. BUL. 29/2000 UNDER (81) ADD "AE, GD, IN, ZA" |
|
AK | Designated states |
Kind code of ref document: C2 Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: C2 Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG |
|
COP | Corrected version of pamphlet |
Free format text: PAGES 1/9-9/9, DRAWINGS, REPLACED BY NEW PAGES 1/9-9/9 |
|
REG | Reference to national code |
Ref country code: DE Ref legal event code: 8642 |
|
WWP | Wipo information: published in national office |
Ref document number: 2000911583 Country of ref document: EP |