WO2000058953A3 - Reactive ion beam etching method and a thin film head fabricated using the method - Google Patents

Reactive ion beam etching method and a thin film head fabricated using the method Download PDF

Info

Publication number
WO2000058953A3
WO2000058953A3 PCT/US2000/008400 US0008400W WO0058953A3 WO 2000058953 A3 WO2000058953 A3 WO 2000058953A3 US 0008400 W US0008400 W US 0008400W WO 0058953 A3 WO0058953 A3 WO 0058953A3
Authority
WO
WIPO (PCT)
Prior art keywords
ion source
ion beam
species
plasma
reactive ion
Prior art date
Application number
PCT/US2000/008400
Other languages
French (fr)
Other versions
WO2000058953A2 (en
Inventor
Kurt E Williams
Boris L Druz
Danielle S Hines
John F Londono
Original Assignee
Veeco Instr Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Instr Inc filed Critical Veeco Instr Inc
Priority to DE60039293T priority Critical patent/DE60039293D1/en
Priority to EP00919854A priority patent/EP1183684B1/en
Priority to JP2000608372A priority patent/JP2002540548A/en
Priority to AU40475/00A priority patent/AU4047500A/en
Publication of WO2000058953A2 publication Critical patent/WO2000058953A2/en
Publication of WO2000058953A3 publication Critical patent/WO2000058953A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/31Structure or manufacture of heads, e.g. inductive using thin films
    • G11B5/3163Fabrication methods or processes specially adapted for a particular head structure, e.g. using base layers for electroplating, using functional layers for masking, using energy or particle beams for shaping the structure or modifying the properties of the basic layers

Abstract

A reactive ion beam etching method which employs an oxidizing agent in a plasma contained in an ion source (10) to control carbonaceous deposit (e.g., polymer) formation within the ion source and on the substrate. After operating the ion source with a plasma having a carbonaceous deposit forming species, a plasma (Ar+O2ions) containing an oxidizing agent (species) is generated within the ion source. Preferably, within the ion source a plasma is maintained essentially continuously between the time that the carbonaceous deposit forming species is present and the time that the oxidizing agent is present. Preferably, a reactive ion beam containing an oxidizing species is incident upon the sample at an angle which enhances the selectivity of the carbonaceous deposit (e.g., polymer) etching relative to other materials upon which the ion beam impinges. A thin film magnetic head (52, 54, 56, 58) is fabricated according to a pole (52, 58) trimming process which employs RIBE with an oxidizing species to remove any carbonaceous material (e.g., polymer) deposits formed during a previous fluorocarbon based RIBE step.
PCT/US2000/008400 1999-03-30 2000-03-30 Reactive ion beam etching method and a thin film head fabricated using the method WO2000058953A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE60039293T DE60039293D1 (en) 1999-03-30 2000-03-30 REACTIVIONAL BEAM METHOD AND THIN FILM HEAD MADE ACCORDING TO THIS METHOD
EP00919854A EP1183684B1 (en) 1999-03-30 2000-03-30 Reactive ion beam etching method and a thin film head fabricated using the method
JP2000608372A JP2002540548A (en) 1999-03-30 2000-03-30 Reactive ion beam etching method and thin film head manufactured using the method
AU40475/00A AU4047500A (en) 1999-03-30 2000-03-30 Reactive ion beam etching method and a thin film head fabricated using the method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/281,663 1999-03-30
US09/281,663 US6238582B1 (en) 1999-03-30 1999-03-30 Reactive ion beam etching method and a thin film head fabricated using the method

Publications (2)

Publication Number Publication Date
WO2000058953A2 WO2000058953A2 (en) 2000-10-05
WO2000058953A3 true WO2000058953A3 (en) 2001-04-26

Family

ID=23078272

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/008400 WO2000058953A2 (en) 1999-03-30 2000-03-30 Reactive ion beam etching method and a thin film head fabricated using the method

Country Status (7)

Country Link
US (1) US6238582B1 (en)
EP (1) EP1183684B1 (en)
JP (1) JP2002540548A (en)
AT (1) ATE399363T1 (en)
AU (1) AU4047500A (en)
DE (1) DE60039293D1 (en)
WO (1) WO2000058953A2 (en)

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2764110B1 (en) * 1997-05-28 1999-08-20 Univ Paris Curie DEVICE AND METHOD FOR ION ETCHING
JP2001284252A (en) * 2000-03-30 2001-10-12 Sanyo Electric Co Ltd Semiconductor device and method of fabrication
TW504713B (en) * 2000-04-28 2002-10-01 Motorola Inc Magnetic element with insulating veils and fabricating method thereof
US6723252B1 (en) * 2000-06-16 2004-04-20 International Business Machines Corporation Magnetic head P1 magnetic pole notching with reduced polymer deposition
US6574854B1 (en) * 2000-06-27 2003-06-10 International Business Machines Corporation Method for reducing magnetic head write gap curvature of a plated write gap
US6503406B1 (en) * 2000-08-07 2003-01-07 International Business Machines Corporation Method for forming the air bearing surface of a slider using nonreactive plasma
US6676843B2 (en) * 2001-04-26 2004-01-13 Hewlett-Packard Development Company, L.P. Magnetically patterning conductors
US20030038106A1 (en) * 2001-08-21 2003-02-27 Seagate Technology Llc Enhanced ion beam etch selectivity of magnetic thin films using carbon-based gases
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US7279046B2 (en) * 2002-03-27 2007-10-09 Nanoink, Inc. Method and apparatus for aligning patterns on a substrate
US20030200835A1 (en) * 2002-04-02 2003-10-30 Snecma Services Diffusion-brazing filler powder for parts made of an alloy based on nickel, cobalt or iron
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040045671A1 (en) * 2002-09-10 2004-03-11 Ed Rejda Selective etching device
KR100898924B1 (en) * 2002-10-24 2009-05-26 엘지전자 주식회사 Method for processing aspheric of micro-lens and fabrication method of micro-lens
KR100484949B1 (en) * 2002-12-27 2005-04-22 엘지.필립스 엘시디 주식회사 ion beam irradiation device for forming an alignment layer
KR100478498B1 (en) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 Formation method of metal line in semiconductor device
JP4155093B2 (en) * 2003-03-04 2008-09-24 株式会社島津製作所 Ion source and ion beam device
AT412719B (en) * 2003-06-16 2005-06-27 Eckelt Glas Gmbh METHOD AND DEVICE FOR PROCESSING DEFLECTING GLASS PANELS
JP4111274B2 (en) * 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 Magnetic material dry etching method
US9206500B2 (en) * 2003-08-11 2015-12-08 Boris Druz Method and apparatus for surface processing of a substrate using an energetic particle beam
US7879201B2 (en) * 2003-08-11 2011-02-01 Veeco Instruments Inc. Method and apparatus for surface processing of a substrate
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7039300B2 (en) * 2003-12-19 2006-05-02 Carrier Corporation Identification of electric heater capacity
US7563381B2 (en) * 2004-04-30 2009-07-21 Hitachi Global Storage Technologies Netherlands B.V. High milling resistance write pole fabrication method for perpendicular recording
US7186348B2 (en) * 2004-06-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating a pole tip in a magnetic transducer
KR100653701B1 (en) * 2004-08-20 2006-12-04 삼성전자주식회사 Method of forming a small via structure in a semiconductor device and method of fabricating phase change memory device using the same
DE212005000055U1 (en) * 2004-11-19 2007-08-23 Vetrotech Saint-Gobain (International) Ag Device for strip and sheet-like processing of surfaces of glass panes
JP4634874B2 (en) * 2005-06-28 2011-02-16 株式会社東芝 Method for manufacturing magnetic recording medium
JPWO2007032379A1 (en) * 2005-09-13 2009-03-19 キヤノンアネルバ株式会社 Magnetoresistive element manufacturing method and manufacturing apparatus
US20070084564A1 (en) * 2005-10-13 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
JP2008016084A (en) * 2006-07-03 2008-01-24 Toshiba Corp Manufacturing method of magnetic recording medium
US7615385B2 (en) 2006-09-20 2009-11-10 Hypres, Inc Double-masking technique for increasing fabrication yield in superconducting electronics
JP4296204B2 (en) * 2007-03-26 2009-07-15 株式会社東芝 Magnetic recording medium
JP2008282512A (en) * 2007-05-14 2008-11-20 Toshiba Corp Magnetic recording medium and magnetic recording/reproducing device
JP4382843B2 (en) * 2007-09-26 2009-12-16 株式会社東芝 Magnetic recording medium and method for manufacturing the same
US20090273859A1 (en) * 2008-05-02 2009-11-05 Flint Eric W Forming a pole tip topography
US8749053B2 (en) 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
JP2013503414A (en) * 2009-08-26 2013-01-31 ビーコ・インスツルメンツ・インコーポレーテッド System for producing a pattern on a magnetic recording medium
JP2011096316A (en) * 2009-10-29 2011-05-12 Ulvac Japan Ltd Method for manufacturing magnetic recording head
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
FR2957454B1 (en) * 2010-03-09 2013-05-17 Essilor Int METHOD FOR CONDITIONING AN ION CANNON
US8343363B1 (en) 2010-03-10 2013-01-01 Western Digital (Fremont), Llc Method and system for fabricating a cavity in a substrate of a magnetic recording head
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
DE112012001287B4 (en) * 2011-03-18 2016-01-21 Denka Company Limited Housing and method for handling an electron gun or ion gun
US8597528B1 (en) * 2011-03-30 2013-12-03 Western Digital (Fremont), Llc Method and system for defining a read sensor using an ion mill planarization
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8533937B1 (en) 2011-10-18 2013-09-17 Western Digital (Fremont), Llc Method of forming a fully wrapped-around shielded PMR writer pole
TWI506719B (en) 2011-11-08 2015-11-01 Intevac Inc Substrate processing system and method
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
CN104584196B (en) * 2012-06-29 2017-02-22 佳能安内华股份有限公司 Ion beam processing method and ion beam processing device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5575198B2 (en) * 2012-09-25 2014-08-20 株式会社東芝 Magnetoresistive element manufacturing method and magnetoresistive element manufacturing apparatus
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
WO2014100506A1 (en) 2012-12-19 2014-06-26 Intevac, Inc. Grid for plasma ion implant
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9899227B2 (en) * 2013-02-20 2018-02-20 Lam Research Corporation System, method and apparatus for ion milling in a plasma etch chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
DE112014002868B4 (en) * 2013-07-29 2019-02-28 Hitachi High-Technologies Corporation ion etching apparatus and processing method using the ion etching apparatus
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9934981B2 (en) 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9135930B1 (en) 2014-03-06 2015-09-15 Western Digital (Fremont), Llc Method for fabricating a magnetic write pole using vacuum deposition
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR101943553B1 (en) 2014-11-25 2019-04-18 삼성전자주식회사 Method of forming a pattern using ion beams of bilateral symmetry, method of forming a magnetic memory device using the same, and ion beam apparatus generation ion beams of bilateral symmetry
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10008384B2 (en) * 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6613207B2 (en) * 2015-11-13 2019-11-27 東京エレクトロン株式会社 Method for etching a workpiece
WO2017082373A1 (en) * 2015-11-13 2017-05-18 東京エレクトロン株式会社 Method of etching object to be processed
US9984889B2 (en) 2016-03-08 2018-05-29 Varian Semiconductor Equipment Associates, Inc. Techniques for manipulating patterned features using ions
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9886973B2 (en) * 2016-06-30 2018-02-06 Western Digital Technologies, Inc. Surface treatment of magnetic recording heads for improving the robustness thereof
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10229832B2 (en) 2016-09-22 2019-03-12 Varian Semiconductor Equipment Associates, Inc. Techniques for forming patterned features using directional ions
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR101939481B1 (en) * 2017-07-27 2019-01-16 성균관대학교산학협력단 Ion bean etching apparatus
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
EP3477347A1 (en) * 2017-10-30 2019-05-01 Facebook Technologies, LLC Reactivity enhancement in ion beam etcher
US10684407B2 (en) * 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
JP7285251B2 (en) * 2017-10-30 2023-06-01 メタ プラットフォームズ テクノロジーズ, リミテッド ライアビリティ カンパニー H2-assisted graded etching of high refractive index materials
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102273970B1 (en) * 2017-12-26 2021-07-07 주식회사 엘지화학 Method for plasma etching process using faraday box
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10845596B2 (en) 2018-01-23 2020-11-24 Facebook Technologies, Llc Slanted surface relief grating for rainbow reduction in waveguide display
US10914954B2 (en) 2018-08-03 2021-02-09 Facebook Technologies, Llc Rainbow reduction for waveguide displays
US10761330B2 (en) 2018-01-23 2020-09-01 Facebook Technologies, Llc Rainbow reduction in waveguide displays
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10649119B2 (en) 2018-07-16 2020-05-12 Facebook Technologies, Llc Duty cycle, depth, and surface energy control in nano fabrication
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11150394B2 (en) 2019-01-31 2021-10-19 Facebook Technologies, Llc Duty cycle range increase for waveguide combiners
US11550083B2 (en) 2019-06-26 2023-01-10 Meta Platforms Technologies, Llc Techniques for manufacturing slanted structures
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
US20230031722A1 (en) * 2021-07-23 2023-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Voltage Control for Etching Systems

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5281302A (en) * 1992-01-27 1994-01-25 Siemens Aktiengesellschaft Method for cleaning reaction chambers by plasma etching
US5438747A (en) * 1994-03-09 1995-08-08 International Business Machines Corporation Method of making a thin film merged MR head with aligned pole tips
US5607599A (en) * 1994-11-17 1997-03-04 Kabushiki Kaisha Toshiba Method of processing a magnetic thin film
JPH10112007A (en) * 1996-10-04 1998-04-28 Nec Corp Magnetoresistance effect type composite head and its production
JPH10143817A (en) * 1996-09-10 1998-05-29 Alps Electric Co Ltd Thin-film magnetic head and its production
US5770100A (en) * 1989-08-28 1998-06-23 Fukuyama; Ryooji Method of treating samples
EP0871200A2 (en) * 1997-02-21 1998-10-14 Applied Materials, Inc. Low temperature etch process utilizing power splitting between electrodes in an RF plasma reactor
US5867890A (en) * 1997-12-17 1999-02-09 International Business Machines Corporation Method for making a thin film merged magnetoresistive read/inductive write head having a pedestal pole tip
US5878481A (en) * 1997-04-28 1999-03-09 Headway Technologies, Inc. Pole trimming method for fabricating a magnetic transducer structure

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3913320A (en) 1974-11-13 1975-10-21 Ion Tech Inc Electron-bombardment ion sources
US4142958A (en) 1978-04-13 1979-03-06 Litton Systems, Inc. Method for fabricating multi-layer optical films
JPH061769B2 (en) * 1983-08-10 1994-01-05 株式会社日立製作所 Alumina film patterning method
JPS60133516A (en) 1983-12-22 1985-07-16 Hitachi Ltd Production of thin film magnetic head
US4490229A (en) 1984-07-09 1984-12-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Deposition of diamondlike carbon films
JPS62256208A (en) * 1986-04-28 1987-11-07 Tdk Corp Structure of gap section of thin-film magnetic head
US4739214A (en) 1986-11-13 1988-04-19 Anatech Ltd. Dynamic electron emitter
DE3862797D1 (en) 1987-04-22 1991-06-20 Siemens Ag PISTON PUMP FOR A MEDICINE DOSING DEVICE.
JPH06105668B2 (en) * 1987-06-23 1994-12-21 株式会社日立製作所 Method of manufacturing magnetic thin film
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
JP2747099B2 (en) * 1990-08-21 1998-05-06 株式会社日立製作所 Thin film magnetic head
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5455081A (en) * 1990-09-25 1995-10-03 Nippon Steel Corporation Process for coating diamond-like carbon film and coated thin strip
JPH05102101A (en) * 1991-02-15 1993-04-23 Fujitsu Ltd Manufacture of semiconductor device
JPH0677181A (en) * 1992-08-26 1994-03-18 Matsushita Electric Ind Co Ltd Formation method of fine structure of compound semiconductor
US5508368A (en) 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5916424A (en) * 1996-04-19 1999-06-29 Micrion Corporation Thin film magnetic recording heads and systems and methods for manufacturing the same
US5874010A (en) * 1996-07-17 1999-02-23 Headway Technologies, Inc. Pole trimming technique for high data rate thin film heads
US5996213A (en) * 1998-01-30 1999-12-07 Read-Rite Corporation Thin film MR head and method of making wherein pole trim takes place at the wafer level
JP2000251221A (en) * 1999-02-26 2000-09-14 Sumitomo Metal Ind Ltd Method and device for manufacturing thin-film magnetic head

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5770100A (en) * 1989-08-28 1998-06-23 Fukuyama; Ryooji Method of treating samples
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5281302A (en) * 1992-01-27 1994-01-25 Siemens Aktiengesellschaft Method for cleaning reaction chambers by plasma etching
US5438747A (en) * 1994-03-09 1995-08-08 International Business Machines Corporation Method of making a thin film merged MR head with aligned pole tips
US5607599A (en) * 1994-11-17 1997-03-04 Kabushiki Kaisha Toshiba Method of processing a magnetic thin film
JPH10143817A (en) * 1996-09-10 1998-05-29 Alps Electric Co Ltd Thin-film magnetic head and its production
US5949625A (en) * 1996-09-10 1999-09-07 Alps Electric Co., Ltd. Combination read/write thin film magnetic head with slant surfaces extending from lower core layer prominence
JPH10112007A (en) * 1996-10-04 1998-04-28 Nec Corp Magnetoresistance effect type composite head and its production
US5938941A (en) * 1996-10-04 1999-08-17 Nec Corporation Magnetoresistance effect composite head and method of forming the same
EP0871200A2 (en) * 1997-02-21 1998-10-14 Applied Materials, Inc. Low temperature etch process utilizing power splitting between electrodes in an RF plasma reactor
US5878481A (en) * 1997-04-28 1999-03-09 Headway Technologies, Inc. Pole trimming method for fabricating a magnetic transducer structure
US5867890A (en) * 1997-12-17 1999-02-09 International Business Machines Corporation Method for making a thin film merged magnetoresistive read/inductive write head having a pedestal pole tip

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 09 31 July 1998 (1998-07-31) *
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 10 31 August 1998 (1998-08-31) *

Also Published As

Publication number Publication date
WO2000058953A2 (en) 2000-10-05
AU4047500A (en) 2000-10-16
ATE399363T1 (en) 2008-07-15
EP1183684A2 (en) 2002-03-06
DE60039293D1 (en) 2008-08-07
JP2002540548A (en) 2002-11-26
US6238582B1 (en) 2001-05-29
EP1183684B1 (en) 2008-06-25

Similar Documents

Publication Publication Date Title
WO2000058953A3 (en) Reactive ion beam etching method and a thin film head fabricated using the method
US20040151911A1 (en) Ion gun deposition and alignment for liquid-crystal applications
EP0488239A1 (en) Method for manufacturing a stamper
DE3884697D1 (en) Process for the increased deposition of silicon oxide by plasma.
Hu et al. Reactive ion etching of GaAs in a chlorine plasma
Doughty et al. Dry etching of indium phosphide
KR0151165B1 (en) Diamond finery method
JPH0532424A (en) Method for regeneration forming mold
CA2288757A1 (en) Method of forming a silicon layer on a surface
JP2875892B2 (en) Method of forming cubic boron nitride film
JP2698416B2 (en) Method of forming three-dimensional optical waveguide clad film
JPH01259168A (en) Reactive ion beam sputtering device
JPS6399535A (en) Manufacture of semiconductor device
JP3478739B2 (en) Ion beam processing method and workpiece by the same
JPS5666038A (en) Formation of micro-pattern
JPS6424422A (en) Formation of fine pattern
JPS6474728A (en) Dry etching method
JP2005026359A (en) Dry etching method
JPS6432443A (en) Magneto-optical recording medium
JP2002284545A (en) Dry etching method
JPH08148334A (en) Magnetic device
JPH04358058A (en) Method and apparatus for forming thin film
WO2002057507A3 (en) Method for making a film by pulsed laser ablation
JPH0253242A (en) Production of protective film for magneto-optical disk
GB2207395A (en) Producing a pattern in a material

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

ENP Entry into the national phase

Ref document number: 2000 608372

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2000919854

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 2000919854

Country of ref document: EP

DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)