WO2001029282A2 - Fluid processing system - Google Patents

Fluid processing system Download PDF

Info

Publication number
WO2001029282A2
WO2001029282A2 PCT/US2000/028998 US0028998W WO0129282A2 WO 2001029282 A2 WO2001029282 A2 WO 2001029282A2 US 0028998 W US0028998 W US 0028998W WO 0129282 A2 WO0129282 A2 WO 0129282A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
flow
precursor
vapor
valve
Prior art date
Application number
PCT/US2000/028998
Other languages
French (fr)
Other versions
WO2001029282A3 (en
Inventor
James F. Loan
Jack P. Salerno
Michael F. Brunelli
Original Assignee
Cvd Systems, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/421,823 external-priority patent/US6296711B1/en
Application filed by Cvd Systems, Inc. filed Critical Cvd Systems, Inc.
Priority to AU12184/01A priority Critical patent/AU1218401A/en
Publication of WO2001029282A2 publication Critical patent/WO2001029282A2/en
Publication of WO2001029282A3 publication Critical patent/WO2001029282A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/122Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston
    • F16K31/1221Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a piston one side of the piston being spring-loaded
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/12Actuating devices; Operating means; Releasing devices actuated by fluid
    • F16K31/126Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like
    • F16K31/1262Actuating devices; Operating means; Releasing devices actuated by fluid the fluid acting on a diaphragm, bellows, or the like one side of the diaphragm being spring loaded
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations

Definitions

  • Chemical vapor deposition is a process of forming a film on a substrate, typically, by generating vapors from liquid or solid precursors and delivering those vapors to the surface of a heated substrate where the vapors react to form a film.
  • Systems for chemical vapor deposition are employed in applications such as semiconductor fabrication, where CVD is employed to form thin films of semiconductors, dielectrics and metal layers.
  • Three types of vapor delivery systems commonly used for performing CVD include bubbler-based systems, liquid-mass- flow-control systems, and direct-liquid-injection systems.
  • Bubbler-based systems essentially bubble a stream of gas through a heated volume of liquid precursor.
  • vapors from the liquid precursor are absorbed into the gas stream.
  • This mixture of gases is delivered to a process chamber, where the precursor vapor reacts upon a surface of a heated substrate.
  • Bubblers typically heat the volume of liquid precursor at a constant temperature. Over time, the constant heat often causes the precursor to decompose rendering it useless for CVD.
  • the bubbler is typically maintained at a temperature lower than that at which the vapor pressure of the liquid precursor is optimal.
  • Liquid mass flow control systems attempt to deliver the precursor in its liquid phase to a vaporizer typically positioned near the substrate.
  • the precursor is vaporized and is then typically entrained in a carrier gas which delivers it to the heated substrate.
  • a liquid mass flow controller which is a thermal mass flow controller adapted to control liquids, is used to measure and control the rate of flow of liquid precursor to the vaporizer.
  • Liquid mass flow controllers present a number of drawbacks.
  • most liquid mass flow controllers cannot operate at temperatures above 40°C, a temperature below which some precursor liquids, such as tantalum pentaethoxide (TAETO), have high viscosity. Due to its sensitivities, the liquid flow controller is accurate and repeatable to about 1% of full-scale liquid flow. Further, when a liquid mass flow controller wetted with TAETO or one of a number of other precursors is exposed to air, the precursor will generally react to produce a solid which may destroy the liquid flow controller.
  • TAETO tantalum pentaethoxide
  • Liquid pump-based systems pump the liquid precursor to the point of vaporization, typically at a position near the heated substrate.
  • Liquid pump-based systems are generally one of two main types. One type uses a liquid flow meter in line with a high-pressure liquid pump. The other type uses a high-precision, high- pressure metering pump. Both of these systems are extremely sensitive to particles in the liquid. The liquid-flow-meter based system is also sensitive to gas dissolved in the liquid. Both are extremely complex to implement, and neither can tolerate high temperatures (maximum 50°C). The system with the metering pump has difficulty vaporizing high viscosity liquids. Finally, both are generally difficult to implement in a manufacturing environment due to their extreme complexity and large size.
  • CVD equipment design is generally optimized for high process pressures.
  • the use of high process pressures is most likely due to the fact that, until recently, CVD precursors were either generally relatively high-vapor-pressure materials at room temperature or were, in fact, pressurized gases. Examples include tetraethylorthosilicate (TEOS), TiCl 4 , Silane, and tungsten hexafluoride, etc. These materials were chosen because they had high vapor pressures and could therefore be easily delivered.
  • TEOS tetraethylorthosilicate
  • TiCl 4 TiCl 4
  • Silane silane
  • tungsten hexafluoride etc.
  • Systems and methods are provided for processing and/or deposition of fluids that can be used for the fabrication of materials and structures for a variety of applications.
  • the system is well suited for use in the fabrication of devices for the semiconductor industry, but can also be used in other applications involving flow control, thin film deposition and processing.
  • the system can be used for precision manufacture of optical thin films such as anti -reflective coatings or stacked dielectric structures including optical filters, diamond thin films or composite structures for multichip modules or optoelectronic devices.
  • a CVD apparatus of this disclosure includes a vaporizer within a vaporization chamber and a dispenser positioned for dispensing a precursor to the vaporizer.
  • a delivery conduit joins the vaporization chamber with a process chamber, where a chemical vapor is deposited on a substrate.
  • a flow meter is positioned to measure vapor flow through the delivery conduit, and a flow controller is positioned to control vapor flow through the delivery conduit. Both the flow meter and flow controller are communicatively coupled with a processor programmed to control the flow controller to govern vapor flow through the delivery- conduit in response to the measured vapor flow.
  • the flow meter includes a tube with a pair of open ends, the flow meter acting as a laminar flow element.
  • the flow meter further includes a pair of capacitance manometers aligned with the open ends of the tube to measure the pressure drop across the laminar flow element.
  • the flow controller is a flow control valve in communication with the flow meter.
  • An additional embodiment of the apparatus includes a reservoir for supplying precursor to the dispenser.
  • the dispenser is controlled by the processor.
  • the vaporizer which receives precursor from the dispenser, includes a heated surface for vaporizing the precursor.
  • a pressure sensor communicatively coupled with the processor can be positioned in the vaporization chamber. Accordingly, the processor can, in some embodiments, control the rate at which vapor is generated by the vaporizer, by, for example, controlling the rate at which the dispenser dispenses precursor from the reservoir to the vaporizer.
  • an outlet of the delivery conduit is positioned in the process chamber, and a showerhead divides the process chamber into an upstream section and a downstream section, wherein the outlet is in the upstream section and a substrate chuck is in the downstream section.
  • An upstream pressure sensor is positioned to measure vapor pressure in the upstream section, and a downstream pressure sensor is positioned to measure vapor pressure in the downstream section. Both the upstream and downstream pressure sensors are communicatively coupled with a processor.
  • the showerhead is "active," enabling control over the vapor flow rate through the showerhead.
  • a preferred embodiment of the invention employs a valve system to precisely control fluid flow.
  • a valve system to precisely control fluid flow.
  • gas flowing through an orifice and the valve seat This relationship is dependent upon many variables, like temperature, pressure in the volume surrounding the valve orifice and seat, pressure downstream of the valve orifice, gas viscosity, valve seat geometry, and geometry of the orifice, for example.
  • a primary concern is the change in flow relative to the distance of the valve orifice from the valve seat.
  • the present invention uses the laminar flow pressure difference technique to measure flow. However, the flow is controlled by the position of the valve seat relative to the valve orifice.
  • the control loop is closed by observing the pressure difference between pressure transducers and changing the position of the valve seat relative to the valve orifice to maintain a constant flow.
  • the absolute position of the valve is not of primary interest for certain applications, only the resulting flow.
  • absolute position of valve seat to valve orifice is extremely repeatable (on the order of several microinches). This means that in some cases, the system can dispense with the flow measurement and rely solely upon the positional repeatability of the valve to attain repeatable flows.
  • the flow of gas through the orifice is a function of the distance of the valve seat from the valve orifice.
  • a heater in thermal contact with the delivery conduit, a DC or AC source connected to the substrate chuck, and an elevator for raising and lowering the substrate chuck.
  • a cluster tool for semiconductor processing including a CVD apparatus, described above, connected to a central wafer handler.
  • a precursor is vaporized in a vaporization chamber, vapor flow between the vaporization chamber and a process chamber is measured, and the rate of vapor flow between the vaporization chamber is controlled in response to the measured vapor flow.
  • the vapor pressure of a precursor can be measured, and the rate at which the precursor is vaporized can be controlled in response to the measured vapor pressure, for example, by controlling the rate at which precursor is dispensed from a reservoir onto a vaporizer.
  • the deposition can occur via a surface-driven reaction. Nevertheless, also covered are methods where deposition occurs via non-surface driven reactions.
  • a vaporizer which can be used alone or as part of the above-described apparatus, has a sloped surface, which can be in the approximate shape of a dome or cone. More specifically, the vaporizer can be in the form of a stepped cone. Further, a plurality of vaporizers can be coupled to a process chamber. Each vaporizer can be coupled to a respective vapor-delivery subsystem. This embodiment enables multiple depositions to be performed using different precursors in a single process chamber. Specific precursors that can be used with methods and apparatus described herein include copper sources, tantalum sources, titanium sources and silicon sources. Using this apparatus, silica can be deposited by reacting TEOS and N 2 O at temperatures less than 600°C.
  • the vapor delivery conduit can be in the form of a unitary metal block with a bore or channel through which the vaporized precursor flows from the vaporization chamber to the process chamber.
  • the block can include a laminar flow element mounted in the bore and a plurality of bores through which pressure sensors, a flow control valve and heaters are mounted.
  • the direct delivery of vapor flow into the process chamber at low temperature and low pressure and without a carrier gas increases the efficiency of use of costly precursors in many applications by a factor of up to 10 or more over standard systems utilizing a carrier gas, which infer precursor vapor flow rates either from a theoretical pickup rate, which is carrier-gas and temperature dependent, or from a thermal mass-flow controller or liquid delivery system.
  • emissions of unreacted process gases from the process chamber can be maintained at very low levels because the absence of a carrier gas and generally lower flow rates and better residence times leads to a higher utilization efficiency of the precursor.
  • decomposition of the precursor is limited due to its short contact time with the heated vaporizer.
  • Figures la and lb provide a schematic illustration of a CVD apparatus.
  • Figures lc and Id provide a schematic illustration of another embodiment of another CVD apparatus.
  • Figure 2a is a cross-sectional illustration of a vaporization subsystem.
  • Figure 2b is a cross-sectional illustration of another embodiment of a vaporization subsystem.
  • Figure 2c is a schematic illustration of a control system.
  • Figure 2d is an illustration, partially schematic, of a CVD apparatus including a plurality of vaporization subsystems coupled to a single process chamber.
  • Figure 2e is an illustration of a stepped vaporizer.
  • Figure 2f is a sectional view of an embodiment of a shut-off valve.
  • Figure 2g is a sectional view of another embodiment of a shut-off valve.
  • Figure 2h is a sectional view of yet another embodiment of a shut-off valve.
  • Figure 2i is a sectional view of a further embodiment of a shut-off valve.
  • Figure 2j is a sectional view of a further embodiment of a shut-off valve.
  • Figure 2k is a view, partially in section, of an embodiment of a throttle valve.
  • Figure 21 is a view of the throttle valve of Figure 2j shown from a different perspective.
  • Figure 2m is a view of a manifold incorporating shut-off valves and a throttle valve of this disclosure.
  • Figure 2n is a view of the manifold of Figure 2m shown from a different perspective.
  • Figure 2o is a view of a lower-half section of a manifold inco ⁇ orating shut- off valves of this disclosure.
  • Figure 2p is a view of the lower-half manifold section of Figure 2o shown from a different perspective.
  • Figure 2q is a view of a flow control valve of this disclosure.
  • Figure 2r is a view of components of the flow control valve illustrated in Figure 2q.
  • Figure 2s is a view of the motor and actuator mechanism of the flow control valve illustrated in Figure 2q.
  • Figure 2t is an exploded view of the actuator mechanism of the flow control valve illustrated in Figure 2q.
  • Figure 2u is a view of a ringed disk incorporating a closed inside ramp of this disclosure.
  • Figure 2v is a graph showing the relation of ramp height as a function of rotational position for a constant-slope ramp.
  • Figure 2w is a graph showing the relation of ramp height as a function of rotational position for a variable-slope ramp.
  • Figure 2x is a view of a ringed disk inco ⁇ orating a spiraled ramp of this disclosure.
  • Figure 2y is a view of a ringed disk inco ⁇ orating a pair of ramps having distinct profiles.
  • Figure 2z is a view of a flow control valve that uses a cam mechanism for actuating the valve.
  • Figure 3a is an illustration of a vapor-delivery subsystem.
  • Figure 3b is an illustration of another embodiment of a vapor-delivery subsystem.
  • Figure 3 c is a side view of a plurality of vapor-delivery subsystems of the apparatus shown in Figure 2d.
  • Figure 3d is a chart of a representative vapor pressure in a vaporizer (described herein) versus time.
  • Figure 3e is a chart of a representative vapor pressure exiting a vapor- delivery subsystem.
  • Figures 3f and 3 g illustrate measured flow and pressure in an operating module.
  • Figure 4a is a view, partially in cross section, of a process subsystem.
  • Figure 4b is a cross-sectional view of another embodiment of a process subsystem, with the substrate chuck in a retracted position.
  • Figure 4c is a cross-sectional view of the embodiment of Figure 4b, with the substrate chuck raised to a processing position.
  • Figure 4d is another cross-sectional view of the embodiment of Figure 4b, with the substrate chuck in a fully-extended position.
  • Figure 5a is an illustration of a shower head.
  • Figure 5b is a top view of a replaceable showerhead mounted within a ring.
  • Figure 5c is a cross-sectional side view of the showerhead and ring illustrated in Figure 5b.
  • Figure 5d is an illustration of a typical deposited layer formed in a cavity via PVD processes.
  • Figure 5e is an illustration of a typical deposited layer formed in a cavity via conventional CVD processes.
  • Figure 5f is an illustration of a deposited layer that can be formed with the apparatus and method described herein
  • Figure 5g is a graph of pressure differential across a chuck versus the position of the chuck in a process chamber.
  • Figures 6a, 6b and 6c are perspective views of one embodiment of the CVD apparatus.
  • Figure 7 illustrates the control architecture of the CVD apparatus of Figures 6a-6c.
  • Figure 8 illustrates one embodiment of the main process control routine.
  • Figures 9a and 9b illustrate the operation of an embodiment of the vaporizer sub-process.
  • Figure 10 illustrates the processing performed by an embodiment of the vapor phase flow control sub-process.
  • Figure 11 illustrates an embodiment of a process chamber pressure control sub-process.
  • Figures 12a through 12d illustrates an operation of inserting a substrate into the process chamber.
  • Figure 13 illustrates a cleanup sub-process.
  • Figure 14 illustrates an example portion of a schematic showing the closed loops present in one embodiment of a CVD apparatus.
  • Figure 15 is an illustration of a cluster tool.
  • Figure 16 illustrates multiple cluster tools configured to be controlled by a single factory automation controller.
  • Figure 17 illustrates multiple cluster tools, each controlled by separate cluster tool controllers which are in turn controlled by a factory automation controller.
  • Figure 18 illustrates an example of the processing steps performed by a cluster tool controller.
  • Figures 19a -19c are graphs of CVD source vapor pressure curves for various sources versus temperature.
  • Figure 20 is a graph showing the refractive index of a silica layer at various positions across the surface of a substrate formed by methods described herein.
  • Figure 21 is a graph showing the thickness of a silica layer at various positions across the surface of a substrate formed by methods described herein.
  • Figure 22 is a schematic illustration of a cluster tool for gate oxide deposition.
  • Figure 23 is a schematic illustration of a cluster tool for depositing aluminum and copper metallization films.
  • Figure 24a is a graph of vapor pressure versus source temperature for several copper sources.
  • Figure 24b shows copper pressure and flow values and chamber pressure as a function of time.
  • Figure 24c shows the vapor pressure as a function of temperature for various materials.
  • Figure 25 is a chart illustrating a relative cost comparison of copper sources.
  • Figure 26 is a cross-sectional illustration of a device for microelectronics applications.
  • a CVD apparatus 10 includes four integrated subsystems, including a vaporization subsystem 12, a vapor- delivery subsystem 14, a process subsystem 16, and an exhaust subsystem 18.
  • a distributed processing system described below, provides integrated control and management of each of these subsystems.
  • the distributed processing system and each of the subsystems 12, 14, 16 and 18 are all within a single free-standing CVD module 10 (illustrated in Figures 6a-c).
  • the dimensions of the CVD module generally will not exceed a 1 m by 2 m footprint and preferably are no greater than about 1.2 m in length by about 0.6 m in width by about 1.8 m in height to achieve conformity with existing standards for integration with a wafer handler such that the free-standing CVD module can fit within the typically allotted footprint in a larger cluster tool configuration.
  • the CVD module fits within a standard footprint, as established by MESC, the standard design architecture adopted by Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers, for connection to a wafer handler or transport module.
  • SEMI Semiconductor Equipment and Materials International
  • Each of boxes 103, 105, 107, 109, 111, 113, 115, 117 and 119 represents a separate control zone.
  • Each of the control zones is independently heated with a separate cartridge heater 121.
  • the temperature in each control zone and at other heated elements of the apparatus is monitored by a resistance temperature detector or resistance thermometry detector (RTD) 131, of which one embodiment is a platinum resistance thermometer.
  • the RTD can be encapsulated by a silicon nitride coating because of the heat conduction and low thermal mass of the silicon nitride.
  • thermocouples or other temperature sensing devices can be used in place of the RTD's.
  • the vaporization subsystem 12, illustrated in Figure 2a is designed to generate a controlled supply of precursor vapor for deposition.
  • the precursor initially in liquid or solid form, is stored in a reservoir 20 fabricated from a nickel- based alloy such as TNCONEL alloy or INCOLOY 850 alloy (available from Inco Alloys International, Inc., Huntington, WV).
  • the reservoir 20 is formed of 316L stainless steel.
  • a funnel 22 is provided at the base of the reservoir 20, with a dispenser in the form of a dispensing valve 24 at the focal point of the funnel 22 for dispensing precursor from the reservoir 20.
  • the dispensing valve 24 is an axial displacement pulse valve.
  • the dispensing valve 24 is a rotary valve.
  • the reservoir 20 is thermally insulated from the vaporization chamber 26, discussed below, and is maintained at a temperature below that at which the precursor will be subjected to significant decomposition.
  • multiple reservoirs 20 are provided, each filled with a different precursor and each feeding into the vaporization chamber 26. As each precursor is needed, the appropriate reservoir 20 can be utilized. Alternatively, multiple reservoirs 20 each feed into their own vaporization chamber.
  • a system for governing the supply of various precursors to a cluster tool 120 having one or more vaporization chambers 26 is illustrated in Figure 2c.
  • a cluster tool controller 802 is controlled by a programmable host computer or data processor 804, which sends high-level commands to a process module to govern the deposition process, including regulation of the delivery of precursors 806, 808, 810 for the deposition of titanium nitride, copper, and aluminum, respectively, for example.
  • the cluster tool controller 802 is further programmed by the host computer 804 to regulate a pair of modules for annealing/diffusion 812, 814 and a separate module for pre-heating and pre-cleaning 816.
  • a bus architecture that can include, for example, a ProfiBus data bus 818 in combination with an EtherNet / Epics data bus 820.
  • EtherNet / Epics data bus 820 Connected to the EtherNet / Epics data bus 820 is the cluster tool 120, allowing the cluster tool controller 802 to likewise govern operation of the cluster tool 120 to which the precursors from modules 806, 808, 810 are delivered.
  • the system further includes a console for monitoring operation of the system 822 and a console for system maintenance 824. Both consoles 822 and 824 are connected to the cluster tool controller 802.
  • the cluster tool controller 802 can, in relatively rapid sequence, select various precursors from module 808, 810 and 812 for delivery to one or more vaporization chambers 26 ( Figure 2a).
  • This capability allows for a sequencing of starting materials in a single system, thereby allowing for a rapid sequence of depositions of different layers on a substrate in process modules of the cluster tool 120. Additional details regarding the various components of Figure 2c, alternative embodiments thereof, and methods of using the same are described in greater detail below.
  • a vaporizer 28 that has ever-increasing surface area at distances away from the dispensing valve 24 (e.g., the vaporizer having the approximate form of a cone or a dome) is used to vaporize the precursor.
  • the vaporizer 28 functions as a falling film molecular still, in which a liquid precursor generates a wavefront flowing down the surface of the vaporizer 28.
  • the temperature of the vaporizer 28 is set to vaporize the precursor over the course of its travel across the vaporizer 28 surface. Contaminants with higher vaporizing temperatures will generally flow down the surface of the vaporizer 28 and fall off without vaporizing.
  • the vaporizer 28 can be in the form of an inverted cone and is positioned to receive precursor flowing from the dispensing valve 24.
  • the vaporizer 28 is made from a thermally-conductive material coated or plated, as required, for the best chemical compatability with the precursor.
  • the vaporizer 28 includes an electroless-nickel-plated OFHC substrate coated with a sulphamate nickel ove ⁇ late, which in turn is optionally coated with rhodium ove ⁇ lating for very high corrosion resistance and inertness.
  • the vaporizer 28 illustrated in Figures la and lb is designed for vaporizing a liquid precursor.
  • a multi- stepped-shape cone illustrated in Figure 2e, is used for solid precursors, wherein ridges are provided on the cone to collect the solid as it is delivered from the reservoir 20.
  • the width of each step 171 is 0.050 inch (1.3 mm), and the distance from the apex 173 to the first step 171' is 0.75 inch (19 mm).
  • One suitable embodiment of the vaporizer 28 illustrated in Figures 2a and 2b includes a cone with a height of 4.20 inches (10.7 cm) and a base diameter of 3.70 inches (9.4 cm).
  • the vaporizer 28 and the reservoir 20 are removable so that they can be cleaned and replaced during scheduled maintenance.
  • the vaporizer 28 is heated to a temperature sufficient to vaporize the precursor without causing it to suffer thermal decomposition.
  • the vaporizer 28 includes a plurality of bores 29. Heaters, e.g. , WATT-
  • FLEX cartridge heaters 90 (available from Dalton Electric Heating Co., Inc., Ipswich, MA) are inserted into four of these bores 29.
  • the heaters are 3.0 inches (7.6 cm) in length and 0.25 inches (6.4 mm) in diameter.
  • the heaters supply 50 watts at 24-25 VAC, and can be heated above 1000°C.
  • the heaters are operated in the vicinity of 200°C.
  • the vaporizer can be operated at least up to 250-300°C.
  • a platinum resistance thermometer is inserted into a central bore 31.
  • the vaporizer 28 is not intended to be used as a "flash vaporizer.” Rather, it is intended that the precursor will spread across the vaporizer 28 surface, from which vapors will evolve.
  • the vaporizer 28 offers the advantage of not being sensitive to small particles suspended in standard grades of liquid CVD precursor used in the semiconductor industry. In this embodiment, suspended particulates are left behind on the vaporizer 28.
  • a vaporization chamber 26 surrounds the vaporizer 28 and is made of OFHC copper plated with electroless nickel and sulfamate nickel and also rhodium if highly reactive or unstable precursors are used.
  • the vaporization chamber 26 includes a principal cylinder 30 and a vapor outlet 32.
  • the vaporization chamber 26 essentially serves as an expansion volume and reservoir for vapors produced by the vaporizer 28.
  • a pressure sensor 34 can be positioned in the vapor outlet 32 for measuring the vapor pressure in the vaporization chamber 26.
  • the pressure sensor 34 can be positioned in the principal cylinder 30.
  • the pressure sensor 34 is heated to about the same temperature as the vaporizer 28 during operation to prevent condensation of the vaporized precursor.
  • the pressure sensor 34 is coupled in a processor-driven control loop with the dispenser 24 to achieve a fairly constant pressure in the vaporization chamber 26. Because vapor flow in this system is driven by pressure differentials, the pressure in the vaporization chamber 26 is maintained above that in the process chamber 70. As pressure drops in the vaporization chamber 26, the dispenser 24 is signaled to dispense more precursor.
  • the pressure sensor 34 and dispenser 24 work in concert to maintain the pressure in the vaporization chamber in a range between the pressure in the process chamber 70, discussed below, and the standard vapor pressure of the precursor at the temperature of the vaporizer.
  • the response time for reestablishing the desired vapor pressure is typically about 10 seconds.
  • the pressure sensor 34 can be a capacitance manometer with a 1000 torr full-scale range, or other, similar direct-measuring gauge.
  • Figure 2b illustrates an alternative embodiment of the vaporization subsystem in which the base 21 of the neck 23 includes a groove, where the base is hollowed out to prevent thermal degradation of the precursor as it flows down rod 33 on the way to the vaporization chamber 26.
  • Heat from the vaporizer 28 travels through the walls of the vaporization chamber 26 and into the neck 23.
  • the inner wall 25 is spatially removed from the flow of precursor down the rod 33.
  • the hollowed out section extends approximately midway up the neck 21. It ends at angled surface 27, above which the inner diameter of the neck is constricted. Vapor flowing up into the hollowed out section is prone to condense on angled surface 27, which directs condensed vapors back toward rod 33.
  • the vapor pressure throughout the system is maintained at relatively low levels.
  • One reason why the system can be operated at low pressure levels is the close physical proximity of all of the subsystems. Accordingly, the vapors need travel only very short distances from vaporization to deposition. Because the vapor pressure and the velocity of the vapor are low, the transport of particles throughout the system is significantly reduced in comparison to higher pressure systems, such as those which use a carrier gas.
  • a plurality of vaporization subsystems similar to that illustrated in Figures 2a or 2b can be coupled to a single process chamber 70 through respective vapor-delivery subsystems, as shown in Figure 2d.
  • the apparatus of Figure 2d includes three vaporization subsystems 12, 12', 12", three vapor-delivery subsystems 14, 14', 14" and a process chamber 70.
  • Each of the components are covered with a layer of thermal insulation 199 to allow each element to operate thermally independent of one another.
  • each of the vaporization subsystems 12, 12', 12" can feed to a single vapor-delivery subsystem for delivery to the process chamber 70.
  • the lines 175 leaving each vapor-delivery-subsystem 14, 14', 14" are merged to form a single input into the process chamber 70.
  • one or more lines 175' can form completely separate inputs to the process chamber; such an embodiment is advantageous where the lines 175 are heated to different temperatures to prevent condensation therein or where vapors in the different lines 175 can react with one another.
  • each vaporizer is aligned with a dispenser filled with a different precursor.
  • the benefit of employing a plurality of vaporization chambers 12, 12', 12" is that each can be used to generate a distinct vapor that can be deposited in sequence on the substrate. Accordingly, multiple layers of differing compositions can be deposited on the substrate without ever moving the substrate from its position in the process subsystem 16.
  • one embodiment of an apparatus used for forming stacked gate dielectrics has one vaporization subsystem 12 with a reservoir 20 filled with TEOS for forming a silica deposit, a second vaporization subsystem 12' having a reservoir 20' filled with TAETO for forming a tantalum oxide deposit, and a third vaporization subsystem 12" having a reservoir 20" filled with TiBr 4 or TDEAT for forming titanium nitride.
  • the process commences with the generation of TEOS vapor in the first vaporization subsystem 12.
  • the TEOS vapor is reacted with N 2 O to form a low-k dielectric film (SiO 2 ) on a heated substrate.
  • a low-k dielectric film SiO 2
  • Background discussion of deposition of silicon dioxide from TEOS/N 2 O mixtures is provided in D. Davazoglou, "Thermodynamic Study, Composition, and Microstructure of Low- Pressure Chemical Vapor Deposited Silicon Dioxide Films Grown from TEOS/N 2 O Mixtures," 145 J. Electrochem. Soc. 1310 (April 1998), which is inco ⁇ orated herein by reference in its entirety.
  • the TEOS dispenser shuts off and vaporization commences in the second vaporization chamber 12', where TAETO vapor is generated and delivered to the process chamber 70, where the TAETO vapor is reacted with N 2 O to form a high-k dielectric film, tantalum oxide (Ta ⁇ ), on the first (SiO 2 ) dielectric film.
  • TAETO vapor is generated and delivered to the process chamber 70, where the TAETO vapor is reacted with N 2 O to form a high-k dielectric film, tantalum oxide (Ta ⁇ ), on the first (SiO 2 ) dielectric film.
  • TiBr 4 or TDEAT vapor is generated in the third vaporization chamber 12" and reacted with ammonia (NH 3 ) to form a very thin titanium nitride (TiN) deposit, which serves as a capping material for the tantalum oxide layer.
  • NH 3 ammonia
  • TiN very thin titanium nitride
  • the step of depositing TiN can be performed in a separate process chamber. Performing such a process in a single chamber is possible because the entire apparatus is designed to operate without a need for using plasma or other energy source to facilitate deposition.
  • plasma for example, is used to enhance deposition, films tend to be deposited on the walls of the chamber, thereby leading to cross-contamination if alternating sources were delivered to the same chamber.
  • the surfaces of the chamber remain clean with the methods described herein
  • the bodies of the vapor-delivery subsystems 14, 14', 14" illustrated in Figure 2d are formed of an aluminum block 197 with a flow path bored out of the interior.
  • Bores in the block 197 are also formed for accommodating heaters and components that are exposed to the flow paths, such as pressure sensors 34, 48, 50 and valves 42, 44, 159, 58, with fittings machined into the block 197 for mounting each of the components.
  • a laminar flow element is mounted between the pressure sensors in the through-bore through which the vaporized precursor flows.
  • the block 197 has a solid aluminum shell that is filled with tadpole- shaped aluminum particles such as T-101 aluminum tadpole particles (1.4-mm length and 0.6-mm thickness) from Transmet Co ⁇ oration (Columbus, Ohio, U.S.A.).
  • tadpole- shaped aluminum particles such as T-101 aluminum tadpole particles (1.4-mm length and 0.6-mm thickness) from Transmet Co ⁇ oration (Columbus, Ohio, U.S.A.).
  • valves including (a) a normally- closed shutoff valve (also referred to herein as "isolation valve") that would contact condensable, possibly-reactive materials (e.g., valves 42, 58, 155 158, 159 and 160 in Figures la- Id); (b) a downstream pressure throttle control valve for controlling chamber pressure, which can have positive shutoff capability (e.g., valve 83 in Figures la- Id); and (c) a high-precision upstream gas pressure control valve for controlling the flow of gases into flow meter 46 (e.g., valve(s) 44/44' in Figures la- Id).
  • a normally- closed shutoff valve also referred to herein as "isolation valve”
  • isolation valve for controlling chamber pressure, which can have positive shutoff capability
  • a high-precision upstream gas pressure control valve for controlling the flow of gases into flow meter 46 (e.g., valve(s) 44/44' in Figures la- Id).
  • valves in CVD apparatus 10 can be relatively small and thermally conductive (to promote uniform temperature in the conduits through which the vaporized precursor flows).
  • valves that might otherwise be suitable for the shut- off-valve application have been found unsatisfactory for many applications of this invention due to a limited thermal tolerance of the drive actuator mechanism (e.g., an inability to withstand temperatures above about 125°C due to a change in the permeability of soft iron in the solenoid) and/or a limited conductance due to the fact that those valves are designed for use under operating pressures ranging from 10 to several hundred psig and, accordingly, have orifices of only about 0.05-inch (1.3- mm) diameter.
  • a limited thermal tolerance of the drive actuator mechanism e.g., an inability to withstand temperatures above about 125°C due to a change in the permeability of soft iron in the solenoid
  • orifices of only about 0.05-inch (1.3- mm) diameter.
  • valves can have the capability to be uniformly heated and used at temperatures up to 300°C.
  • Shut-off, throttle, and flow control valves of this disclosure can also be of an "insertable" design, wherein the valves are directly inserted into a manifold defining a conduit for fluid flow with the valve plunger being reciprocally displaceable within the conduit. Because the insertable valves of this disclosure do not include a separate inlet and outlet that must be coupled with the existing flow path, the insertable valves reduce the length of the fluid flow path and reduce the generation of turbulence within that path.
  • valves can operate within an orifice of about 0.25 inches (6.4 mm) (compared to a typical valve orifice size of 0.002-0.015 inch (51-380 ⁇ m)), which provides a high conductance when used in the CVD apparatus 10.
  • the valves can also be small in overall size.
  • the valves have approximately the same mounting dimensions as the capacitance manometers, thereby allowing for use of the same surface mounting arrangement as is used for the capacitance manometers in CVD apparatus 10. By standardizing the interfaces of the valves and capacitance manometers, parts can be minimized, assembly can be simplified, and seal types that are available worldwide can be used.
  • the shut-off valves of Figures 2f through 2i are designed to accept a range of lengths of the valve plunger so that different geometries in the precursor delivery system can be accommodated.
  • each of the valves is inserted onto or into a block of metal that has been machined to accommodate it.
  • the valves can be normally-open valves, normally-closed valves, or dual- acting valves, depending on the position of the return- actuating spring (if used) and on the position of the actuating gas inlet.
  • the valves are generally made from aluminum (6061 in the first cases) for good thermal uniformity.
  • the body of the valves can be made from stainless steel or other similar materials including nickel-based alloys such as INCONEL alloy (Inco Alloys International) and its variants and HASTELLOY alloy (Haynes International, Inc.) and its variants.
  • the piston of each valve is made from the same material as the body to promote uniform thermal expansion of valve components.
  • the shut-off valve used in CVD apparatus 10 is formed of aluminum, which provides high thermal conductivity.
  • the aluminum is hard-coat anodized (per MIL -
  • the elastomers in the valve can be CHEMRAZ E38 seals (or other CHEMRAZ seals, depending on the application intended) from Greene, Tweed & Co. (Kulpsville, Pennsylvania, U.S.A.).
  • shut-off valve 240 illustrated in Figure 2f has a spring- loaded plastic dynamic seal 242, such as the ACCUSE AL "V" ACU600-0163 seal from Accuseal (Lakewood, Colorado, U.S.A.) or the VARISEAL Series M10 220-Z- T13 seal from American Variseal (Broomfield, Colorado, U.S.A.), acting as a linear wiping seal against a pneumatic piston 253.
  • a spring- loaded plastic dynamic seal 242 such as the ACCUSE AL "V" ACU600-0163 seal from Accuseal (Lakewood, Colorado, U.S.A.) or the VARISEAL Series M10 220-Z- T13 seal from American Variseal (Broomfield, Colorado, U.S.A.), acting as a linear wiping seal against a pneumatic piston 253.
  • Both of the above-cited commercially- available seals can withstand temperatures up to 300°C.
  • the VARISEAL seal has a jacket made from TURCON T13 material and
  • the piston 253 is reciprocally driven within a shell 255 by air pumped through actuating gas inlet 257.
  • the actuating mechanism provided by gas pumped into inlet 257 is counter-balanced by spring 263.
  • Seal 242 will operate with reasonable life expectation at temperatures up to 225°C.
  • Similar spring-loaded plastic seals are used as shaft seals 244 mounted around the shaft 254 of the piston 253.
  • the seals 244 have been found to work well at temperatures up to 200°C and with lower operating pressures (12-15 psig) in the pnuematic actuator.
  • the plunger 258 at the end of shaft 254 closes off and opens up vapor flow from inlet 259, through the valve, and out through outlet 261.
  • the plunger 258 is flush with the opening at inlet 259, vapor flow is halted.
  • the plunger 258 is retracted from the opening of inlet 259, flow through the valve is facilitated.
  • metal bellows 252 are used in place of the plastic dynamic seal 244 (shown in Figure 2f).
  • the metal bellows 252 allow for a higher operating temperature (up to about 300°C) and a higher actuating pressure.
  • the interface of the valve 250 with the block 261 is sealed with seal 262, which can be formed of an elastomer.
  • permeation through the seal 262 can be reduced by using a metal c-ring seal, such as the model H-306639 aluminum- jacketed seal from Garlock Helicoflex (Columbia, South Carolina). Similar metal seals such as those from Pressure Sciences, Inc. (Pittsburgh, Pennsylvania) can also be used.
  • the portion of the valve 240/250 that would actually close off the process gas flow can be fabricated into the body of the block 197 of the vapor-delivery subsystem 14 (shown in Figure 3c).
  • the number of seals is reduced, better thermal uniformity is ensured, a smaller footprint results, and a lower profile and a higher rate of gas flow through the valve is possible.
  • a KF seal type including metal carrier 264 and O-ring 262, can be used between the valve and the block 197.
  • the carrier 264 is welded to the bellows 252 to form a header for the end of the bellows seal that is exposed to process gases and other fluids.
  • a seal can be formed between the valve 240/250 and block 197 by welding the valve to the block.
  • the bellows 252 can be positioned externally, as shown in Figures 2h and 2ia.
  • the connecting shaft 254 is extended with an extension 256 coupling the shaft 254 with the plunger 258.
  • the connecting shaft 254 is designed so that extensions 256 of differing lengths can be attached to the end of the shaft 254, thereby allowing it to be used in areas that have different depths of engagement.
  • the throttle valve is used to limit gas flow between a process chamber (gas source) and any kind of vacuum pump (gas sink).
  • the throttle valve operates by changing the conductance between the gas source and the gas sink by one of the following mechanisms: (a) displacing a piston over an orifice (as in an MKS type 656 throttle valve), (b) moving a plate to obscure an orifice (as in a VAT type 64 throttle valve), or (c) by pivoting a plate in an orifice (as in an MKS type 153 or 253 throttle valve).
  • throttle valves are generally limited by their inability to withstand temperatures above 200°C, and by having a design suited for levels of conductance that are much higher than is needed for many applications of this disclosure.
  • the high level of conductance in known valves typically sacrifices the ability of known high-conductance valves to closely regulate flow.
  • Throttle valves of this disclosure use the same basic design as the shut-off valves of Figures 2f-2i in terms of the following elements: the seal and bellows actuator assembly, the valve shaft, the flange sealing interface of the valve and the block, and the sealing valve nose.
  • the throttle valves illustrated in Figures 2k and 21 use a stepper motor 266 coupled to a ball screw 267 as an actuator, whereas the illustrated shut-off valves of Figures 2f-2i use a pneumatically-driven actuator. Consequently, the inside process wetted valve mechanisms of the two valve types are essentially the same, while the actuator means/mechanisms differ.
  • the stepper motor 266 has a hollow motor core, which reduces the overall height of the valve. The hollow core allows the ball nut to rotate and induces the ball screw to move in and out through the hollow core.
  • the screw 267 is fed through the motor 266 and allows the ball nut 268 to be bolted directly to the face of the stepper motor 266.
  • a suitable commercially-available motor is the IMS M3-2220-IOS (inside-out stepper) motor manufactured by
  • the stepper motor 266 is isolated from the heat of the bellows-sealed valve body 269 so as to maintain the stepper motor 266 at temperature less than about 60°C. Removing the stepper motor 266 from the heat in the flow passage helps to ensure that the motor 266 does not overheat.
  • This thermal isolation is achieved by leaving an air gap across a volume defined by an insulation ring 270 (a section of which is illustrated) formed of ULTEM polyetherimide from General Electric (Schenectady, New York, U.S.A.). The distance across the gap (between the stepper motor 266 and valve body 269) is about 0.5 inch (1.3 cm) for a typical 200 °C application.
  • a heat sink 271 (a section of which is shown in the illustration) dissipates conducted heat from the heated zone if the valve and the self-heating aspect of the stepper motor 266. Additionally, radiation shielding or a larger or smaller air gap can be provided to regulate thermal exposure of the motor 266, depending on the application.
  • the throttle valve can further include heaters and insulation inco ⁇ orated into the body 269 of the valve. The insulation helps to prevent undesirable radiative and convective losses. Further, the throttle valve can include a linear voltage differential transformer (LVDT) inco ⁇ orated into or onto the actuating shaft 254 or ball screw 267. The LVDT provides the operator with a direct measurement of the position of the valve.
  • LVDT linear voltage differential transformer
  • a suitable LVDT which provides a relatively short operating stroke of about 0.5 inches (13 mm) and has a resolution down to 1 micro-inch (25 nm), but which is usable at a resolution of 50 micro-inches or more, is model CD 375-025, manufactured by the Macro Sensors division of Howard A. Shaevitz Technologies, Inc. (Pennsauken, New Jersey, U.S.A.).
  • Other means that can be used to determine valve position include some kinds of rotary encoders (relative or absolute), step counting from one reference point like a limit switch; and step counting between two or more limit switches.
  • the body of the throttle valve 269 is inco ⁇ orated into a heated manifold 280 that accommodates all of the other valves 44 in the apparatus that are to be heated but which are not integrated into an otherwise heated body such as the block 197 (see Figure 3c), the vaporization chamber 26 or process chamber 70 (see Figures la-Id).
  • the manifold 280 illustrated in Figures 2m and 2n, is mounted to the outlet of the process chamber 70 (between the process chamber 70 and the vacuum 95 in Figure Id).
  • the manifold 280 includes an upper-half section 283 and a lower-half section 284, which can be joined via screws, for example.
  • the upper-half section 283 defines cavities within which valves, such as shut-off valves 44, can be mounted.
  • Each of the valves 44, 83 in the manifold 280 has its own inlet 281 (see Figure 2n) and communicates with a common outlet 282.
  • Figures 2o and 2p illustrate a lower-half section 284 of a manifold with flow control valves 44 mounted at three of the ports.
  • the lower-half section 284 of the manifold 280 defines the fluid flow passage, which extends through the manifold 280 and exits through the outlet 284.
  • the flow control valve 44 (shown, in context, in Figures lc-ld) is used upstream from the process chamber 82, where it modulates the flow of gas from the vaporization chamber 26.
  • the main practical difference between the downstream pressure-control throttle valve 83 and the upstream flow control valve 44 ( Figures lc and Id) is that the flow control valve 44 offers a much more precise degree of flow control due to the very fine control exercised by an actuator over the position of a plunger relative to the valve inlet. In this case, flow is controlled by changing the position of a piston over an orifice, thereby changing the flow of gas between the orifice and the volume surrounding the orifice.
  • FIG. 2q provides an illustration of a flow control valve 44 of this disclosure.
  • the flow control valve 44 utilizes a stepper motor 266 optionally coupled with a gear box 286 containing an arrangement of reducing gears.
  • a rotary drive shaft extends from the gear box 286 into actuator body 293, the contents of which are better illustrated in Figures 2r-2t.
  • the motor's rotary drive shaft is axially fixed via coupling 289 to axle 306 (shown in Figures 2s and 2t) upon which is mounted ball bearings 290 (both of which are shown in Figure 2t) and a disk 276 with a ring that contains a circular inside ramp.
  • each of the elements e.g, bearing 290, yoke 287, disk 276, and end plate 291
  • the spacing along axle 306 between each of the elements is 0.01 inch (0.25 mm).
  • the disk 276 is fixed to the axle 306 by a screw threaded through orifice 307.
  • the ball bearings 290 are rotatably mounted to the actuator body 293, the outline of which is illustrated in Figure 2q.
  • the ball bearings 290 support the axle 306, thereby reducing the moment on the motor's rotary drive shaft.
  • the circular inside ramp 272 (best illustrated in Figure 2u) likewise rotates along with the disk 276.
  • a ball bearing 274 (shown in Figures 2r-2t) resting on the ramp 27 at a fixed lateral position rises and falls, depending on the direction of rotation.
  • the ball bearing 274 is rotatably mounted on a post 299 (shown in the exploded view of Figure 2t), wherein the post 299 is rigidly mounted to a yoke 287. Axial (in this case, vertical) motion of the ball bearing 274 is thereby directly translated to the yoke 287.
  • the yoke 287 further includes a central orifice within which the axle 306 rotates without hindrance but constrains the yoke 287 from any twisting motion about the axle 306.
  • Bronze bushings 292 are affixed to each end of the yoke 287. As shown in Figure
  • one of the bushings 292 couples the yoke 287 with an adjuster 294, which in turn is coupled with a shaft 254.
  • the shaft 254 is then coupled with a second adjuster 294'.
  • linear bearings are used in place of bronze bushings 292.
  • One of the adjusters 294/294' is a right-hand screw, while the other adjuster 294/294' is a left-hand screw.
  • a standoff valve 296 provides a spacing between the motor/actuator assembly and the hot fluids passing on the opposite side of the seal assembly 295 and regulated by the reciprocable plunger 258.
  • the length of extension of the standoff valve 296 can be set as a function of the desired operating temperature.
  • the illustrated embodiment has a 4-inch long standoff valve, which allows it to be used in systems where the regulated fluid has a temperature of about 300°C.
  • the flow control valve 44 can be a custom high-temperature valve from MKS Instruments (Andover, Massachusetts) based on their Type 148J valve fitted with a custom coil rated for operation up to 200°C.
  • the Type 148J valve can have a larger than normal orifice, which accommodates the low flows and low pressure drops across the orifice.
  • the MKS Type 148J valve has very rapid response in that it essentially comprises a solenoid coil that develops a magnetic field that is applied as an attractive force to a spring-loaded moving piston. One end of the moving piston is positioned on or near an orifice.
  • Moving the piston (pulling it back from the orifice by changing the attractive force of the magnetic field developed by the coil) changes the gap between the piston and the orifice and, thereby, changes flow.
  • a trade-off is made, speed of response against length of linear stroke of the moving piston.
  • the MKS 148J valve has a very rapid response (milliseconds), but has a stroke limited to about 0.025 inches (0.64 mm).
  • the flow control valve as shown at 44 has an orifice between about 0.05 mm and about 12 mm.
  • One flow control valve of this disclosure has a moderately large orifice (e.g., about 6 mm diameter), a high temperature tolerance (e.g., up to 400°C) at the orifice provided by comprising the structure of stainless steel or INCONEL alloy, a small footprint (typically about 1.5 in. x 1.5 in. (3.8 cm x 3.5 cm)), and a resistance to the chemicals that it will be exposed to at its wetted surfaces.
  • the flow control valve also has the same basic design as the shut-off valve of Figures 2f-2i in terms of the seal and bellows actuator assembly, the valve stem, the flange sealing interface, and the sealing valve nose. Additionally, greater position resolution can be provided by using the same type of stepper motor as is used in the throttle valve, above, as well as the same type of ball screw, ball nut, and air gap insulation, with a tradeoff of size for finer resolution.
  • the flow control valve need not provide a sealing function and, accordingly, does not need to have an elastomer at the valve nose. By eliminating the sealing elastomer, the uncertainty of introducing another variable into the positioning repeatability of the valve is eliminated.
  • the flow control valve has a nose with a flat or slightly convex surface formed of a metal, such as INCONEL alloy, or alternatively, if some limited shutoff capability is desired, a material that has a limited elastic deformation feature, of high-temperature plastic, such as VESPEL polyimide from E. I. du Pont de Nemours and Company (Wilmington, Delaware, U.S.A.) or PYROPEL polyimide from Albany International Research Co. (Mansfield, Massachusetts, U.S.A.)
  • the flow control valve uses the same direct-drive mechanism as is used in the throttle valve, i.e., a stepper motor 266 to a ball screw 267 (used as a linear actuator), as illustrated in Figure 2j, and connected to the upper flange of the isolating bellows.
  • An LVDT coupled with the shaft 254 provides direct, absolute measurement of the position of the flow control valve. The LVDT further allows the position of the shaft to be determined at the start of a cycle or at any other time when the initial rotary position of the inclined ramp may be unknown.
  • the height of the rotary inside ramp or guide 272 illustrated in Figure 2u is an essentially linear function with respect to degree of rotation; note, however that the ramp 272 is tapered near its peak proximate to the start of the ramp 272. Further, the magnitude of the slope of the ramp 272 over the length of the ramp 272 can be changed to thereby change the full scale stroke of the valve shaft 254.
  • the ramp or guide 272 can be contoured in a non-linear manner in accordance with a function that makes the change in fluid flow past the valve seat proportional with rotation of the disk. Consequently, changes in flow rate accompanying fixed changes in rotational position of the inside ramp 272 are linearized over the range of disk rotation.
  • the slope of the ramp 272 is designed to increase sensitivity under certain flow requirements. For example, a ramp for the first 75% of the ramp 272 can be provided in the range of 0-10% of possible flow, while the ramp 272 becomes steeper over the remaining 25% to provide proportional changes in conductance over the length of the ramp 272.
  • the approximate contour of one embodiment of such a ramp is graphed in Figure 2w.
  • FIG. 2z A side view of another flow control valve with a stepper motor, reducing gear and the closed inside ramp is illustrated in Figure 2z.
  • the valve of Figure 2z differs from that of Figure 2q in that the valve of Figure 2z uses a cylender with an accentric bore 298 in place of the rotary inside ramp.
  • an advantage of a valve with a rotary inside ramp 272 (as shown in Figure 2q) beyond the fine degree of control over shaft reciprocation is that the inside ramp 272 can provide nearly a full circle (e.g., about 350°) or more of reciprocation, whereas the illustrated cam of Figure 2z offers only 180° of reciprocation.
  • rotary inside ramp Another advantage offered by the rotary inside ramp is that it can provide a high level of reduction (particularly when the ramp has a very small slope) without the large amount of mass associated with gear reduction.
  • the smaller mass of the inside ramp enables more-rapid valve response.
  • a valve of this disclosure which utilizes an inside ramp, can cycle from a full-valve- open position to a full-valve-closed position (or vice versa) in about 50 to 100 ms.
  • a valve utilizing worm-gear reduction typically requires a couple seconds to cycle between full-open and full-closed positions.
  • the reduced mass of the actuator mechanism (including the inside ramp) enables a stepper motor driving the inside ramp to be positioned at a position relatively remote from hot fluids passing through the valve without raising the overall mass of the actuator mechanism to a level where the valve cannot be rapidly actuated. Consequently, the valve can be effectively operated to regulate fluids at 200-500°C.
  • Applications for this valve that are rendered suitable by the valve's heat tolerance include the regulation of fluids in a nuclear reactor and the regulation of superheated steam in a refinery.
  • valves with the inside ramp can be operated to govern displacement rates since the desired displacement profile can be designed into the slope of the ramp, as discussed above.
  • the slope of the ramp can change as a function of rotary position (see graph in Figure 2w) to increase or decrease the rate of shaft displacement without changing the rate of rotation of the inside ramp.
  • the profile of the ramp can be selected to displace the shaft of the valve in accordance with a function matching known conductance values so that conductance through the valve varies linearly with changes in the rotary position of the inside ramp.
  • the slope of the ramp can be decreased at positions of interest where finer degrees of control are desired.
  • the total rise in the ramp, and corresponding range of axial displacement of the valve shaft is about 0.025 - 0.1 inch (0.6-2.5 mm), typically about 0.05 inch (1.25 mm).
  • the rotary position of the inside ramp can be controlled with a hand crank, for example, that is axially coupled with the ramp.
  • the ramp may extend for substantially more or less than one rotation of the circle.
  • the structure of Figure 2y includes two ramps 272, 272' of different profiles/slopes within the ring of the disk 276. Each ramp 272, 272' extends along nearly 180° along the inside of the ring of the disk 276 before reaching a tapered end portion at its peak.
  • the operator or automated software can select between the two ramps 272, 272' on the basis of which of the two valve positional-closure functions associated with the ramps is desired for a given application.
  • the inside ramp 272 extends in a spiral over about 1000° of rotation.
  • the spiraled inside ramp can provide a long valve stroke without sacrificing precision and without significantly increasing the overall size of the actuator mechanism.
  • the ball bearing 274 on shaft 299 (coupled to a yoke structure like 287) travels on the inside inclined surface.
  • FIG. 3a An embodiment of a vapor-delivery subsystem 14 is illustrated in Figure 3a. All items in the vapor-delivery subsystem 14 are enclosed in a heated conductive sheath, preferably of aluminum, which heats the items to approximately the same temperature as the vaporizer 28.
  • the conductive sheath has a 3-inch by 3-inch (7.6- cm x 7.6-cm) square cross-section with a bore of just over 1-inch (25 mm) diameter in the center to accommodate the delivery conduit 40.
  • the conductive sheath includes casts of pressures sensor 48, 50 and other instruments, allowing the conductive sheath to conform to the exterior shape of the vapor- flow subsystem.
  • the conductive sheath includes bores into which heaters, e.g.
  • a delivery conduit 40 joins the vaporization chamber 26 and the process chamber 70. Preferably, neither the length of the delivery conduit 40 nor the distance between the vaporization chamber 26 and the process chamber 70 exceeds 25 cm.
  • the shut-off valve 42 seals the vaporization chamber 26 from the delivery conduit 40. In elements, such as the shut-off valve 42, which must withstand high temperatures, all elastomer seals are a special high temperature material, such as CHEMRAZ E38 seals (or other
  • CHEMRAZ seals depending on the application intended) from Greene, Tweed & Co. (Kulpsville, Pennsylvania, U.S.A.).
  • DuPont KALREZ 8101, SAHARA or DRY seals may also be used but have been observed to offer poorer thermal stability relative to the CHEMRAZ seals.
  • These o-ring seals can have dimensions meeting the standards established by the International Organization for Standardization (ISO).
  • the flow control valve 44 shown in Figure 2q is designed to withstand high temperatures, provide high conductance and provide chemical compatibility with wet precursors.
  • a plurality of valves 44' connected in parallel, as illustrated in Figure la can be used in place of a single flow control valve 44.
  • the flow control valve 44 is positioned downstream from the shut-off valve 42 and is upstream from a flow meter 46 consisting of a pair of pressure sensors 48, 50 and a laminar-flow element 54.
  • the laminar-flow element is an open-ended tube 54 inserted through an orifice in an otherwise solid block 56 blocking flow through the delivery conduit 40.
  • the tube 54 has a length of 8.0 inches (20 cm), an outer diameter of 0.375 inches (9.5 mm), and an inner diameter of 0.280 inches (7.1 mm).
  • the tube 54 is oriented concentrically with and within the delivery conduit 40.
  • the pressure drop across the tube 54, as vapor flows through the delivery conduit 40 is on the order of 0.1 torr.
  • the delivery conduit 40 has an internal diameter (I.D.) that is larger than that of pipes conventionally used for vapor precursor delivery in existing CVD systems.
  • the internal diameter of delivery conduit 40 can be between 12 and 40 mm. More specifically, the internal diameter can be about 25 mm. The use of such a wider-I.D.
  • conduit for vapor transport between the vaporization chamber 26 and the process chamber 70 permits higher conductance for the vapor flow therein and, consequently, allows for adequate vapor flow at lower pressures.
  • the vaporized precursor is delivered to the process chamber 70 through conduit 40 at no more than 50% dilution.
  • the vaporized precursor can be delivered to the process chamber in a substantially undiluted state (i.e., less than 10% dilution). Further, the vaporized precursor can be delivered in an intrinsically pure form.
  • Additional conduits 141 can also be provided to deliver vaporized precursors from other vaporizers to the process chamber 70.
  • Each of a pair of pressure sensors 48, 50 (e.g., capacitance manometers) is respectively aligned with an open end 57/59 of the tube 54. Accordingly, the difference in pressure measurements from the two pressure sensors 48, 50 will reflect the pressure drop across the tube 54, thereby allowing the rate of vapor flow through the tube 54 to be calculated.
  • a capacitance manometer is an electronic gauge providing a direct measurement of pressure in the delivery conduit 40. Where capacitance manometers are used, each manometer preferably has the same full- scale range, typically 10 torr.
  • Suitable capacitance manometers include a specially- constructed Baratron ® 121-based absolute pressure transducer (available by special order from MKS Instruments) and the model 622 Barocel ® bakeable vacuum/pressure transducer (available from Edwards High Vacuum International, Wilmington, MA).
  • the Baratron ® transducer is specially built and calibrated to operate at 200°C, in comparison to a standard Baratron ® transducer, which is typically limited to 150°C.
  • the transducers are modified to remove all unnecessary mass and to promote uniform temperature distribution across the transducer. Accordingly, as a first step, the cover or shell of the transducer is removed. To do so, the cables attached to the Baratron ® transducer are removed, the shell of the transducer is removed and discarded, and the cables are shortened and reattached. The housing support ring is also removed and discarded. Further, the port of the transducer is removed. Its length is machine cut, and it is then reattached. The electronics of the transducer are then re-calibrated to match the changed capacitance of the modified transducer. While the Barocel ® transducer is available, off the shelf, for use at 200°C, as with the Baratron ® transducer, the case of the Barocel ® transducer is removed, and its cables are removed and replaced.
  • the solid block 56 surrounding the laminar flow element 54 extends further toward the ends of the laminar flow element 54.
  • the volume of open volume surrounding the laminar flow element 54 is reduced. This open volume is generally considered to be "dead space.” Reduction of this dead space is thought to provide a more direct and efficient flow path through the delivery conduit 40. Further still, all or nearly all dead space can be removed as the block 56 and the laminar flow element 54 essentially form a single tubular component such that vapor flowing through the conduit 40 will hit a wall at the capacitance manometer 48 and be directed through a bore, which acts as the laminar flow element 54, within that wall.
  • a heated aluminum sheath 55 which is in thermal contact with the delivery conduit 40 and other components of the precursor delivery system.
  • the flow control valve 44 is coupled with the flow meter 46 in a processor- driven control loop to regulate the flow of vapor through the delivery conduit 40. Accordingly, the flow meter 46 provides feedback regarding the pressure differential in the delivery conduit 40, and this feedback is used to direct the flow control valve 44 to increase or decrease flow, which in turn, will respectively increase or decrease the pressure differential in the delivery conduit 40, as measured by the flow meter 46. This responsive regulation of the flow control valve 44 is continued until the pressure differential, as measured by the flow meter 46, matches that which is needed to supply the precursor at the desired rate for reaction in the process chamber 70.
  • a single differential pressure transducer capacitance manometer which measures a pressure drop across the laminar flow element, can be used along with a single absolute pressure transducer in place of the pair of capacitance manometers.
  • Other alternative means for inducing a predictable pressure drop include a choked flow element or a molecular flow element in place of the laminar flow element.
  • the vapor-delivery subsystem 14 further includes a second shut-off valve 58 positioned downstream from the flow meter 46. Charts showing representative vapor pressure over time at the inlet of the vapor-delivery subsystem 14 is provided in Figure 3d, while representative source flow at the outlet of the vapor-delivery subsystem 14 is provided in Figure 3e. Figures 3f and 3g illustrate measured flow and pressure in an operating system.
  • a process gas subsystem 150 supplies additional reactant, purge and other process gases to the process chamber 70.
  • the illustrated subsystem 150 includes sources of argon 152, helium 154, and nitrous oxide (N 2 O) 156. Gas flow from each of these sources is regulated by a plurality of valves 162/164/169 and 161/163/168 with a mass flow controller 165/166/167.
  • nitrous oxide from source 156 flows through valve 157 into process chamber 70 through exit port 143 for reaction with the vaporized precursor delivered through delivery conduit 40.
  • Other gas sources or reactants may be used for deposition of other specific materials.
  • argon from source 152 flows through valve 157 into process chamber 70 to purge the chamber 70.
  • valve 160 By opening valve 160 in conjunction with at least one of valves 155, 158 or 159, particular subsystems or segments of CVD apparatus 10 can be independently isolated and evacuated or backfilled. Additional reactant sources, including plasma-ionized gas can be linked into the process gas subsystem in parallel and with or without the nitrous oxide for delivery to the process chamber 70.
  • Helium from source 154 is delivered through valve 157 into process chamber 70, where it is channeled through a conduit for release between a substrate chuck 74 and a substrate 88 upon which vapors are deposited to improve the transfer of heat between the substrate chuck 74 and the substrate 88.
  • the process subsystem 16 is designed to perform the actual deposition of reacted precursor vapor onto a substrate.
  • the process subsystem 16, illustrated in Figure 4a, includes a process chamber 70, a showerhead 72 and a substrate chuck 74.
  • the process chamber 70 typically is formed of electro less-nickel- and sulphamate-nickel-plated 6061 aluminum and is operated between 50°C and 300°C.
  • the process chamber 70 includes an access port 123, which can be joined to a wafer handler or cluster tool for transporting wafers into and out of the process chamber 70.
  • a gate valve 125 is mounted to the access port 123 for controlling access there through.
  • the process chamber 70 further includes an inlet port 76 in an upstream section 78 of the chamber 70 and an exhaust port 80 in a downstream section 82 of the chamber 70 through which vapor flow is managed.
  • An outlet of the delivery conduit 40 projects into the chamber 70 through the inlet port 76, while the exhaust port 80 is connected to the exhaust subsystem 18.
  • a pressure sensor 51 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the upstream section 78.
  • At least one other pressure sensor 53 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the downstream section 82.
  • a showerhead 72 segregates the process chamber 70 into upstream and downstream sections 78, 82.
  • the showerhead 72 comprises electroless-nickel- and sulphamate-nickel-plated 6061 aluminum and is in the form of a flat, circular plate with passages 84 for gas flow.
  • the showerhead 72 is either passive, as illustrated in Figure 4a, or active.
  • An "active" showerhead is a showerhead which undergoes a change to alter the rate at which vapors flow through it.
  • the active showerhead can include an array of phase-change eutectic milliscale valves in place of the small holes 84 illustrated in Figure 5a.
  • valves which are available from TiNi Alloy Company (San Leandro, CA), are made of a thermal- phase-change material comprising a micromachined titanium and nickel alloy.
  • the valves which, in one embodiment, are about 0.1 inch (2.5 mm) in diameter, can be formed in situ on the showerhead plate en masse. The valves open when current is applied. The valves react in milliseconds, so they can be used in real time. They can also be used to effect dynamic patterns of valve actuation, e.g., sweeping action, pulsing, spots, etc.
  • the showerhead 72 is a smaller plate with a diameter approximating that of the substrate 88.
  • FIG. 5b This embodiment is shown from a top view in Figure 5b and, in cross-section, from a side view in Figure 5c.
  • the showerhead 72 is replaceably fitted into a larger ring 73 and is no larger than a confined process volume, described below. Accordingly, various showerheads may be exchanged in the larger ring for use with different sized substrates and with different process conditions.
  • the use of smaller showerheads reduces cost, provides greater flexibility in processing, and concentrates the flow of process gases exclusively into the volume immediately above the substrate 88.
  • a substrate chuck 74 positioned in the downstream section 82, comprises electroless-nickel-plated OFHC copper, with an electroplated sulphamate nickel ove ⁇ late, and, optionally, an overcoat of a flame-sprayed aluminum oxide or other, similar insulating ceramic.
  • the substrate chuck 74 is secured with Hastalloy screws and lock washers and is designed to hold a substrate 88 upon which the precursor is to be reacted.
  • the substrate chuck 74 includes a plurality of bores 75 radiating outward and into the substrate chuck 74.
  • a platinum resistance thermometer or thermocouple is inserted through one of the bores 75 to measure the temperature of the substrate chuck 74
  • the substrate chuck 74 is heated by Watt-Flex ® cartridge heaters (available from Dalton Electric Heating Co., Inc., Ipswich, MA) inserted into the remaining bores 75.
  • the heaters are 2 to 3 inches (5.1 to 7.6 cm) in length and 0.25 to 0.5 inches (6.35 to 12.7 mm) in diameter.
  • the heaters supply 225 watts at 208 VAC, and can be heated above 1000°C. Comparable wattage heaters at 24-25 VAC can alternatively be used.
  • the heaters are typically operated at a maximum of 650°C, and, more commonly, around 300- 500°C.
  • temperatures are considerably lower than the temperatures to which a substrate is typically heated in conventional thermal CVD processes, i.e., 800- 1300°C.
  • the reason why the substrate can be operated at lower temperatures is that the vaporized precursor is provided at higher concentrations at the substrate due to the absence of a carrier gas, the shorter delivery paths, and the higher conductance of the conduits.
  • the substrate can be heated by a laser, an ion beam, an electron beam and/or photon- assisted energy sources.
  • the substrate is heated to a temperature higher than the temperature of the walls of the process chamber.
  • a DC or AC bias is supplied to the substrate chuck 74 by a voltage source 79.
  • the elevator shaft can also be biased in order to provide electrical bias across the substrate.
  • the electromagnetic field generated by the bias can influence the crystalline structure of the thin film as it grows on the substrate. It has been shown that an otherwise uniform film (with a lattice orientation of ⁇ 100> for example) can be induced to grow in a different crystalline structure ( ⁇ 111> for example). In some cases, a film is induced to grow in a gradient from one structure (e.g., ⁇ 100>) to another (e.g., ⁇ 111>) by applying either a DC or AC bias to the substrate 88 relative to the rest of the chamber.
  • a ceramic ring is used to electrically isolate the substrate chuck 74 from the process chamber 70 and other components within the process chamber 70, which are held at ground.
  • a substrate 88 e.g., a silicon semiconductor wafer, is mounted on the substrate chuck 74 and is subject to the generated DC or AC bias.
  • a mask (or clamp) 94 extends down from the showerhead 72 and forms a ring which masks the outer 0.5 to 3.5 mm or more but more typically 1.5 to 2.0 mm from the edge of the substrate 88.
  • the mask 94 also shrouds the edge of the substrate 88 and prevents CVD from occurring on the edge or underside of the substrate 88.
  • the mask 94 is formed of a material having very low thermal conductivity to minimize heat loss to any area, other than the substrate, that is exposed to unreacted process gas.
  • the mask can be formed of either Incoloy ® 850, Elgiloy ® (available from Elgiloy Ltd. Partnership, Elgin, IL) or molybdenum and, optionally, includes a coating of either aluminum oxide (Al 2 O 3 ), silicon dioxide (SiO 2 ) or other, similar dielectric material.
  • the mask 94 is formed of ceramic. When the substrate chuck 74 is lowered, the mask 94 is suspended above the substrate 88.
  • a flow shield 77 extends down from the showerhead 72 and forms a ring within which the substrate 88 is positioned.
  • the flow shield 77 channels the flow of reactant gases through the showerhead 72 and across the exposed face of the substrate 88.
  • the substrate chuck 74 is raised and lowered by an elevator 96, upon which the substrate chuck 74 is mounted.
  • the elevator 96 is electrically isolated.
  • the elevator 96 is powered by a stepping motor 97, with the power being transmitted by a drive shaft 99.
  • the position of the elevator 96 is continuously adjustable over a range from fully retracted to fully extended, providing a working stroke of about 70 mm.
  • the changing position of the substrate chuck 74 is measured by a linear voltage differential transformer 101, which can measure the height of the elevator with sub-micron precision.
  • the substrate chuck 74 when raised and lowered by the elevator 96, can be used as a throttle valve controlling the flow rate through the showerhead 72.
  • the vertical position of the chuck 74 can also be changed to modify the microstructure and properties of the deposited film.
  • the showerhead 72, the mask 94, the replaceable gettering ring 89, the flow shield 77 and the substrate 88 are positioned to cooperatively define a confined process volume to which the vapor precursor and, if required, reactant gas are delivered and in which deposition will occur.
  • the diameter of this volume i.e., as defined by the mask 94
  • the height (or depth) of the volume is a function of the position of the elevator, which governs the height of the substrate 88. This volume, where processing occurs, is much smaller than that of conventional CVD reactors and, consequently, improves the efficiency of deposition on the substrate.
  • Deposition occurs when process gases contact the heated substrate 88 and react to form a solid thereon.
  • Deposition at the surface of the substrate can be rate-limited either by the rate of precursor transport or by the rate of reaction at the surface.
  • PECVD plasma-enhanced, plasma-assisted or plasma- promoted vapor deposition
  • the limiting factor is the rate of precursor transport. Consequently, the rate of surface reaction will be sub-optimal and the vaporized or dissociated precursor will tend to react and deposit in a line-of-sight manner on the first hot surface that it contacts.
  • the use of a plasma causes vapor-phase reactions which also mitigate against conformal coverage on the surface.
  • Figure 5e illustrates a typical deposited layer 192 formed via physical vapor deposition (PVD).
  • PVD physical vapor deposition
  • the deposited structure 192 has a similar pinched-off shape with very little deposit forming at the deeper regions of the cavity 194. This imbalance results from the directional, line-of-sight deposition that is characteristic of PVD.
  • Figure 5f illustrates the approximate structure of a conformal deposit 192 that can be formed in accordance with the methods and equipment described herein.
  • a plurality of pins engage the substrate chuck 74 through bores within the substrate chuck 74.
  • the pins are cylindrical with rounded ends.
  • One such pin 74a is illustrated in Figure 4a.
  • the pins are mounted to the base of the downstream section 82 of the process chamber 70.
  • the substrate chuck 74 slides down the pins toward the base of the downstream section 82.
  • the pins extend through the top surface of the substrate chuck 74 to lift the substrate 88 off the chuck 74. After it is lifted off the chuck 74, the substrate 88 can then be removed from the process chamber 70 by a robot arm.
  • a similar process, illustrated in Figures 12a-d, is performed to place the substrate on the chuck.
  • each self-aligned pin 74a is attached to the substrate chuck 74 by bellows 81.
  • the bellows 81 provides a spring-like support because the free height of the bellows 81 is greater than the depth of the cavity in which it is mounted.
  • Figure 4b also illustrates a replaceable gettering ring 89 to mask the side of the chuck 74 from deposition.
  • the side of the chuck 74 which is heated throughout, typically is subject to an accumulation of deposits from unreacted precursors which do not react on the substrate 88.
  • the ring 89 can be simply replaced without any damage to the chuck 74 and without requiring that the chuck 74 be replaced. Accordingly, use of the replaceable gettering ring 89 can greatly extend the useful life of the chuck 74.
  • the replaceable gettering ring 89 also serves as a support for the substrate 88 when the pins 74a are retracted. Accordingly the substrate 88 is not in physical contact with the substrate chuck 74. Rather, a gap of about 0.015 inches (0.38 mm) exists between the substrate 88 and the chuck 74. As noted, this gap is filled with helium gas which transfers heat between the chuck 74 and the substrate 88. The mask 94 seals the gap at the edge of the substrate 88, thereby containing the helium gas. The pressure of the helium gas between the substrate 88 and the chuck 74 is controlled, and the flow of helium is also monitored and/or controlled.
  • a seal 91 is provided to form a vapor barrier.
  • the seal 91 can be a low- load delta seal formed of nickel, such as the model H305776 external-pressure, spring-loaded C-ring seal from Garlock Helicoflex.
  • the nickel seal 91 can form a weld, under pressure, with the copper chuck 74, thereby forming an enhanced seal.
  • Figure 4c illustrates the apparatus of Figure 4b with the chuck in position for substrate processing.
  • Figure 4d also shows this same apparatus, this time with the shaft of the elevator fully extended. In this position, the chuck 74 is lifted out of the processing chamber 70, providing access to the chuck for service/maintenance.
  • a sensor 87 e.g., an optical thickness sensor including a grazing incidence laser, is provided in the process chamber 70 for measuring the thickness or chemistry of the deposited film or the ambient conditions in the process chamber 70.
  • the final subsystem i.e., the exhaust subsystem 18, is designed, in part, to maintain a pressure differential across the showerhead 72.
  • the exhaust subsystem 18 includes an exhaust conduit 110 connected to the downstream section 82 of the process chamber 70, a trap vessel 85, and a vacuum pump 112 (such as the IQDP 80, available from Edwards High Vacuum International, Wilmington, Massachusetts, USA, or equivalent) connected to the exhaust conduit 110 opposite the process chamber 70 to thereby pump vapors from the process chamber 70, through the exhaust conduit 110.
  • more than one vacuum pump 112 can be used.
  • the throttle valve 83 is positioned in the exhaust conduit 110 to regulate the amount of vapor pumped from the process chamber 70 and, accordingly, to maintain a desired vapor pressure in the process chamber 70.
  • the trap vessel 85 is situated between the vacuum pump 112 and the throttle valve 83.
  • the pu ⁇ ose of the trap vessel 85 is to trap a majority of the unreacted precursor vapor before it reaches the vacuum pump(s) 112.
  • the trap vessel 85 includes surfaces that cause the precursor to react or be otherwise retained thereupon due to chemical or thermal decomposition or an entrainment process.
  • a scrubber 85' is used in place of the trap 85.
  • a small, dry, low-power, dynamic, variable-speed pump 95 is also provided within the process subsystem cabinet 16.
  • a suitable pump 95 is manufactured by Pfeiffer Vacuum (Nashua, New Hampshire, USA), which pumps at rates up to 50 m 3 /hr.
  • the pump 95 is integrated with the control system, through a ProfiBus data bus, such that the pumping speed of the pump 95 is controlled to govern the rate at which vapor is drawn through the system via a closed loop processing system. By so controlling the pumping speed, the throttle valve 83 upstream from the pump 95 can be omitted.
  • Each of the subsystems 12, 14, 15, 18, 150 are enclosed in sealed vessels to contain leaks of any hazardous gases from the system.
  • the vaporization and vapor- delivery subsystems 12 and 14 are both contained in a first sealed vessel 180.
  • An exhaust line 182 is connected to the first sealed vessel 180 for the controlled release and removal of gases escaping from the system.
  • a second sealed vessel 184 which likewise includes an exhaust line 186, encloses the process gas subsystem 150.
  • FIG. 6a illustrates a rear view (from the vantage point of a connected wafer handler) of the CVD module 10.
  • Figure 6b illustrates a side view of that same CVD module.
  • Figure 6c illustrates a front view of the CVD module 10.
  • Components that are all included within the module include a process module controller 205, a vaporization subsystem 12, a power input module 142, a vapor-delivery subsystem 14, a process subsystem 16, an elevator 96, a scrubber 85', and a gate valve 125.
  • FIG. 7 illustrates a general control architecture diagram 200 for control of a single CVD apparatus 10 and its associated subsystems. Control of a CVD apparatus 10 is facilitated through a process module controller 205 operating under software control in a distributed manner to independently control temperature control modules 210, pressure control modules 215, flow control modules 220, and elevator control modules 225. While this embodiment is illustrated as a distributed system, the overall chemical vapor deposition concepts and techniques presented do not have to be implemented in a distributed fashion. Rather, they may be performed in a linear manner with a single main controller executing all processing steps itself, while still overcoming many of the problems of the prior art system. However, the distributed nature of this embodiment provides significant advantages over a linear system operation, as will be explained.
  • PLC Siemens programmable logic controller
  • Modules 210 through 225 are representative of the main processing tasks of the CVD apparatus 10, and there may be other control modules not shown which may be used for other specific tasks noted throughout this specification.
  • Each of the previously described subsystems, including the vaporization subsystem 12, vapor- delivery subsystem 14, process subsystem 16, and exhaust subsystem 18 can include certain components that are operated by the modules 210, 215, 220 and/or 225 of the overall control architecture shown in Figure 7.
  • the vaporizer subsystem 12 involves, among other tasks, controlling the temperature of reservoir 20, controlling the position of, and therefore the amount of precursor flow from dispensing valve 24, controlling the temperature of the vaporizer 28, and monitoring the pressures within the vaporization chamber 26.
  • Each of these tasks is generally coordinated via software operating within process module controller 205 and is physically carried out by one or more of modules 210 through 225.
  • the process module controller 205 can manage substrate processing for an individual CVD apparatus 10, which requires multiple simultaneous events. If substrate processing for a single CVD apparatus 10 is not too complex, an alternative embodiment may use a single process module controller to monitor and control more than one CVD apparatus. That is, two physical CVD systems 10 could be controlled by a single process module controller 205, without overloading the processing capacity of the process module controller 205. However, a preferred embodiment uses a separate process module controller 205 per CVD apparatus 10. By using distributed processing, certain steps in the overall substrate processing procedure can be performed in parallel with each other which results in more efficient yields and allows real time management of vapor deposition.
  • control module 210 through 225 for each of the individual operational components (i.e., valves, temperature monitoring and heating devices, motors, etc.) in each of the subsystems.
  • the modules can be programmed to do specific tasks related to a specific portion of that subsystem's functionality.
  • each control module reports back to the process module controller 205 when the task is complete, its status, and/or if the task fails to complete.
  • all of the temperature control processing may be done in a distributed fashion, such that the high level process module controller 205 can merely instruct one or more specific temperature control modules 210 to set and maintain specific temperatures.
  • the process module controller 205 can then move on to the next main task in the overall substrate processing routine. Achieving and maintaining the set point temperature(s) can then be carried out by the independent temperature control module 210 in a closed loop manner.
  • control module is the Intelligent Module No. S7-353 or the S7-355, both manufactured by Siemens Co ⁇ oration. Such modules may be used for intensive closed-loop type control tasks, while an Intelligent Module No. S7-331, also manufactured by Siemens Co ⁇ oration, may be used for precision signal conditioning type tasks, such as voltage measurements from capacitance manometers resulting in adjustments in flow control.
  • control modules used in this embodiment operate at low voltage (i.e., 24 Volts AC or DC) in order to prevent injury in the event of a short circuit, and also to prevent interference with vapor deposition.
  • Low voltage operation also allows the system to operate with 120 Volt or 240 Volt power supplies, or with other international power systems of differing voltages.
  • modules can provide information or communications directly to other modules to establish adaptive relationships in order to maintain certain process settings.
  • these modules can adapt their task without the need for further instructions or tasks from the process module controller 205. That is, two or more modules may establish a relationship such as a master/slave or client/server type relationship, and can adjust themselves accordingly to either back off from a task, or move ahead faster with a task, depending upon the feedback of other inter-related modules involved in adaptative relationships.
  • a pressure control module 215 may be used to monitor pressure sensor 34, which detects the pressure output from the vaporization chamber 26.
  • the pressure control module 215 can provide direct feedback to a separate flow control module 220 which operates shut-off valve 42. If the process module controller 205 initially instructs shut-off valve 42, through flow control module 220, to maintain a certain flow of vapor or gas, the flow control module 220 can obtain pressure data from the pressure control module 215 that controls pressure sensor 34. This data may be used to determine if there is enough pressure in the delivery conduit to deliver the requested flow.
  • pressure control module 215 may, depending upon the implementation, signal to the process module controller 205 that the task cannot be completed due to lack of pressure, or may, via an established adaptive relationship, signal in real time directly to a vaporization chamber pressure control module in order to increase or decrease vaporization chamber pressure.
  • certain control module loops may inco ⁇ orate data from other modules to adapt or detect changes in other system components, without the need for communication with process module controller 205. Most frequently, this is done where the output of one module directly affects the performance or operation of another module.
  • DMA Direct Memory Access
  • a data bus (not shown in Figure 8), such as, for example, a ProfiBus data bus, which typically operates at 12 Megahertz and uses DB-9 connectors to interface to modules, can interconnect modules 210 through 225 with each other and the process module controller 205, to allow data communications and sharing of information. It is to be understood that common networking and data communications processes and principles are contemplated herein as being applicable to communications between devices, modules and components in this system.
  • modules and componentry may occur and therefore, redundant or fault tolerant modules, components and processors can be used and can provide swappable dedicated processors for each module 210 through 225 and the process module controller 205.
  • swappable componentry parts may be replaced without shutting down the entire system. This is beneficial, for example, when an expensive precursor has been preheated and will be damaged if returned to a lower temperature. If a fault occurs, for example, in an elevator control module 215, this module may be replaced or deactivated by another redundant module which may take over for the lost functionality of the failed module.
  • the swapping or redundant failover may be performed without having to stop the deposition process, which saves substrates and reduces precursor waste and reduces system down- time.
  • Figure 8 illustrates a flow chart of the main processing tasks performed by the process module controller 205 from Figure 7.
  • the steps 300 through 305 are, in one embodiment, implemented in software or firmware and are performed when the CVD apparatus 10 is activated to process substrates.
  • the main process control steps 300 through 305 are substrate-centric in nature. That is, these steps focus mainly upon substrate handling and execution of a process recipe which performs the CVD operation on a particular substrate.
  • the master routine sets tasks to be performed, sets variables for those tasks and system operation, and instructs the dedicated modules to perform the tasks.
  • this main process routine as will be explained, are a set of other concurrently executing routines which perform other tasks.
  • the sub-processes are necessary for the success of the major process sequence (i.e., steps 300 through 305) of Figure 8 to complete.
  • the sub-processes, shown in Figures 9a, 9b, 10 and 11, are, respectively, the vaporizer sub-process, the vapor phase flow controller sub-process, and the process chamber pressure control sub-process.
  • Other sub-process may exist as well, such as, for example a cleanup process, a housekeeping process, a safety interlock process, and other which are explained herein.
  • the CVD apparatus 10 is pre-prepared to accept a substrate.
  • This step includes, for example, the process of pre-heating the pre-cursor in reservoir 20 to the desired temperature and loading a process recipe for the substrate process to be performed by the CVD apparatus 10.
  • Parameters for the process recipe are loaded into memory 230 from an external source, such as, for example, a cluster tool controller (discussed, below).
  • the recipe parameters control the various settings such as temperature, pressure, and which vapors and gases are to be processed with the substrate 88.
  • steps There may be as many as ten or more steps that constitute the recipe for substrate processing. Each step allows a user who is processing a substrate to select parameters, such as, for example, the "step number”, “step duration” (in seconds),
  • target process pressure in millitorr
  • precursor flow rate milli-sccm
  • reactant flow rate milli-sccm
  • substrate temperature degrees C
  • Step 301 prepares to accept a substrate and signals to an external substrate provider mechanism (e.g., central substrate handler robot arm 134, discussed, below) that the CVD apparatus 10 is ready to accept a substrate.
  • Step 302 then coordinates the movement of the substrate into the process chamber 70 and placement of the substrate on the substrate chuck 74.
  • an external substrate provider mechanism e.g., central substrate handler robot arm 134, discussed, below
  • FIGs 12a through 12d pictorially illustrate the process of coordinating the movement of the substrate (step 302) into the process chamber 70.
  • Each of these figures includes top and side perspective views of the process chamber 70 area and robot arm 134.
  • substrate chuck 74 includes pins 74a-c, upon which the substrate 88 is loaded prior to the CVD operation. Before entering the process chamber 70, the substrate 88 rests upon an end effector of robot arm 134 outside of the process chamber 70.
  • the substrate 88 carried on the end of the robot arm 134, passes over substrate chuck 74 and substrate chuck pins 74a-c and passes under showerhead 72, which is not in use during the process of accepting a substrate.
  • Figure 12c illustrates the substrate 88 fully inserted into process chamber 70, prior to the retraction of the robot arm 134. The substrate 88 rests on pins 74a-c, after the robot arm 134 lowers slightly and retracts, as shown in Figure 12d.
  • step 303 then runs the current process recipe that has been programmed into the CVD apparatus 10.
  • the recipe i.e. the parameters
  • the recipe may be changed between substrates, but once the recipe has been started in step 303, the pre-loaded parameters used for processing do not change for the current substrate 88.
  • running the recipe in step 303 includes aspects of temperature control (step 303a), pressure control (step 303b) and flow control (step 303c).
  • the sub-processes in Figures 9a, 9b, 10 and 11 provide details as to the operation of these functions.
  • a recipe loaded into process module controller 205 governs the various processing steps of the substrate according to, for example, the "step duration" parameter. That is, this embodiment can be governed by timers set by parameters that determine, for instance, how long a particular vapor is deposited onto a substrate.
  • the sensor sub-system 19 can be used to calculate, measure, or determine the deposition activity on the substrate itself. This information can be used to determine when the next step in the recipe is performed. For example, if a step in the recipe calls for depositing 100 angstroms of copper using a copper vapor onto a substrate (e.g., a semiconductor wafer), the sensor subsystem, by monitoring the deposition activity, can indicate when this has been completed. As such, the steps in the recipe in this embodiment are not driven so much by timers, as by when processing steps are actually physically completed.
  • the sensor modules 227 illustrated in the control architecture in Figure 7 are used to control and provide feedback to process module controller 205 from substrate subsystem 19 as illustrated in Figures la and lb.
  • Substrate sensing equipment 87 in substrate subsystem 19, for example, may comprise a laser measurement system that can measure the thickness of any layer of material being deposited onto the substrate 88 during a CVD operation. This layer thickness information may be monitored by sensor modules 227, and when the task of detecting 100 angstroms of copper, for example, is complete, the sensor modules 227 can indicate to the process module controller 205 that the task has been completed.
  • Other substrate sensing equipment that may be used to sense CVD progress may include reflectivity sensors that detect the reflectiveness of the substrate surface.
  • Step 304 in Figure 8 then removes the substrate, which is generally the reverse process of that illustrated in Figures 12a through 12d.
  • the robot arm 134 returns and picks up the substrate 88 off of the substrate chuck pins 74a-c, and carries the substrate 88 out of the process chamber 70. Step 305 then performs cleanup of the CVD apparatus 10, which will also be described in more detail later.
  • Figure 10 illustrates the steps of the vaporizer sub-process that is continually performed during the main control processing steps that execute as explained with respect to Figure 8.
  • the vaporizer sub-process steps 330 through 334 generally control the vaporization of the precursor in reservoir 20 and the maintenance of pressure at the inlet port 76 to the process chamber 70.
  • the vaporizer sub-process is also responsible for the cleanup of the vaporizer 28 between processing substrates during standby modes.
  • the vaporizer sub-process shown in Figure 9a is driven primarily by the
  • vaporizer temperature parameter that gets loaded during the programming of the recipe into memory 230.
  • This variable drives the temperature setting for all of the other temperature controlled surfaces except the substrate chuck 74 (set by a “substrate chuck temperature” setting) and the funnel temperature (set by a “funnel temperature” setting).
  • the vaporizer pressure largely relies on the pressure control modules 215 which operate and monitor the capacitance manometers 34, 48, 50, 51 and 53 located throughout the system, as previously described.
  • step 330 the pressure at pressure sensor 34 must be greater than the pressure at pressure sensor 48.
  • step 331 the pressure at pressure sensor 48 must be greater than the pressure at pressure sensor 50.
  • step 332 the pressure at pressure sensor 50 must be greater than the pressure at pressure sensor 51.
  • step 333 the pressure measured at pressure sensor 51 must, in this embodiment, be approximately 1.5 times (or more) greater than the pressure measured at pressure sensor 53. If any of these steps 330 through 333 fail, feedback is provided back to the vaporizer subsystem 12 by step 334, at which point the appropriate modules in various subsystems are adjusted so as to maintain the optimum pressure at the substrate, as measured by the difference in pressure between pressure sensors 51 and 53.
  • process pressure is referred to as the "target pressure” since this is the pressure to be maintained by the system at the substrate 88, and is attained in cooperation between the vaporizer sub-process ( Figure 10), the vapor phase flow controller sub-process ( Figure 10) and the process chamber pressure control sub-process ( Figure 11).
  • the reservoir 20 deposits small amounts of precursor onto vaporizer 28 which is heated.
  • Each small amount of precursor which typically flows slowly down the vaporizer 28 inverted cone structure, forms a thin film and resides on the cone for a period of time during vaporizing.
  • an upward ramp in pressure is measured by capacitance manometer 34.
  • the upper limit of the vapor pressure that is measured by pressure sensor 34 is a function of the temperature of the vaporizer 28 (and the rest of the system) as well as the material used as the precursor.
  • the vaporizer sub-processes in Figures 9a and 9b may be in either a processing state or a standby state.
  • the processing state is used, as explained above with respect to Figure 9a, after a substrate has been accepted.
  • the standby state governs a cleanup process and is shown in Figure 9b and will be described in conjunction with Figure la through Id.
  • no precursor is introduced into the vaporization chamber 26.
  • shut-off valve 42 isolates the entire vaporization subsystem 12 from the other subsystems.
  • Step 342 then fully opens valve 160.
  • Argon gas provided from valves 161 and 162 and mass flow controller 165 is introduced into the vaporization chamber 26 until a pressure of approximately 50 torr is measured at pressure sensor 34.
  • step 343 evacuates the pressure in vaporization chamber 26, by opening valve 170 and closing valves 161 and 162, and operating exhaust subsystem 118 to suck out the argon gas.
  • Step 344 detects a vacuum pressure.
  • Step 345 then repeats steps 343 and 344 N times, where N may be one, two or more times, for example. This N repeat count may be varied, depending upon the properties of substances used.
  • Step 346 then evacuates the vaporization chamber 26 and step 347 maintains the entire volume of vaporization chamber 26 in a vacuum until the vaporization sub-process is instructed to go active to begin processing substrates.
  • the second sub-process is the vapor phase flow controller sub-process and is illustrated by the processing steps in Figure 11.
  • this sub-process ensures that the vapor-delivery subsystem maintains a steady flow of vapor to the process chamber 70, in concert with the variations in pressure that occur at various critical points in the system as explained above during substrate processing.
  • the main objective of this sub-process is to maintain the target mass flow and total aggregated mass flow of vapor to the substrate 88.
  • this method uses this sub-process to control the flow of vapor in upstream section 78, where the pressure is only one to five torr, and where the "process pressure" is targeted at approximately 800 to lOOO millitorr.
  • this sub-process uses the flow control valve(s) 44 (44' in
  • Step 360 in Figure 10 monitors this pressure difference.
  • Step 361 determines if adaptive flow control is operational. If so, step 362 is executed which calculates the desired flow ("Q") of the vapor being applied to the substrate and adjusts, in step 363, the process time system variable to compensate for any variations from the target pressure experienced during the normal set process time. That is, step 363 lengthens or shortens the check time between determining system pressures, so that the pressure will have the correct time to build based upon the precursor material being used for vapor flow.
  • Q desired flow
  • step 363 the process time system variable to compensate for any variations from the target pressure experienced during the normal set process time. That is, step 363 lengthens or shortens the check time between determining system pressures, so that the pressure will have the correct time to build based upon the precursor material being used for vapor flow.
  • step 361 if adaptive flow control is not being used, step 364 determines if the pressure across the flow control valve(s) 44 (44' in Figure la, 44 in Figure lc) is insufficient to attain the targeted flow rate, and if so, step 365 detects this and signals to the other two sub-processes to attain the desired flow rate by varying appropriate settings.
  • the vapor phase flow controller sub-process in Figure 10 is also responsible for controlling modules that set the flow rate of oxidizing reactants via step 366. That is, nitrous oxide, for example, from valves 168 and 169 may be provided as a reactant gas along with the precursor vapor, into the process chamber during flow control of the vapor from the vaporization chamber 26. Step 366 determines the flow rate of any reactant gas by a "reactant flow rate" parameter provided in the recipe. Typically, the reactant flow rate is expressed as a ratio to the flow rate of the vapor from the vaporization chamber 26.
  • a target pressure that might be typically set is 1.5 to 2.0:1. Since the flow rate of vapor can vary somewhat (as explained above), the flow rate of the reactant from one or more of the mass flow controllers 165, 166 or 167 must also vary in concert with the flow rate of the precursor vapor. Note that in the embodiments shown in the figures, the system is well damped such that variations are on the order of plus or minus 10 percent of the target pressure or flow rate, and are dependent upon variations in the lots of precursor used as received from different suppliers, for example. That is, oscillatory swings may not be noticed within one batch of precursor, but subtle shifts may be observed based upon chemical lots. The sub-process in Figure 10 helps eliminate these shifts.
  • the vapor phase flow control sub-process if in a standby state, as shown in standalone step 367, independently checks any output offsets that might have occurred between pressure sensor 48 and pressure sensor 50, and can use this calculated offset to adjust the pressure sensors apparent output accordingly during subsequent calculations while in active mode. Step 367 can also cross-check pressure measurements of sensors 48 and 50 in standby mode against pressure sensors 34, 51 and 53.
  • Figure 11 illustrates the third sub-process, referred to as the process chamber pressure control sub-process, which is associated with maintaining the pressure at the substrate 88.
  • the pressure is measured at capacitance manometer 53, which is the pressure in the process chamber 70 below the showerhead 72 at the substrate.
  • Step 381 then directs the throttle valve to increase or decrease the pressure as measured in step 380, to maintain the pressure as defined by the parameter "process pressure".
  • Figure 13 illustrates the processing steps performed in a cleanup sub-process that runs continuously and which is transparent to the other sub-processes in the system.
  • the cleanup sub-process Upon startup of the CVD apparatus, without a signal of an approaching substrate, the cleanup sub-process is the default process.
  • the cleanup sub-process in step 390 enables a mechanical circuit breaker to isolate the electrical system components in the event of a power surge.
  • Step 391 maintains all heat zones at the system set points.
  • the parameter "vaporizer temperature” is used as the temperature set point for all heated zones except the reservoir 20 and funnel 22 temperatures, and substrate chuck 74 temperatures. This step can also detect heating wire breaks or shorts.
  • Step 392 ensures that adequate vacuum is present for the process module by testing the vacuum pump control.
  • Step 393 monitors the state of the door and housing covers surrounding the CVD apparatus 10.
  • Steps 394 and 395 monitor system power and pressures, and looks for excursions outside of the normal operating state.
  • Step 396 tracks gauge status and can detect gauge problems and can cross calibrate gauges in the system.
  • Step 397 sets up and calibrates the mass flow controllers 165, 166 and 167.
  • Step 398 cross calibrates the pressure sensors in the system, and step 399 initializes the system parameters to a default state.
  • Figure 14 illustrates a schematic architecture of a CVD apparatus, with each of the previously described sub-processes 600 through 604 of Figures 8 through 13 illustrated as a closed loop.
  • Process module controller 205 interfaces with the other hardware components of the system via data bus 605, which carries serial analog and digital commands to the components.
  • Each of the control modules 210 through 227 interfaces to the data bus 605, to communicate with process module controller 205, and in certain instances where adaptive relationship exists, with each other.
  • the process module controller 205 is also connected to a Profibus data bus 607 via which provides deterministic communication with any of a cluster tool controller, a transport module controller, or another process module controller.
  • communication is generally via Ethernet, which is non-deterministic.
  • a pressure control module 215 monitors pressure from capacitance manometers 34, 48, 50, 51, and 53, according to the processing explained above, and can provide data to temperature control module 210 which controls vaporizer heating element 29, in order to provide proper vapor for the system to operate.
  • To interface 606 between pressure control module 215 and temperature control module 210 is an example of a closed loop adaptative relationship, since the temperature is controlled based upon feedback from the pressure control module 215.
  • pressure control module 215 monitors pressure from each of pressure sensors 34, 48 and 50, in order to provide feedback data to flow control module 220, which operates flow control valve 44, as well as valves 161 through 164, 168, 169 and 170, in order to provide vapor and reactant gases at a proper flow rate.
  • Process chamber pressure control loop 602 uses pressure control module 215 to detect pressure at pressure sensors 51 and 53 within the process chamber 70. This pressure information is used in an adaptative relationship between the pressure sensors and the throttle valve 83, operated by the flow control module 220. This closed loop 602 ensures that the pressure in the process chamber is correct during substrate processing by using the throttle relief valve 83 to maintain a continuous flow.
  • Elevator control loop 603 illustrates the adaptative relationship between the elevator 96, which is operated by elevator control module 225, and the sensor control module 227 which uses sensor equipment 87 to detect how much material has been deposited on a substrate.
  • the elevator 96 may be lowered when the sensor equipment 83 detects enough material is present on the substrate.
  • direct communications is provided between the elevator control module 225 and the sensor control module 227.
  • the elevator control loop 603 is also related to the sensor loop 604, in that when sensor equipment 96 detects enough deposition material on a substrate, sensor control module 227 notifies flow control module 220 to activate throttle valve 83 in order to turn on the exhaust pump to full power. This empties the process chamber 70 of any leftover vapor so as to immediately stop the deposition process.
  • Sensor loop 604 is thus another example of an adaptive loop, but acts more like a one way trigger since the sensor equipment 96 causes the throttle valve 83 to open when deposition is complete.
  • the process control module 205 can merely provide the appropriate tasks to each of the control modules 210 through 227.
  • the control modules will execute the given task on their own.
  • closed loops are formed for the basic underlying sub-processes required for the CVD apparatus to operate efficiently.
  • the process module controller 205 monitors the progress of each closed loop via status data that is provided from each control module.
  • the process module controller 205 is fully aware of how a specific CVD process is progressing while the process is taking place. In this manner, the process module controller 205 can report to a higher level process, such as the main process taking place within a cluster tool controller 207.
  • the "processing hierarchy" formed by the lower closed loops and control modules, the intermediate process module controller routine executing on the process module controller 205, and the master cluster tool controller routine executing on the cluster tool controller 120 allows modifications to processing code at one level to have little or no adverse impact on the programs or processes used for other aspects of the CVD process. Moreover, any modifications made to one aspect of the CVD processing, for example, in the flow control loop, which may happen to impact the processing of other loops, will be properly accounted for due to the adaptive relationships and feedback of information between control modules.
  • This hierarchy also allows easy code maintenance and a structured environment where features may be added to one area of CVD processing without having to re-tool or re-code other areas.
  • the CVD apparatus 10 is used to deposit a number of films on a single substrate.
  • This embodiment is designed to operate at low pressure (0.001 to 10.0 torr) and is aimed at the deposition of films with geometries of 0.25 microns or less.
  • the same embodiment with changes only in temperature and flow control components, can be used in a number of different processes to limit costs and maintenance requirements.
  • Films that can be deposited by this system include, but are not limited to, the following: aluminum from dimethyl aluminum hydride (DMAH), copper from one of the CU ] (hfac)(tmvs, tevs, teovs) precursors, tantalum nitride from a solid precursor such as TaBr 4 , titanium nitride from a liquid precursor such as tetrakisdiethylamido titanium (TDEAT), tetrakisdimethylamido titanium (TDMAT), TiBr 4 , or Til 4 , low-k dielectric films from hexasilsesquioxane (HSQ) or a fluorinated tetraethylorthosilicate (TEOS), and tantalum oxide from tantalum pentaethoxide (TAETO) and either ozone or N 2 O.
  • DMAH dimethyl aluminum hydride
  • hfac tmvs, te
  • Additional films that can be deposited on optical components in accordance with the methods described herein include the films of silicon dioxide (SiO 2 ), titanium dioxide (TiO 2 ), magnesium fluoride (MgF 2 ), and silicon nitride (Si 3 N 4 ).
  • Silicon dioxide film can be deposited by vaporizing TEOS and delivering the TEOS vapor to the surface of the substrate where it is reacted with nitrous oxide
  • silicon dioxide film can be deposited by vaporizing dibutoxydiacetoxysilane (DABS, C 12 H 24 O 6 Si) and delivering the DABS vapor to the surface of the substrate.
  • DABS dibutoxydiacetoxysilane
  • Titanium dioxide film can be deposited by vaporizing titanium tetrakis- isopropoxide (TTIP; Ti(C 3 H 7 O) 4 ) and delivering the TTIP vapor to the surface of the substrate.
  • titanium dioxide film can be deposited by vaporizing titanium nitrate and delivering the titanium nitrate vapor to the surface of the substrate.
  • Silicon nitride film can be deposited by vaporizing silicon tetraiodide (Sil 4 ) and delivering the vaporized Sil 4 to the surface of the substrate where it is reacted with ammonia (NH 3 ).
  • Magnesium fluoride film can be deposited by vaporizing magnesium hexafluoropentanedionate (C 10 H 6 F 12 MgO 6 ) and delivering the vaporized C 10 H 6 F 12 MgO 6 to the surface of the substrate.
  • magnesium fluoride film can be deposited by vaporizing magnesium hexafluoropentanedionate (C 10 H 6 F ]2 MgO 6 ) and delivering the vaporized C 10 H 6 F 12 MgO 6 to the surface of the substrate.
  • Figure 19a is a graph showing representative CVD source vapor pressure curves, expressed in terms of temperature (°C) along the abscissa and pressure (torr) on a logarithmic scale along the ordinate. Illustrated curves include functions representing the vapor pressure of TEPO 401, TEOS 402, TEB 403, TAETO 404, TAT-DMAE 405, CuTMVS 406 and TDEAT 407.
  • Figure 19b is a graph showing vapor pressure curves for TAETO 404 and TAT-DMAE 405 as in Figure 19b, however with the ordinate scale extended to substantially lower pressures in Figure 19b.
  • Figure 19c is a graph showing vapor pressure curves for optical film sources TTIP 408 and DABS 409.
  • the shaded region 410 in Figure 19a represents a demonstrated operating range between 1 and 10 torr for this process. This indicates the ability of the system to deposit a variety of materials over a temperature range between 20°c and 200°C in this pressure range. This pressure range is not limiting, however, as one can work outside of it, as well.
  • the vapor pressure curve (in torr) for TEB has been expressed as follows:
  • thermal deposition via reaction with TEOS can occur at temperatures in the vicinity of 475°C, which is significantly cooler than the typical range of 600°C to 750°C (or higher) for other methods, which typically use oxygen or ozone as opposed to nitrous oxide as a reactant.
  • the molar ratio of N 2 O:TEOS is greater than 4:1; particularly, between 5:1 and 10:1; and more particularly about 8:1.
  • Figures 20 and 21 illustrate the refractive index and thickness, respectively measured at multiple points on an eight-inch (20-cm) wafer that was subjected to deposition of silica using an 8:1 ratio of N 2 O and TEOS in accordance with the methods described herein.
  • the thickness of the coating is highly uniform across the surface of the wafer, and the refractive index is near that of thermal oxide.
  • the properties of films deposited with methods and apparatus described herein are sufficient for applications such as gate dielectric deposition.
  • silica layers deposited by conventional methods have a refractive index below 1.44.
  • silica layers deposited by methods described herein can have a refractive index above 1.44; and in particular embodiments, the refractive index is between about 1.45 and about 1.46.
  • a tantalum oxide film is deposited on a substrate using liquid TAETO as a precursor and gaseous N 2 O as an oxidant.
  • the reservoir 20 is filled with TAETO either with the reservoir 20 in place in the system or with the reservoir 20 temporarily removed for filling. While in the reservoir 20, the TAETO is stored at a temperature above its melting point but below that at which it decomposes. In this embodiment, the TAETO is stored at or near room temperature. From the reservoir 20, the TAETO is delivered to the vaporizer 28 through the axial displacement pulse valve in an amount that is just sufficient to generate a workable vapor pressure to deliver to the process chamber 70. The temperature of the vaporizer 28 is tightly controlled, in one embodiment, at 180°C, to vaporize the TAETO as it flows across the surface of the vaporizer 28 without causing the TAETO to thermally decompose.
  • the vapor pressure of TAETO that is generated in the vaporization chamber 26 is a function of the temperature of the vaporizer 28. Specifically for TAETO, the log of vapor pressure can be calculated with the following formula:
  • the vapor pressure of TAETO and several other sources is provided in Table 1, below, over a range of temperatures from 20°C to 200°C.
  • the vapor-pressure data in Table 1 for DABS and TTIP is approximate, derived from curves fit to a limited number of experimentally-obtained data points.
  • a pressure of 2.8 torr is generated in the vaporization chamber 26 without significant decomposition of the TAETO.
  • the process chamber 70 is held at 800 to 900 millitorr.
  • this pressure differential about 1.0 seem of TAETO vapor along with 1.5 seem N 2 O are delivered to a substrate heated to about 385°C. Under these conditions, a tantalum oxide film will grow at a rate of approximately 75 to 80 angstroms per minute.
  • the substrate is pre-heated to about the deposition temperature or higher either in a preheat module or, less desirably, in the process chamber 70. Direct thermal coupling between the substrate and the substrate chuck 74 is nominal.
  • Heat is transferred between the substrate and the substrate chuck 74 primarily by way of helium gas flowing between the substrate chuck 74 and the underside of the substrate.
  • a target film thickness of 100 angstroms is achieved by running the process for 10 seconds at a reduced flow of reactants to seed the substrate with tantalum oxide. The process is then run for 75 seconds at full flow to build the desired film thickness.
  • the deposition rate can be either reduced or slightly increased.
  • An increase in the deposition rate may require an increase in the temperature of the vaporizer 28.
  • the temperature of the vaporizer 28, however, should generally be limited to 190°C for TAETO because there is a risk that the quality of the deposited film will suffer as a result of TAETO degradation.
  • the net effect will be a reduction in the rate of TAETO deposition.
  • the maximum pressure available at the inlet to the vapor-delivery subsystem 14 would be reduced to about 1.73 torr. This reduction will nearly halve the possible flow rate and will result in a process pressure of about 450 millitorr. The reduced process pressure will yield a deposition rate of about 22-25 angstrom per minute.
  • the TAETO vaporizes, it expands within the principal cylinder 30 and vapor outlet 32 of the vaporization chamber 26. All components, including valves and pressure sensors, within the vaporization chamber 26 and delivery conduit 40 are maintained at the temperature of the vaporizer 28 to prevent the TAETO from condensing. As the pressure in the vaporization chamber 26 is depleted by the flow of vapor through the delivery conduit 40 and into the process chamber 70, the pressure in the vaporization chamber 26 is reestablished by dispensing more TAETO from the reservoir 20 onto the heated vaporizer
  • the vaporization subsystem 12 can operate continuously to maintain a pressurized supply of TAETO in the vaporization chamber 26, it will typically maintain a low vapor pressure within the chamber 26 until a demand is signaled by the processor. When no demand is signaled, the vaporization chamber 26 will be purged of TAETO and evacuated. This cyclic process is established to accommodate the thermal sensitivity of the precursor (in this case, TAETO). The precursor, if held at an elevated temperature for any length of time, will decompose before delivery into the process chamber 70.
  • Complementary processes thus far identified include the following: titanium nitride (TiN) from TiBr 4 or TDEAT and ammonia, followed by aluminum from DMAH; tantalum nitride (TaN) from TaBr 4 and ammonia, followed by copper from Cu,(hfac)(tmvs); and titanium nitride (TiN) from TiBr 4 or TDEAT, and ammonia, followed by aluminum from DMAH, followed by 0.5 atomic percent copper from Cu,(hfac)(tmvs).
  • Additional complementary processes that can be used in optics processing include multiple stacked layers of the following: silica (SiO 2 ) followed by tantalum oxide (Ta ⁇ ); silica followed by titania (TiO 2 ); and tantalum oxide followed by titania.
  • SiTiO 2 layers can be graded in terms of the layers' thickness or refractive index across the substrate. Specifically, the thickness can be graded by directing flow of reactants across the surface of the substrate such that the reactant concentration is depleted as the gas flows from one end of the substrate to the other. Further, the substrate can be non-uniformly heated to alter the rate of deposition across its surface.
  • the CVD apparatus 10 is also suitable for depositing barium titanate, barium strontium titanate, strontium bismuth tantalate, and other similar depositions.
  • the film processing system, described herein, and many of the processes, described above, are particularly suitable for semiconductor processing procedures. More particularly, the apparatus and methods described herein are well suited for the deposition of advanced dielectrics and interconnect metals on a wafer.
  • a cluster tool 120 for semiconductor processing is illustrated in Figure 15.
  • the illustrated cluster tool 120 includes a number of process modules assembled around a transport module 122 and interfaced with a central control system.
  • the cluster tool 120 can have an inline, rather than radial geometry of process modules in relation to the transport module 122.
  • One or more of these process modules include a CVD apparatus 10.
  • the cluster tool 120 includes an entrance load lock 126, an exit load lock 128, a preheat module 130, a cool module 132, and a transport module 122.
  • three CVD apparatus 10 which can operate in parallel to enhance throughput, are provided. These modules can be operated sequentially in series, or in parallel.
  • the cluster tool 120 is designed in accordance with MESC, the standard design architecture adopted by Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers. Accordingly, a variety of other standardized components, such as process modules for different deposition and etch processes, can be readily integrated into the cluster tool 120, as desired.
  • SEMI Semiconductor Equipment and Materials International
  • Each process module in a cluster tool 120 is generally designed to process a single wafer at a time. Typical production requirements are for the tool 120 to process 60 wafers per hour. This rate is achieved by implementing different process steps in separate process modules clustered around the transport module 122.
  • the tool 120 illustrated in Figure 15, is designed for a 300 mm tantalum oxide process system, which uses an eight-sided transport module 122 typically connected to three tantalum oxide CVD apparatus 10.
  • the tool might also accommodate a rapid thermal anneal (RTA) module.
  • RTA rapid thermal anneal
  • a plurality of cluster tools 120 are interfaced together so that a wafer can be sequentially passed between tools 120 for a series of processing stages without ever removing the wafer from the vacuum established within the cluster tools 120.
  • a cluster tool 120 commences with wafers being loaded into an input cassette 136 in an entrance load lock 126.
  • a robot arm 134 (available from Brooks Automation) in the transport module 122 removes one wafer at a time from the input cassette 136 and moves each wafer to an alignment station 138.
  • alignment station 138 At the alignment station
  • a standard notch in each wafer is precisely aligned before further processing, eliminating wafer orientation effects within a process module and aiding in process uniformity.
  • the robot arm 134 moves the wafer to a preheat module 130 where the wafer remains for approximately 30 seconds while being heated to 300-500°C.
  • the wafer is moved to the process chamber of that CVD apparatus 10 for tantalum oxide deposition. Deposition occurs over a period of approximately 120 seconds. After deposition, the wafer is moved to the cool module 132, where the wafer resides for 30 seconds and is cooled enough to place it in the output cassette 140 in the exit load lock 128.
  • the process time for tantalum oxide deposition on a wafer is on the order of 120 seconds for a 0.01 -micron-thick film on a preheated wafer. Wafer movement from the input cassette 136, to the alignment station 138, to a CVD apparatus 10 and back to an output cassette 140 will consume approximately another ten seconds.
  • the cluster tool 120, with three tantalum oxide CVD apparatus 10, would have a throughput of one wafer every 45 seconds, excluding ramp-up and ramp-down.
  • the tool 120 in this configuration can process up to 75 wafers per hour.
  • Wafer handoff mechanism 701 can pass wafers from transport module 122a in cluster tool controller 120a to an entire second cluster tool controller 120b.
  • Wafer handoff mechanism 701 may be, for example, a conveyor-belt apparatus which transports the wafers 88 from the robot arm 134a to the second robot arm 134b of transport module 122b.
  • the wafer handoff mechanism 701 can be accomplished by physically passing individual wafers 88 from robot arm 134a to robot arm 134b.
  • the CVD apparatus lOa-c in Figure 16 may be used for a certain processing of the wafers, and when complete, the wafers can be transported, through wafer handoff mechanism 701, to the second configuration of CVD apparatus lOd-f and secondary transport module 122b for a second type of processing.
  • the wafers may be maintained under a vacuum and may be maintained at a relatively constant temperature. Since the cluster tools 120a and 120b are an entirely closed system, wafers experience reduced exposure to contamination and outside atmosphere while being processed.
  • the large scale wafer processing illustrated in Figure 16 is referred to herein as a factory automation wafer processing system.
  • the entire set of CVD apparatus 10a- f, transport modules 122a and 122b, and cluster tools 120a and 120b may all be controlled by a single factory automation controller 702 which handles all scheduling of wafer processing from beginning to end.
  • Factory automation controller 702 contains a master central processing unit that governs the operation of each cluster tool 120a and 120b.
  • Data bus 703 interconnects each CVD apparatus lOa-f with factory automation controller 702.
  • FIG 17 illustrates an alternative configuration for a factory automated CVD processing system.
  • the individual components i.e., CVD apparatus 10, preheat modules 130, cooling modules 132, transport modules 122 of each cluster tool 120a and 120b are controlled by separate cluster tool controllers 705a and 705b.
  • Factory automation controller 702 controls each cluster tool controller 705a and 705b, and can control wafer handoff mechanism 701.
  • one of the cluster tool controllers can control the wafer handoff mechanism 701 and can signal to the other cluster tool 120b that it has completed its wafer processing and that wafer are on route via wafer handoff mechanism 701 and should be accepted by robot arm 134b.
  • FIG. 18 illustrates an example of the typical steps involved in controlling a single cluster tool 120a via cluster tool controller 705a, as illustrated in Figure 17.
  • robotic arm 134a accepts a wafer from the input cassette 136a, which is attached to the entrance load lock 126a.
  • the robotic arm 134 in step 711, then aligns the wafer on the armature itself.
  • Wafer alignment on the robotic arm 134 is performed at the alignment station 138, where a notch in the side of the wafer is mechanically aligned with a reference indicator.
  • step 712 the wafer may be pre-heated in pre-heat module 130. Heating the wafer brings the wafer up to a temperature at or near the operating or substrate chuck temperature of the first CVD apparatus 10 that will accept the wafer.
  • the robotic arm 134 places the wafer into one of the CVD apparatus lOa-c of the current cluster tool controller 120a for CVD processing in step 714, as explained above. While three CVD apparatus 10a, 10b and 10c are illustrated in Figure 16, the concepts explained herein are not limited to systems with three CVD apparatus; there may be one, two, three or many more such system all accessible by a single robotic arm 134.
  • step 715 the robotic arm extracts the wafer.
  • the wafer either moves to the next CVD apparatus (i.e., back to step 713), or finishes processing (step 716) by being cooled in cool module 132 and exiting the cluster tool 12a via output cassette 140, or the wafer is passed to another cluster tool 120b via wafer handoff mechanism 701 (step 717).
  • wafer processing repeats until the correct sequence of heating, CVD processing and cooling has been performed, as dictated by the wafer processing program executing in cluster tool controller 705a controlling the operation of cluster tool 120a.
  • the fabrication of electronic devices using methods of semiconductor processing are attempting to build these structures with the smallest possible features. Accordingly, it is desirable that the transistors, interconnects, capacitors, and resistors, for example, occupy as little space on the wafer surface as possible, providing more devices per wafer and reducing costs. As the size of features decreases, new materials are often needed to maintain the proper conductivity of the finer lines and the properties of both active and passive components.
  • the film processing system is particularly suited for the deposition of thin films of metals, dielectric layers used as insulators for these metals, low-k interlayer dielectric layers, capacitor dielectrics (denoted as high-k), and transistor gate dielectrics required for 0.25 micron or smaller linewidth processes.
  • the processes can be used to form integrated circuits with clock speeds of 400 MHZ or faster and 256 Mbit or more DRAM, for example.
  • Semiconductor deposition processes that can be performed with a cluster tool 120 inco ⁇ orating the CVD apparatus 10 include the deposition of high-k capacitor dielectrics such as tantalum oxide; the deposition of layers that serve as barriers and adhesions promoters, like titanium nitride, a liner used for aluminum, and tantalum nitride, a copper liner; and the deposition of copper metal for interconnects.
  • Stacked dielectric gates can be used in devices with geometries of less than 0.15 microns and in devices with geometries of up to 0.25 microns, where an increase in speed beyond 400 MHZ is needed, for example.
  • Stacked gate dielectrics can be formed of silica along with either silicon nitride or tantalum oxide. Other dielectric materials can also be used, provided that they achieve a desired dielectric transistor gate.
  • the device includes a silicon substrate 1052; a source 1054 formed by ion implantation or diffusion; a drain 1056 formed by ion implantation or diffusion; a pair of channel stops 1056 also formed by ion implantation; a gate dielectric 1058 (which can comprise, e.g., silica) deposited in accordance with methods described herein; a gate metallization film 1060, which can be formed of polysilicon or formed of copper or aluminum deposited in accordance with methods described herein; an insulator dielectric 1062 (typically, silica) formed by thermal oxidation; an insulator dielectric 1064 (typically silica) deposited in accordance with methods described herein; and a metallization film 1066 (comprising, e.g., copper, aluminum, or copper/aluminum) deposited in accordance with methods described herein.
  • a gate dielectric 1058 which can comprise, e.g., silica
  • a gate metallization film 1060 which can be
  • the methods and apparatus described herein offer advantages in the processing of stacked dielectrics, where sequential deposition of two different dielectrics is generally required.
  • the design of a precursor delivery system, in accordance with the apparatus described herein allows deposition of both materials in the same process chamber. As a result, the wafer will not be exposed to random oxidation during transport between chambers, which can destroy the gate. Further, because the wafer need not be moved, the system is expected to have an intrinsically higher throughput than existing systems. Background discussion directed to the synthesis of stacked gate dielectrics is provided in P.K.
  • Other materials that can be suitably deposited on semiconductor wafers with an apparatus and method described herein include aluminum, aluminum/copper (an alloy with reduced liner requirements), barium titanate (a potential high-k dielectric film), and barium strontium titanate (another high-k dielectric film).
  • the tool 920 includes an etch module 951.
  • the etch module 951 like the other modules, operates at low power.
  • the etch module 951 is used for preheating and soft etching to provide a surface that is free or nearly-free of atomic residue.
  • the tool also includes a pair of process modules 910d for silica deposition, a pair of process modules 910e for tantalum oxide deposition and a single process module 91 Of for titanium nitride deposition.
  • Processing within the tool 920 of Figure 22 proceeds as follows.
  • a gate to the entrance load lock 926 is lifted and the wafer handler robot arm 934 mounted in a transport module 922 retrieves a silicon wafer from the stack of wafers in the entrance load lock 926.
  • the wafer handler robot arm 934 first delivers the wafer to the etch module 951. After the wafer is preheated and soft etched in the etch module 951, the robot arm 934 retrieves the wafer and advances it to one of the silica deposit modules 910d, where vaporized TEOS is reacted with N 2 O to form a silica deposit on the wafer.
  • the robot arm 934 retrieves the wafer and advances it to a tantalum oxide deposit module 910e, where N 2 0 is reacted with vaporized TAT-DMAE or with vaporized TAETO to form a tantalum oxide layer on the silica layer.
  • the robot arm 934 retrieves the wafer and advances it to the titanium nitride deposit module 91 Of where TiBr 4 or TDEAT and ammonia are reacted to form a thin TiN x capping material on top of the tantalum oxide layer.
  • the robot arm 934 again retrieves the wafer and advances it to the exit load lock 928 for later removal from the tool 920.
  • the tool 1020 includes a titanium nitride deposit module lOlOg, a copper deposit module lOlOh, two aluminum deposit modules lOlOi, as well as transport module 1022, entrance and exit load locks 1026 and 1028, a preheat/etch module 1051, and a wafer handler robot arm 1034.
  • the tool 1020 is used to deposit a titanium nitride liner/barrier layer on a silicon wafer followed by successive depositions of copper and aluminum.
  • Copper thin films are emerging as important metallization films for integrated circuits, and the level of importance increases as integrated circuit manufacturers introduce products with copper metallization. Further, more are likely to follow this lead as linewidths shrink from 0.25 to 0.18 to 0.15 to 0.12 to 0.1 microns.
  • the "transition" technology for significant implementation of copper metallization is likely to be at 0.13 micron design rules.
  • metallization conductivity decreases because the cross-sectional area of the metal lines decreases. This requires some combination of thicker metal or more metallization lines/levels to be implemented on the circuit.
  • An alternative is to use a higher conductivity metal.
  • C rently, Al is the industry-standard metallization material.
  • Alternative materials and their comparison resistivities are:
  • Copper offers significant improvement in resistivity and is being implemented with what is known as damascene processing due to the impracticality of dry-etching Cu. Copper is an undesirable contaminant to silicon integrated circuits, but less so than gold. To prevent contamination, Cu metallization films are isolated from the silicon using appropriate line/barrier layers such as TiN x , TaN x or WN X . Additionally, it is typically required that the copper be deposited in a fashion that will fill high aspect ratio features during integrated circuit fabrication. The liner/barrier layers must also coat these high aspect ratio features prior to the copper deposition.
  • a complete copper deposition process currently consists of three sequential discrete steps. First, a liner/barrier layer (not copper) is deposited. Second, a thin copper layer, called the “seed” layer is deposited on the liner/barrier layer. Third, a thicker copper layer, called the "fill” layer is deposited.
  • the liner/barrier layer deposition is typically done by physical vapor deposition (PVD, sputtering) but can also be done by CVD.
  • This layer may typically be 10 to 100 nm thick.
  • the seed layer is also typically done by PVD but can also be done by CVD and also is typically between 10 and 100 nm thick.
  • the advantage of depositing these layers by CVD is better conformality for the coverage of high aspect ratio features relative to PVD.
  • CVD is typically more costly than PVD due partially to equipment complexity and throughput, but mostly due to the cost of starting source materials.
  • a copper metal "target" is used as the Cu source in PVD.
  • the most widely accepted source material for Cu deposition by CVD is Schumacher CupraSelectTM copper source, which is Cu(hfac)(TMVS), or C 10 H ⁇ 3 CuF 6 O 2 Si, in which a Cu atom is attached to a molecule of hexaflouroacetylacetonate (hfac, or CF 3 COCHCOCF 3 ) and to a molecule of trimethylvinylsilane (TMVS, or C 5 H 12 Si).
  • This material is unstable and difficult to work with and is relatively expensive at a cost of up to approximately $20 per gram.
  • the fill layer typically 100 to 300 nm thick, is cu ⁇ ently typically deposited by an electroplating process, although CVD and PVD are alternatives.
  • the PVD process is not a practical alternative, however, due to the need to conformally fill high aspect ratio features, as the PVD process is inherently directional. Electroplating offers conformal deposition, but is done using a wet process which is against industry trends. Consequently, CVD is a preferred process due to conformality, similarity with other industry processes, and compatability with the seed layer deposition process.
  • the methods and apparatus described herein, particularly with respect to use of the unique precursor delivery system, provide a preferred method and means for depositing copper seed and fill layers, as well as liner/bamer layers.
  • Methods and apparatus described herein are unique in that they can allow stable ambient storage of the copper source chemical (refe ⁇ ed to as the precursor) while small amounts of the pure precursor are introduced to the vaporizer unit. This represents a unique approach to source vaporization for several reasons. First, the source precursor is stored at an ambient temperature at which it is stable so that the source is not subject to premature decomposition. Second, only small amounts of the source are introduced for vaporization and only on demand to generate a sufficient source pressure to execute the transport of sufficient precursor material, via the vapor-delivery subsystem, to produce the required film deposition.
  • the vaporization of a small quantity of precursor allows the vaporizer to function without risk of "clogging" due to the formation of a "skin" of non- volatile vaporization by-products of a precursor charge.
  • This is a common experience with vaporization methods that place a "large” quantity (or charge) of precursor in a vaporizer.
  • precursor sources in solid form as is likely to be the case for copper CVD.
  • non-volatile materials can dissipate in the liquid or be displaced, allowing an appropriate exit path for the generated vapor.
  • non- volatile residues that form on the surface of a solid source are not readily displaced and interfere with the free path of vapor. This results in a "clogging" effect
  • a precursor such as CuTMVS (CupraSelectTM), Cu(hfac) 2 , Cu(tfa) 2 , or Cu(fod) 2
  • CuTMVS CupraSelectTM
  • Cu(hfac) 2 Cu(tfa) 2
  • Cu(fod) 2 Cu(fod) 2
  • the vapor-delivery subsystem, the process chamber walls, and other fixtures and/or plumbing are maintained at or above the same temperature as the vaporizer to insure that there is no condensation of the generated precursor vapors in the system prior to the vapors impinging on the heated substrate (e.g., a silicon wafer) onto which the copper film is to be deposited.
  • the heated substrate e.g., a silicon wafer
  • CupraSelectTM is that they are inherently more stable. CupraSelectTM is an unstable material that will degrade/decompose at ambient room temperature and above. All these materials are intended to be deposited at a substrate (silicon wafer) temperature of generally between 150°C and 300°C, although the range can extend beyond these temperatures depending on the specific precursor.
  • the copper deposition with the specified precursors will be thermally induced and will proceed without a need for other reactants.
  • the process may be enhanced by the introduction of hydrogen gas (H 2 ) remotely-ionized hydrogen, or water vapor.
  • Means are provided in the apparatus for introducing such reactants (or process- assisting agents) through a separate gaseous source line.
  • Copper films using known source pricing from commercial vendors A 10% efficiency is assumed to be representative of the methods described herein while a 3% efficiency is assumed to be representative of other CVD processes that utilize a carrier gas (or other effective dilutant) in the CVD process.
  • the relative cost comparison for the precursor source is provided in the accompanying chart.
  • the cost ratio for this aspect of the invention calculated in terms of the cost of Cu(acac) versus the cost of CuTMVS CVD is 67.6366.
  • a relative cost comparison for the different copper sources is illustrated in Fig. 25.
  • the deposition will be carried out at a process pressure of between 0.01 and 100 torr, with a nominal process pressure of approximately 1 ton.
  • the vaporizer pressure will be set at slightly above the deposition process pressure.
  • the substrate silicon wafer
  • the wafer chuck will be moved to its selected position for deposition and the precursor will be introduced in a controlled fashion through the vapor-delivery subsystem.
  • the precursor flow will be terminated after a specific period of time or some other condition, and the wafer chuck lowered to the wafer transport position.
  • vaporizer set points for specific copper sources are set by targeting the 1 to 10 torr precursor vapor pressure range, though other vapor pressure ranges may be equally effective.
  • Representative vaporizer operating temperature set points for generating a range of vapor pressures are provided in Figure 24, which indicates published vapor pressure data for several selected copper precursors. Based on this data, a vaporizer can be operated at 60°C to 70°C for CupraSelectTM (represented by the curve in Figure 24 and limited in the upper range by the inherent thermal instability of this material), 50° to 100°C for Cu(hfac) 2 (represented by diamonds), 140° to 200°C for Cu(tfa) 2 (represented by triangles), and 160° to 200°C for Cu(acac) 2 (represented by the square). More specifically, the vapor pressure curve for CupraSelectTM can be expressed as follows:
  • the CVD apparatus and methods described herein can also be used to deposit organic materials such as photoresist or organic light emitting diode (LED) materials. Further, organometallic arsenic and/or phosphorous sources can be deposited via the apparatus and methods described herein. Further still trimethyl indium (TMI) or other materials for compound semiconductor epitaxial crystal growth can be delivered with these methods and apparatus.
  • Chemical vapor deposition using the apparatus and methods described, above, can also be utilized for the deposition of dielectric films selected for their optical properties (e.g., refractive index) on a variety of substrates. Given the nature of light, the thickness of coatings should be precise and uniform to provide the desired transmissivity or reflectivity. In general, the type, structure, and thickness of the deposited films are selected as a function of the objectives of the particular application. Example applications include refractive index matching, waveguide fabrication, or (of particular interest) optical filter fabrication.
  • Optical filters are fabricated using deposited dielectric layers by stacking alternating layers of two materials having different refractive indices.
  • the thickness, refractive index, difference in refractive index of the two materials, and the total number of layers in the stack determine optical properties of the filter such as the wavelength (or wavelength range where the filter is reflective or transmissive) and the sha ⁇ ness of the filter edges.
  • Optical wavelength ranges of interest include the ultraviolet, visible and infrared spectral ranges.
  • the spectral range of a coating is a function of the coating's thickness and stoichiometry.
  • optical coatings can be deposited in the 1.5 micron to 1.6 micron spectral range (centered around 1.55 microns); an optical coating having this range can be used for optical networking.
  • Optical filters for this application comprise alternating layers of two materials having different refractive indices. Examples of suitable pairs of alternating layers include SiO 2 /TiO 2 and SiO j /Ta ⁇ j . Each layer in the filter can have a thickness between 2.5 nm and 100 nm (typically from about 5 nm to about 10 nm). There can be about 20 to about 200 (typically about 50 to about 100) such layers of each material.
  • the following layers can be coated on an optical substrate using the above-described methods: silicon dioxide, silicon nitride, tantalum pentoxide, titanium dioxide and magnesium fluoride.
  • silicon dioxide, silicon nitride, tantalum pentoxide, titanium dioxide and magnesium fluoride The precursor sources used for forming each of these deposits are described, above. Further, methods for forming coatings of particular compositions, such as SiO 2 and Ta ⁇ , are discussed in detail above.
  • two vaporization subsystems 12 (as shown, e.g., in Figure 2b) coupled with the process chamber via separate vapor-delivery subsystems 14, 14' (as shown, e.g., in Figure 3c) can be employed with no reactant gas or with one or two separate reactant gases (e.g., nitrous oxide or ammonia).
  • the reaction chamber can be purged of film deposition chemistry between layers. Purging can be performed by shutting off precursor and reactant gas flows used for one film and pumping down the process chamber, then introducing the reactant and precursor for the next film to be deposited.
  • an inert or non-reactive purge gas such as argon, can be introduced to rapidly remove the film chemistry for the process chamber.
  • two CVD apparatus 10 modules can be integrated on a wafer/substrate handler to form a cluster tool configuration and operated as described above, whereby one apparatus 10 can be used for depositing one film on the optical substrate, and the optical substrate can then be moved, by the wafer handler to a second apparatus 10 where the second film is deposited.
  • a pre-heat/pre-clean soft-etch process module also integrated into the cluster tool configuration, can be used for initial preparation of the substrate surface.
  • optical substrates that can be mounted in the process chamber and upon which the coatings can be deposited include the following: glass having a planar or patterned surface (e.g., a waveguide pattern), silicon having a surface that is planar or patterned with passive devices (e.g., waveguides) or with active devices (e.g., light emitters or sensors), lithium niobate, gallium arsenide (or related alloys), indium phosphide (or related alloys), gallium nitride (or related alloys), or silicon carbide (or related alloys).
  • the types of patterning that can be used in depositing the coatings on the optical substrate include the following: planar (e.g., planar coatings on planar substrates); conformal (e.g., uniform coatings on all sides of the topography of a patterned surface); or selective (e.g., differing coatings on the different sides of the topography of a patterned surface, or different coatings or no coating on differently-conditioned areas of a planar or patterned surface).
  • CVD apparatus and methods described herein include processing of flat panel displays and coated drill bits.
  • Another application for the CVD apparatus and methods is for depositing optical coatings including dielectric coatings, anti-reflection coatings, and coatings to reduce friction and wear.

Abstract

An apparatus for processing and deposition includes a dispenser (102) for dispensing a precursor to a vaporizer positioned within a vaporization chamber (105). A delivery conduit joins the vaporization with a process chamber used a plurality of control valves (44). A flow meter (46) is positioned within the delivery conduit for measuring the flow of precursor through the delivery conduit. A flow controller is likewise positioned within the delivery conduit for controlling the flow of precursor in response to the measured flow rate.

Description

FLUID PROCESSING SYSTEM
RELATED APPLICATIONS
This application is a continuation-in-part application of U.S. Application No. 09/678,460, filed on October 3, 2000 which is a continuation-'iή- art application of U.S. Application No. 09/421,823, filed on October 20, 1999 and is a continuation- in-part of U.S. Application No. 09/421,828, filed on October 20, 1999, the entire contents of each of these applications being incorporated herein by reference.
BACKGROUND OF THE INVENTION
Chemical vapor deposition (CVD) is a process of forming a film on a substrate, typically, by generating vapors from liquid or solid precursors and delivering those vapors to the surface of a heated substrate where the vapors react to form a film. Systems for chemical vapor deposition are employed in applications such as semiconductor fabrication, where CVD is employed to form thin films of semiconductors, dielectrics and metal layers. Three types of vapor delivery systems commonly used for performing CVD include bubbler-based systems, liquid-mass- flow-control systems, and direct-liquid-injection systems.
Bubbler-based systems, or "bubblers," essentially bubble a stream of gas through a heated volume of liquid precursor. As the stream of gas passes through the liquid precursor, vapors from the liquid precursor are absorbed into the gas stream. This mixture of gases is delivered to a process chamber, where the precursor vapor reacts upon a surface of a heated substrate. Bubblers typically heat the volume of liquid precursor at a constant temperature. Over time, the constant heat often causes the precursor to decompose rendering it useless for CVD. In an effort to minimize decomposition, the bubbler is typically maintained at a temperature lower than that at which the vapor pressure of the liquid precursor is optimal. Liquid mass flow control systems attempt to deliver the precursor in its liquid phase to a vaporizer typically positioned near the substrate. The precursor is vaporized and is then typically entrained in a carrier gas which delivers it to the heated substrate. A liquid mass flow controller, which is a thermal mass flow controller adapted to control liquids, is used to measure and control the rate of flow of liquid precursor to the vaporizer.
Liquid mass flow controllers present a number of drawbacks. First, liquid mass flow controllers are extremely sensitive to particles and dissolved gases in the liquid precursor. Second, liquid mass flow controllers are also sensitive to variations in the temperature of the liquid precursor. Third, liquid mass flow controllers typically use a gas to assist in the vaporization of the liquid precursor, thereby increasing the probability of generating solid particles and aerosols and ensuring a high gas load in the process system. Fourth, most liquid mass flow controllers cannot operate at temperatures above 40°C, a temperature below which some precursor liquids, such as tantalum pentaethoxide (TAETO), have high viscosity. Due to its sensitivities, the liquid flow controller is accurate and repeatable to about 1% of full-scale liquid flow. Further, when a liquid mass flow controller wetted with TAETO or one of a number of other precursors is exposed to air, the precursor will generally react to produce a solid which may destroy the liquid flow controller.
Liquid pump-based systems pump the liquid precursor to the point of vaporization, typically at a position near the heated substrate. Liquid pump-based systems are generally one of two main types. One type uses a liquid flow meter in line with a high-pressure liquid pump. The other type uses a high-precision, high- pressure metering pump. Both of these systems are extremely sensitive to particles in the liquid. The liquid-flow-meter based system is also sensitive to gas dissolved in the liquid. Both are extremely complex to implement, and neither can tolerate high temperatures (maximum 50°C). The system with the metering pump has difficulty vaporizing high viscosity liquids. Finally, both are generally difficult to implement in a manufacturing environment due to their extreme complexity and large size.
Existing CVD equipment design is generally optimized for high process pressures. The use of high process pressures is most likely due to the fact that, until recently, CVD precursors were either generally relatively high-vapor-pressure materials at room temperature or were, in fact, pressurized gases. Examples include tetraethylorthosilicate (TEOS), TiCl4, Silane, and tungsten hexafluoride, etc. These materials were chosen because they had high vapor pressures and could therefore be easily delivered. The process pressure was generally well within the stable vapor pressure range of each of these materials.
SUMMARY OF INVENTION
Systems and methods are provided for processing and/or deposition of fluids that can be used for the fabrication of materials and structures for a variety of applications. The system is well suited for use in the fabrication of devices for the semiconductor industry, but can also be used in other applications involving flow control, thin film deposition and processing.
In addition to the fabrication of dielectric layers, metalization layers, and epitaxially grown semiconductor films including silicon, germanium, II- VI and HI-V materials, the system can be used for precision manufacture of optical thin films such as anti -reflective coatings or stacked dielectric structures including optical filters, diamond thin films or composite structures for multichip modules or optoelectronic devices.
In contrast to thin films of traditional CVD materials, future thin films require new source materials that have low vapor pressures and that are often near their decomposition temperature when heated to achieve an appropriate vapor pressure. Some of the precursors having both intrinsically low vapor pressure and low thermal decomposition temperature are considered the best choices for deposition of films of tantalum oxide, tantalum nitride, titanium nitride, copper, and aluminum. A CVD apparatus of this disclosure includes a vaporizer within a vaporization chamber and a dispenser positioned for dispensing a precursor to the vaporizer. A delivery conduit joins the vaporization chamber with a process chamber, where a chemical vapor is deposited on a substrate. A flow meter is positioned to measure vapor flow through the delivery conduit, and a flow controller is positioned to control vapor flow through the delivery conduit. Both the flow meter and flow controller are communicatively coupled with a processor programmed to control the flow controller to govern vapor flow through the delivery- conduit in response to the measured vapor flow.
In one embodiment, the flow meter includes a tube with a pair of open ends, the flow meter acting as a laminar flow element. The flow meter further includes a pair of capacitance manometers aligned with the open ends of the tube to measure the pressure drop across the laminar flow element. In a further embodiment, the flow controller is a flow control valve in communication with the flow meter.
An additional embodiment of the apparatus includes a reservoir for supplying precursor to the dispenser. The dispenser is controlled by the processor. The vaporizer, which receives precursor from the dispenser, includes a heated surface for vaporizing the precursor. A pressure sensor communicatively coupled with the processor can be positioned in the vaporization chamber. Accordingly, the processor can, in some embodiments, control the rate at which vapor is generated by the vaporizer, by, for example, controlling the rate at which the dispenser dispenses precursor from the reservoir to the vaporizer.
In another embodiment of the apparatus, an outlet of the delivery conduit is positioned in the process chamber, and a showerhead divides the process chamber into an upstream section and a downstream section, wherein the outlet is in the upstream section and a substrate chuck is in the downstream section. An upstream pressure sensor is positioned to measure vapor pressure in the upstream section, and a downstream pressure sensor is positioned to measure vapor pressure in the downstream section. Both the upstream and downstream pressure sensors are communicatively coupled with a processor. In a further embodiment, the showerhead is "active," enabling control over the vapor flow rate through the showerhead.
A preferred embodiment of the invention employs a valve system to precisely control fluid flow. There is a relationship between gas flowing through an orifice and the valve seat. This relationship is dependent upon many variables, like temperature, pressure in the volume surrounding the valve orifice and seat, pressure downstream of the valve orifice, gas viscosity, valve seat geometry, and geometry of the orifice, for example. With respect to the flow control manifold of the present invention, a primary concern is the change in flow relative to the distance of the valve orifice from the valve seat. The present invention uses the laminar flow pressure difference technique to measure flow. However, the flow is controlled by the position of the valve seat relative to the valve orifice. In this case, the control loop is closed by observing the pressure difference between pressure transducers and changing the position of the valve seat relative to the valve orifice to maintain a constant flow. The absolute position of the valve is not of primary interest for certain applications, only the resulting flow. However, in this valve system absolute position of valve seat to valve orifice is extremely repeatable (on the order of several microinches). This means that in some cases, the system can dispense with the flow measurement and rely solely upon the positional repeatability of the valve to attain repeatable flows. Thus, the flow of gas through the orifice is a function of the distance of the valve seat from the valve orifice. Other features found in embodiments of the apparatus include a heater in thermal contact with the delivery conduit, a DC or AC source connected to the substrate chuck, and an elevator for raising and lowering the substrate chuck. Also described herein is a cluster tool for semiconductor processing including a CVD apparatus, described above, connected to a central wafer handler. In a method described herein, a precursor is vaporized in a vaporization chamber, vapor flow between the vaporization chamber and a process chamber is measured, and the rate of vapor flow between the vaporization chamber is controlled in response to the measured vapor flow. Further, the vapor pressure of a precursor can be measured, and the rate at which the precursor is vaporized can be controlled in response to the measured vapor pressure, for example, by controlling the rate at which precursor is dispensed from a reservoir onto a vaporizer. The deposition can occur via a surface-driven reaction. Nevertheless, also covered are methods where deposition occurs via non-surface driven reactions.
A vaporizer, which can be used alone or as part of the above-described apparatus, has a sloped surface, which can be in the approximate shape of a dome or cone. More specifically, the vaporizer can be in the form of a stepped cone. Further, a plurality of vaporizers can be coupled to a process chamber. Each vaporizer can be coupled to a respective vapor-delivery subsystem. This embodiment enables multiple depositions to be performed using different precursors in a single process chamber. Specific precursors that can be used with methods and apparatus described herein include copper sources, tantalum sources, titanium sources and silicon sources. Using this apparatus, silica can be deposited by reacting TEOS and N2O at temperatures less than 600°C.
The vapor delivery conduit can be in the form of a unitary metal block with a bore or channel through which the vaporized precursor flows from the vaporization chamber to the process chamber. The block can include a laminar flow element mounted in the bore and a plurality of bores through which pressure sensors, a flow control valve and heaters are mounted. An advantage of the use of a unitary metal block as a component of the vapor-delivery subsystem is the high level of temperature uniformity that it provides. The various embodiments of these systems and methods provide numerous benefits. First, they allow the precursor to be delivered to the substrate in a much purer and higher-concentration or high- flux form than is achievable with the use of systems that use a carrier gas. As a result, the likelihood of gas-phase reactions and consequent formation of particles can be greatly reduced. Because of the higher concentration, which leads to a higher deposition rate, plasma need not be introduced into the process chamber. Consequently, the apparatus is simplified, and plasma-induced polymerization of precursor is reduced or eliminated. Second, control over the concentration of precursor delivered to the process chamber is enhanced, thereby improving control over film thickness and uniformity. Third, the direct delivery of vapor flow into the process chamber at low temperature and low pressure and without a carrier gas increases the efficiency of use of costly precursors in many applications by a factor of up to 10 or more over standard systems utilizing a carrier gas, which infer precursor vapor flow rates either from a theoretical pickup rate, which is carrier-gas and temperature dependent, or from a thermal mass-flow controller or liquid delivery system. Likewise, emissions of unreacted process gases from the process chamber can be maintained at very low levels because the absence of a carrier gas and generally lower flow rates and better residence times leads to a higher utilization efficiency of the precursor. Fourth, decomposition of the precursor is limited due to its short contact time with the heated vaporizer. While small amounts of precursor are delivered to the vaporizer, as needed, the useful life of the bulk of the precursor is preserved by maintaining it at a lower temperature in the reservoir. Fifth, the highly conformal nature of deposits that can be formed by methods described herein are useful in forming integrated circuits with line-widths of 0.25 microns (250nm) or less.
Other advantages of methods and apparatus described herein include the low sensitivity of the system to impurities such as dissolved gases and particles in the precursor, the relative ease of alternating between multiple precursors in a single system as a result of the ability to coordinate the use of each with a precursor delivery system, the ease of accessing and maintaining all subsystems, the low power requirements of the system, the use of only low voltages in the operating elements of the system and the small overall size of the system.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing and other objects, features and advantages of the invention will be apparent from the following, more particular description of preferred embodiments, as illustrated in the accompanying figures. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating principles of the invention.
Figures la and lb provide a schematic illustration of a CVD apparatus.
Figures lc and Id provide a schematic illustration of another embodiment of another CVD apparatus. Figure 2a is a cross-sectional illustration of a vaporization subsystem.
Figure 2b is a cross-sectional illustration of another embodiment of a vaporization subsystem.
Figure 2c is a schematic illustration of a control system. Figure 2d is an illustration, partially schematic, of a CVD apparatus including a plurality of vaporization subsystems coupled to a single process chamber.
Figure 2e is an illustration of a stepped vaporizer. Figure 2f is a sectional view of an embodiment of a shut-off valve.
Figure 2g is a sectional view of another embodiment of a shut-off valve.
Figure 2h is a sectional view of yet another embodiment of a shut-off valve.
Figure 2i is a sectional view of a further embodiment of a shut-off valve.
Figure 2j is a sectional view of a further embodiment of a shut-off valve. Figure 2k is a view, partially in section, of an embodiment of a throttle valve.
Figure 21 is a view of the throttle valve of Figure 2j shown from a different perspective.
Figure 2m is a view of a manifold incorporating shut-off valves and a throttle valve of this disclosure. Figure 2n is a view of the manifold of Figure 2m shown from a different perspective.
Figure 2o is a view of a lower-half section of a manifold incoφorating shut- off valves of this disclosure.
Figure 2p is a view of the lower-half manifold section of Figure 2o shown from a different perspective.
Figure 2q is a view of a flow control valve of this disclosure.
Figure 2r is a view of components of the flow control valve illustrated in Figure 2q.
Figure 2s is a view of the motor and actuator mechanism of the flow control valve illustrated in Figure 2q.
Figure 2t is an exploded view of the actuator mechanism of the flow control valve illustrated in Figure 2q.
Figure 2u is a view of a ringed disk incorporating a closed inside ramp of this disclosure. Figure 2v is a graph showing the relation of ramp height as a function of rotational position for a constant-slope ramp. Figure 2w is a graph showing the relation of ramp height as a function of rotational position for a variable-slope ramp.
Figure 2x is a view of a ringed disk incoφorating a spiraled ramp of this disclosure. Figure 2y is a view of a ringed disk incoφorating a pair of ramps having distinct profiles.
Figure 2z is a view of a flow control valve that uses a cam mechanism for actuating the valve.
Figure 3a is an illustration of a vapor-delivery subsystem. Figure 3b is an illustration of another embodiment of a vapor-delivery subsystem.
Figure 3 c is a side view of a plurality of vapor-delivery subsystems of the apparatus shown in Figure 2d.
Figure 3d is a chart of a representative vapor pressure in a vaporizer (described herein) versus time.
Figure 3e is a chart of a representative vapor pressure exiting a vapor- delivery subsystem.
Figures 3f and 3 g illustrate measured flow and pressure in an operating module. Figure 4a is a view, partially in cross section, of a process subsystem.
Figure 4b is a cross-sectional view of another embodiment of a process subsystem, with the substrate chuck in a retracted position.
Figure 4c is a cross-sectional view of the embodiment of Figure 4b, with the substrate chuck raised to a processing position. Figure 4d is another cross-sectional view of the embodiment of Figure 4b, with the substrate chuck in a fully-extended position. Figure 5a is an illustration of a shower head.
Figure 5b is a top view of a replaceable showerhead mounted within a ring. Figure 5c is a cross-sectional side view of the showerhead and ring illustrated in Figure 5b. Figure 5d is an illustration of a typical deposited layer formed in a cavity via PVD processes.
Figure 5e is an illustration of a typical deposited layer formed in a cavity via conventional CVD processes. Figure 5f is an illustration of a deposited layer that can be formed with the apparatus and method described herein
Figure 5g is a graph of pressure differential across a chuck versus the position of the chuck in a process chamber.
Figures 6a, 6b and 6c are perspective views of one embodiment of the CVD apparatus.
Figure 7 illustrates the control architecture of the CVD apparatus of Figures 6a-6c.
Figure 8 illustrates one embodiment of the main process control routine.
Figures 9a and 9b illustrate the operation of an embodiment of the vaporizer sub-process.
Figure 10 illustrates the processing performed by an embodiment of the vapor phase flow control sub-process.
Figure 11 illustrates an embodiment of a process chamber pressure control sub-process. Figures 12a through 12d illustrates an operation of inserting a substrate into the process chamber.
Figure 13 illustrates a cleanup sub-process.
Figure 14 illustrates an example portion of a schematic showing the closed loops present in one embodiment of a CVD apparatus. Figure 15 is an illustration of a cluster tool.
Figure 16 illustrates multiple cluster tools configured to be controlled by a single factory automation controller.
Figure 17 illustrates multiple cluster tools, each controlled by separate cluster tool controllers which are in turn controlled by a factory automation controller. Figure 18 illustrates an example of the processing steps performed by a cluster tool controller. Figures 19a -19c are graphs of CVD source vapor pressure curves for various sources versus temperature.
Figure 20 is a graph showing the refractive index of a silica layer at various positions across the surface of a substrate formed by methods described herein. Figure 21 is a graph showing the thickness of a silica layer at various positions across the surface of a substrate formed by methods described herein.
Figure 22 is a schematic illustration of a cluster tool for gate oxide deposition.
Figure 23 is a schematic illustration of a cluster tool for depositing aluminum and copper metallization films.
Figure 24a is a graph of vapor pressure versus source temperature for several copper sources.
Figure 24b shows copper pressure and flow values and chamber pressure as a function of time. Figure 24c shows the vapor pressure as a function of temperature for various materials.
Figure 25 is a chart illustrating a relative cost comparison of copper sources.
Figure 26 is a cross-sectional illustration of a device for microelectronics applications.
DETAILED DESCRIPTION
The features and other details of the method and apparatus will now be more particularly described with reference to the accompanying drawings. Numbers that appear in more than one figure represent the same item. It will be understood that the particular embodiments described and illustrated herein are shown by way of illustration and not as limitations of the invention. The principle features described herein can be employed in various embodiments without departing from the scope of the invention encompassed by the appended claims.
As illustrated in Figures la and lb, one embodiment of a CVD apparatus 10 includes four integrated subsystems, including a vaporization subsystem 12, a vapor- delivery subsystem 14, a process subsystem 16, and an exhaust subsystem 18. A distributed processing system, described below, provides integrated control and management of each of these subsystems. The distributed processing system and each of the subsystems 12, 14, 16 and 18 are all within a single free-standing CVD module 10 (illustrated in Figures 6a-c). The dimensions of the CVD module generally will not exceed a 1 m by 2 m footprint and preferably are no greater than about 1.2 m in length by about 0.6 m in width by about 1.8 m in height to achieve conformity with existing standards for integration with a wafer handler such that the free-standing CVD module can fit within the typically allotted footprint in a larger cluster tool configuration. In a further embodiment, the CVD module fits within a standard footprint, as established by MESC, the standard design architecture adopted by Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers, for connection to a wafer handler or transport module.
Each of boxes 103, 105, 107, 109, 111, 113, 115, 117 and 119 represents a separate control zone. Each of the control zones is independently heated with a separate cartridge heater 121. Further, the temperature in each control zone and at other heated elements of the apparatus is monitored by a resistance temperature detector or resistance thermometry detector (RTD) 131, of which one embodiment is a platinum resistance thermometer. The RTD can be encapsulated by a silicon nitride coating because of the heat conduction and low thermal mass of the silicon nitride. Alternatively, thermocouples or other temperature sensing devices can be used in place of the RTD's.
The vaporization subsystem 12, illustrated in Figure 2a, is designed to generate a controlled supply of precursor vapor for deposition. The precursor, initially in liquid or solid form, is stored in a reservoir 20 fabricated from a nickel- based alloy such as TNCONEL alloy or INCOLOY 850 alloy (available from Inco Alloys International, Inc., Huntington, WV). Alternatively, the reservoir 20 is formed of 316L stainless steel. A funnel 22 is provided at the base of the reservoir 20, with a dispenser in the form of a dispensing valve 24 at the focal point of the funnel 22 for dispensing precursor from the reservoir 20. Where a liquid precursor is used, the dispensing valve 24 is an axial displacement pulse valve. Where a solid precursor is used, the dispensing valve 24 is a rotary valve. The reservoir 20 is thermally insulated from the vaporization chamber 26, discussed below, and is maintained at a temperature below that at which the precursor will be subjected to significant decomposition. Optionally, multiple reservoirs 20 are provided, each filled with a different precursor and each feeding into the vaporization chamber 26. As each precursor is needed, the appropriate reservoir 20 can be utilized. Alternatively, multiple reservoirs 20 each feed into their own vaporization chamber. A system for governing the supply of various precursors to a cluster tool 120 having one or more vaporization chambers 26 is illustrated in Figure 2c. A cluster tool controller 802 is controlled by a programmable host computer or data processor 804, which sends high-level commands to a process module to govern the deposition process, including regulation of the delivery of precursors 806, 808, 810 for the deposition of titanium nitride, copper, and aluminum, respectively, for example. The cluster tool controller 802 is further programmed by the host computer 804 to regulate a pair of modules for annealing/diffusion 812, 814 and a separate module for pre-heating and pre-cleaning 816. Communication between each of these modules 806, 808, 810, 812, 814 and 816 and the cluster tool controller 802 is facilitated by a bus architecture that can include, for example, a ProfiBus data bus 818 in combination with an EtherNet / Epics data bus 820. Connected to the EtherNet / Epics data bus 820 is the cluster tool 120, allowing the cluster tool controller 802 to likewise govern operation of the cluster tool 120 to which the precursors from modules 806, 808, 810 are delivered. The system further includes a console for monitoring operation of the system 822 and a console for system maintenance 824. Both consoles 822 and 824 are connected to the cluster tool controller 802.
In operation, the cluster tool controller 802, as controlled by the host computer 804, can, in relatively rapid sequence, select various precursors from module 808, 810 and 812 for delivery to one or more vaporization chambers 26 (Figure 2a). This capability allows for a sequencing of starting materials in a single system, thereby allowing for a rapid sequence of depositions of different layers on a substrate in process modules of the cluster tool 120. Additional details regarding the various components of Figure 2c, alternative embodiments thereof, and methods of using the same are described in greater detail below.
A vaporizer 28 that has ever-increasing surface area at distances away from the dispensing valve 24 (e.g., the vaporizer having the approximate form of a cone or a dome) is used to vaporize the precursor. The vaporizer 28 functions as a falling film molecular still, in which a liquid precursor generates a wavefront flowing down the surface of the vaporizer 28. The temperature of the vaporizer 28 is set to vaporize the precursor over the course of its travel across the vaporizer 28 surface. Contaminants with higher vaporizing temperatures will generally flow down the surface of the vaporizer 28 and fall off without vaporizing.
The vaporizer 28 can be in the form of an inverted cone and is positioned to receive precursor flowing from the dispensing valve 24. The vaporizer 28 is made from a thermally-conductive material coated or plated, as required, for the best chemical compatability with the precursor. In one embodiment, the vaporizer 28 includes an electroless-nickel-plated OFHC substrate coated with a sulphamate nickel oveφlate, which in turn is optionally coated with rhodium oveφlating for very high corrosion resistance and inertness. The vaporizer 28 illustrated in Figures la and lb is designed for vaporizing a liquid precursor. Alternatively, a multi- stepped-shape cone, illustrated in Figure 2e, is used for solid precursors, wherein ridges are provided on the cone to collect the solid as it is delivered from the reservoir 20. In the embodiment of the stepped cone illustrated in Figure 2e, the width of each step 171 is 0.050 inch (1.3 mm), and the distance from the apex 173 to the first step 171' is 0.75 inch (19 mm).
One suitable embodiment of the vaporizer 28 illustrated in Figures 2a and 2b includes a cone with a height of 4.20 inches (10.7 cm) and a base diameter of 3.70 inches (9.4 cm). The vaporizer 28 and the reservoir 20 are removable so that they can be cleaned and replaced during scheduled maintenance. When in use, the vaporizer 28 is heated to a temperature sufficient to vaporize the precursor without causing it to suffer thermal decomposition. The vaporizer 28 includes a plurality of bores 29. Heaters, e.g. , WATT-
FLEX cartridge heaters 90 (available from Dalton Electric Heating Co., Inc., Ipswich, MA) are inserted into four of these bores 29. In one example, the heaters are 3.0 inches (7.6 cm) in length and 0.25 inches (6.4 mm) in diameter. The heaters supply 50 watts at 24-25 VAC, and can be heated above 1000°C. Typically, though, the heaters are operated in the vicinity of 200°C. Depending on the precursor, though, the vaporizer can be operated at least up to 250-300°C. A platinum resistance thermometer is inserted into a central bore 31.
The vaporizer 28 is not intended to be used as a "flash vaporizer." Rather, it is intended that the precursor will spread across the vaporizer 28 surface, from which vapors will evolve. The vaporizer 28 offers the advantage of not being sensitive to small particles suspended in standard grades of liquid CVD precursor used in the semiconductor industry. In this embodiment, suspended particulates are left behind on the vaporizer 28.
A vaporization chamber 26 surrounds the vaporizer 28 and is made of OFHC copper plated with electroless nickel and sulfamate nickel and also rhodium if highly reactive or unstable precursors are used. The vaporization chamber 26 includes a principal cylinder 30 and a vapor outlet 32. The vaporization chamber 26 essentially serves as an expansion volume and reservoir for vapors produced by the vaporizer 28.
A pressure sensor 34 can be positioned in the vapor outlet 32 for measuring the vapor pressure in the vaporization chamber 26. Alternatively, the pressure sensor 34 can be positioned in the principal cylinder 30. The pressure sensor 34 is heated to about the same temperature as the vaporizer 28 during operation to prevent condensation of the vaporized precursor. The pressure sensor 34 is coupled in a processor-driven control loop with the dispenser 24 to achieve a fairly constant pressure in the vaporization chamber 26. Because vapor flow in this system is driven by pressure differentials, the pressure in the vaporization chamber 26 is maintained above that in the process chamber 70. As pressure drops in the vaporization chamber 26, the dispenser 24 is signaled to dispense more precursor. Accordingly, the pressure sensor 34 and dispenser 24 work in concert to maintain the pressure in the vaporization chamber in a range between the pressure in the process chamber 70, discussed below, and the standard vapor pressure of the precursor at the temperature of the vaporizer. In this system, the response time for reestablishing the desired vapor pressure is typically about 10 seconds. The pressure sensor 34 can be a capacitance manometer with a 1000 torr full-scale range, or other, similar direct-measuring gauge. Figure 2b illustrates an alternative embodiment of the vaporization subsystem in which the base 21 of the neck 23 includes a groove, where the base is hollowed out to prevent thermal degradation of the precursor as it flows down rod 33 on the way to the vaporization chamber 26. Heat from the vaporizer 28 travels through the walls of the vaporization chamber 26 and into the neck 23. By hollowing out the neck 23, the inner wall 25 is spatially removed from the flow of precursor down the rod 33. The hollowed out section extends approximately midway up the neck 21. It ends at angled surface 27, above which the inner diameter of the neck is constricted. Vapor flowing up into the hollowed out section is prone to condense on angled surface 27, which directs condensed vapors back toward rod 33.
The vapor pressure throughout the system is maintained at relatively low levels. One reason why the system can be operated at low pressure levels is the close physical proximity of all of the subsystems. Accordingly, the vapors need travel only very short distances from vaporization to deposition. Because the vapor pressure and the velocity of the vapor are low, the transport of particles throughout the system is significantly reduced in comparison to higher pressure systems, such as those which use a carrier gas.
Alternatively, a plurality of vaporization subsystems similar to that illustrated in Figures 2a or 2b can be coupled to a single process chamber 70 through respective vapor-delivery subsystems, as shown in Figure 2d. The apparatus of Figure 2d includes three vaporization subsystems 12, 12', 12", three vapor-delivery subsystems 14, 14', 14" and a process chamber 70. Each of the components are covered with a layer of thermal insulation 199 to allow each element to operate thermally independent of one another. As an alternative to the embodiment illustrated in Figure 2d, each of the vaporization subsystems 12, 12', 12" can feed to a single vapor-delivery subsystem for delivery to the process chamber 70. In one embodiment, the lines 175 leaving each vapor-delivery-subsystem 14, 14', 14" are merged to form a single input into the process chamber 70. In an alternative embodiment, one or more lines 175' can form completely separate inputs to the process chamber; such an embodiment is advantageous where the lines 175 are heated to different temperatures to prevent condensation therein or where vapors in the different lines 175 can react with one another.
In this apparatus, each vaporizer is aligned with a dispenser filled with a different precursor. The benefit of employing a plurality of vaporization chambers 12, 12', 12" is that each can be used to generate a distinct vapor that can be deposited in sequence on the substrate. Accordingly, multiple layers of differing compositions can be deposited on the substrate without ever moving the substrate from its position in the process subsystem 16. For example, one embodiment of an apparatus used for forming stacked gate dielectrics has one vaporization subsystem 12 with a reservoir 20 filled with TEOS for forming a silica deposit, a second vaporization subsystem 12' having a reservoir 20' filled with TAETO for forming a tantalum oxide deposit, and a third vaporization subsystem 12" having a reservoir 20" filled with TiBr4 or TDEAT for forming titanium nitride.
In this example, the process commences with the generation of TEOS vapor in the first vaporization subsystem 12. The TEOS vapor is reacted with N2O to form a low-k dielectric film (SiO2) on a heated substrate. Background discussion of deposition of silicon dioxide from TEOS/N2O mixtures is provided in D. Davazoglou, "Thermodynamic Study, Composition, and Microstructure of Low- Pressure Chemical Vapor Deposited Silicon Dioxide Films Grown from TEOS/N2O Mixtures," 145 J. Electrochem. Soc. 1310 (April 1998), which is incoφorated herein by reference in its entirety.
After a sufficient thickness of the low-k dielectric film is deposited, the TEOS dispenser shuts off and vaporization commences in the second vaporization chamber 12', where TAETO vapor is generated and delivered to the process chamber 70, where the TAETO vapor is reacted with N2O to form a high-k dielectric film, tantalum oxide (Ta^), on the first (SiO2) dielectric film. Finally, TiBr4 or TDEAT vapor is generated in the third vaporization chamber 12" and reacted with ammonia (NH3) to form a very thin titanium nitride (TiN) deposit, which serves as a capping material for the tantalum oxide layer. The substrate can then be removed from the chamber. In alternative methods, the step of depositing TiN can be performed in a separate process chamber. Performing such a process in a single chamber is possible because the entire apparatus is designed to operate without a need for using plasma or other energy source to facilitate deposition. When plasma, for example, is used to enhance deposition, films tend to be deposited on the walls of the chamber, thereby leading to cross-contamination if alternating sources were delivered to the same chamber. In contrast, the surfaces of the chamber remain clean with the methods described herein The bodies of the vapor-delivery subsystems 14, 14', 14" illustrated in Figure 2d are formed of an aluminum block 197 with a flow path bored out of the interior. Bores in the block 197 are also formed for accommodating heaters and components that are exposed to the flow paths, such as pressure sensors 34, 48, 50 and valves 42, 44, 159, 58, with fittings machined into the block 197 for mounting each of the components. As in previously-described embodiments, a laminar flow element is mounted between the pressure sensors in the through-bore through which the vaporized precursor flows. By forming the structure from a single, unitary block of material, temperature uniformity throughout the vapor-delivery subsystem is promoted. Alternatively, the block 197 can be formed of stainless steel or other material that does not react with the precursor vapor. In another alternative embodiment, the block 197 has a solid aluminum shell that is filled with tadpole- shaped aluminum particles such as T-101 aluminum tadpole particles (1.4-mm length and 0.6-mm thickness) from Transmet Coφoration (Columbus, Ohio, U.S.A.).
Throughout the vapor-deliver subsystem 14 and elsewhere throughout the system, vapor flow is regulated via a combination of valves including (a) a normally- closed shutoff valve (also referred to herein as "isolation valve") that would contact condensable, possibly-reactive materials (e.g., valves 42, 58, 155 158, 159 and 160 in Figures la- Id); (b) a downstream pressure throttle control valve for controlling chamber pressure, which can have positive shutoff capability (e.g., valve 83 in Figures la- Id); and (c) a high-precision upstream gas pressure control valve for controlling the flow of gases into flow meter 46 (e.g., valve(s) 44/44' in Figures la- Id).
Commercially-available valves were generally found to be unsuitable for use with the typical operating conditions of CVD apparatus 10. Specifically, commercially-available valves were found to lack the combination of (a) sufficient temperature resistance to withstand typical operating temperatures of 100°C to 300°C in the CVD apparatus 10, (b) sufficient chemical resistance to resist deterioration from the vaporized chemicals delivered through the CVD apparatus 10, and (c) a sufficiently-high conductance for use at the low differential pressures (0.1 to 10 torr and centered around about 1.0 torr) that are typical in CVD apparatus 10. In addition to meeting the above criteria, the valves in CVD apparatus 10 can be relatively small and thermally conductive (to promote uniform temperature in the conduits through which the vaporized precursor flows). Commercially-available valves that might otherwise be suitable for the shut- off-valve application have been found unsatisfactory for many applications of this invention due to a limited thermal tolerance of the drive actuator mechanism (e.g., an inability to withstand temperatures above about 125°C due to a change in the permeability of soft iron in the solenoid) and/or a limited conductance due to the fact that those valves are designed for use under operating pressures ranging from 10 to several hundred psig and, accordingly, have orifices of only about 0.05-inch (1.3- mm) diameter.
The valves can have the capability to be uniformly heated and used at temperatures up to 300°C. Shut-off, throttle, and flow control valves of this disclosure can also be of an "insertable" design, wherein the valves are directly inserted into a manifold defining a conduit for fluid flow with the valve plunger being reciprocally displaceable within the conduit. Because the insertable valves of this disclosure do not include a separate inlet and outlet that must be coupled with the existing flow path, the insertable valves reduce the length of the fluid flow path and reduce the generation of turbulence within that path. Further, the valves can operate within an orifice of about 0.25 inches (6.4 mm) (compared to a typical valve orifice size of 0.002-0.015 inch (51-380 μm)), which provides a high conductance when used in the CVD apparatus 10. The valves can also be small in overall size. In one embodiment, the valves have approximately the same mounting dimensions as the capacitance manometers, thereby allowing for use of the same surface mounting arrangement as is used for the capacitance manometers in CVD apparatus 10. By standardizing the interfaces of the valves and capacitance manometers, parts can be minimized, assembly can be simplified, and seal types that are available worldwide can be used. The shut-off valves of Figures 2f through 2i are designed to accept a range of lengths of the valve plunger so that different geometries in the precursor delivery system can be accommodated. Within the precursor delivery system, each of the valves is inserted onto or into a block of metal that has been machined to accommodate it. The valves can be normally-open valves, normally-closed valves, or dual- acting valves, depending on the position of the return- actuating spring (if used) and on the position of the actuating gas inlet. The valves are generally made from aluminum (6061 in the first cases) for good thermal uniformity. Alternatively, the body of the valves can be made from stainless steel or other similar materials including nickel-based alloys such as INCONEL alloy (Inco Alloys International) and its variants and HASTELLOY alloy (Haynes International, Inc.) and its variants. The piston of each valve is made from the same material as the body to promote uniform thermal expansion of valve components.
The shut-off valve used in CVD apparatus 10 is formed of aluminum, which provides high thermal conductivity. The aluminum is hard-coat anodized (per MIL -
A 8625 C) to form a dense aluminum oxide coating. The elastomers in the valve can be CHEMRAZ E38 seals (or other CHEMRAZ seals, depending on the application intended) from Greene, Tweed & Co. (Kulpsville, Pennsylvania, U.S.A.).
One variation of the shut-off valve 240 illustrated in Figure 2f has a spring- loaded plastic dynamic seal 242, such as the ACCUSE AL "V" ACU600-0163 seal from Accuseal (Lakewood, Colorado, U.S.A.) or the VARISEAL Series M10 220-Z- T13 seal from American Variseal (Broomfield, Colorado, U.S.A.), acting as a linear wiping seal against a pneumatic piston 253. Both of the above-cited commercially- available seals can withstand temperatures up to 300°C. The VARISEAL seal has a jacket made from TURCON T13 material and a stainless steel cantilever spring with a medium spring load. The piston 253 is reciprocally driven within a shell 255 by air pumped through actuating gas inlet 257. The actuating mechanism provided by gas pumped into inlet 257 is counter-balanced by spring 263. Seal 242 will operate with reasonable life expectation at temperatures up to 225°C. Similar spring-loaded plastic seals are used as shaft seals 244 mounted around the shaft 254 of the piston 253. The seals 244 have been found to work well at temperatures up to 200°C and with lower operating pressures (12-15 psig) in the pnuematic actuator.
As the piston 253 reciprocates, the plunger 258 at the end of shaft 254 closes off and opens up vapor flow from inlet 259, through the valve, and out through outlet 261. When the plunger 258 is flush with the opening at inlet 259, vapor flow is halted. When the plunger 258 is retracted from the opening of inlet 259, flow through the valve is facilitated.
In the shut-off valve 250 of Figure 2g, metal bellows 252 are used in place of the plastic dynamic seal 244 (shown in Figure 2f). The metal bellows 252 allow for a higher operating temperature (up to about 300°C) and a higher actuating pressure. The interface of the valve 250 with the block 261 is sealed with seal 262, which can be formed of an elastomer. Alternatively, permeation through the seal 262 can be reduced by using a metal c-ring seal, such as the model H-306639 aluminum- jacketed seal from Garlock Helicoflex (Columbia, South Carolina). Similar metal seals such as those from Pressure Sciences, Inc. (Pittsburgh, Pennsylvania) can also be used.
The portion of the valve 240/250 that would actually close off the process gas flow can be fabricated into the body of the block 197 of the vapor-delivery subsystem 14 (shown in Figure 3c). In such an embodiment, the number of seals is reduced, better thermal uniformity is ensured, a smaller footprint results, and a lower profile and a higher rate of gas flow through the valve is possible. A KF seal type, including metal carrier 264 and O-ring 262, can be used between the valve and the block 197. The carrier 264 is welded to the bellows 252 to form a header for the end of the bellows seal that is exposed to process gases and other fluids. By nesting the smaller bellows 288 into the valve body (as shown in Figure 2j) the profile and overall length of the valve is reduced. As an alternative to the use of the elastomeric or metal O-ring 262, a seal can be formed between the valve 240/250 and block 197 by welding the valve to the block.
Alternatively, the bellows 252 can be positioned externally, as shown in Figures 2h and 2ia. In these embodiments, the connecting shaft 254 is extended with an extension 256 coupling the shaft 254 with the plunger 258. Moreover, the connecting shaft 254 is designed so that extensions 256 of differing lengths can be attached to the end of the shaft 254, thereby allowing it to be used in areas that have different depths of engagement. A CHEMRAZ E-38 elastomer 265, which has proven useful up to 300°C, is used in a replaceable valve plunger 258 at the nose of the valve. In the shut-off valve of Figure 2j, the effective area of the actuating bellows
251 is preferably greater than the effective area of the wetted sealing bellows 252 in order for the valve 250 to function effectively. In particular embodiments, the ratio of the effective area of the actuating bellows 251 to the effective area of the wetted sealing bellows 252 is as little as 2 to 1 but can be up to 10 to 1 of higher. The throttle valve is used to limit gas flow between a process chamber (gas source) and any kind of vacuum pump (gas sink). The throttle valve operates by changing the conductance between the gas source and the gas sink by one of the following mechanisms: (a) displacing a piston over an orifice (as in an MKS type 656 throttle valve), (b) moving a plate to obscure an orifice (as in a VAT type 64 throttle valve), or (c) by pivoting a plate in an orifice (as in an MKS type 153 or 253 throttle valve).
Presently-available throttle valves are generally limited by their inability to withstand temperatures above 200°C, and by having a design suited for levels of conductance that are much higher than is needed for many applications of this disclosure. The high level of conductance in known valves typically sacrifices the ability of known high-conductance valves to closely regulate flow. Throttle valves of this disclosure use the same basic design as the shut-off valves of Figures 2f-2i in terms of the following elements: the seal and bellows actuator assembly, the valve shaft, the flange sealing interface of the valve and the block, and the sealing valve nose. The designs differ, however, in that the throttle valves illustrated in Figures 2k and 21 use a stepper motor 266 coupled to a ball screw 267 as an actuator, whereas the illustrated shut-off valves of Figures 2f-2i use a pneumatically-driven actuator. Consequently, the inside process wetted valve mechanisms of the two valve types are essentially the same, while the actuator means/mechanisms differ. In the throttle valve of Figure 2k, the stepper motor 266 has a hollow motor core, which reduces the overall height of the valve. The hollow core allows the ball nut to rotate and induces the ball screw to move in and out through the hollow core. The screw 267 is fed through the motor 266 and allows the ball nut 268 to be bolted directly to the face of the stepper motor 266. A suitable commercially-available motor is the IMS M3-2220-IOS (inside-out stepper) motor manufactured by
Intelligent Motion Systems (Marlborough, Connecticut, U.S.A.). Motor sizes 17 (1.75 inches (4.4 cm) square) and greater are generally suitable.
The stepper motor 266 is isolated from the heat of the bellows-sealed valve body 269 so as to maintain the stepper motor 266 at temperature less than about 60°C. Removing the stepper motor 266 from the heat in the flow passage helps to ensure that the motor 266 does not overheat. This thermal isolation is achieved by leaving an air gap across a volume defined by an insulation ring 270 (a section of which is illustrated) formed of ULTEM polyetherimide from General Electric (Schenectady, New York, U.S.A.). The distance across the gap (between the stepper motor 266 and valve body 269) is about 0.5 inch (1.3 cm) for a typical 200 °C application. A heat sink 271 (a section of which is shown in the illustration) dissipates conducted heat from the heated zone if the valve and the self-heating aspect of the stepper motor 266. Additionally, radiation shielding or a larger or smaller air gap can be provided to regulate thermal exposure of the motor 266, depending on the application. The throttle valve can further include heaters and insulation incoφorated into the body 269 of the valve. The insulation helps to prevent undesirable radiative and convective losses. Further, the throttle valve can include a linear voltage differential transformer (LVDT) incoφorated into or onto the actuating shaft 254 or ball screw 267. The LVDT provides the operator with a direct measurement of the position of the valve. A suitable LVDT, which provides a relatively short operating stroke of about 0.5 inches (13 mm) and has a resolution down to 1 micro-inch (25 nm), but which is usable at a resolution of 50 micro-inches or more, is model CD 375-025, manufactured by the Macro Sensors division of Howard A. Shaevitz Technologies, Inc. (Pennsauken, New Jersey, U.S.A.). Other means that can be used to determine valve position include some kinds of rotary encoders (relative or absolute), step counting from one reference point like a limit switch; and step counting between two or more limit switches.
In one embodiment, illustrated in Figures 2m and 2n, the body of the throttle valve 269 is incoφorated into a heated manifold 280 that accommodates all of the other valves 44 in the apparatus that are to be heated but which are not integrated into an otherwise heated body such as the block 197 (see Figure 3c), the vaporization chamber 26 or process chamber 70 (see Figures la-Id). The manifold 280, illustrated in Figures 2m and 2n, is mounted to the outlet of the process chamber 70 (between the process chamber 70 and the vacuum 95 in Figure Id). The manifold 280 includes an upper-half section 283 and a lower-half section 284, which can be joined via screws, for example. The upper-half section 283 defines cavities within which valves, such as shut-off valves 44, can be mounted. Each of the valves 44, 83 in the manifold 280 has its own inlet 281 (see Figure 2n) and communicates with a common outlet 282. Figures 2o and 2p illustrate a lower-half section 284 of a manifold with flow control valves 44 mounted at three of the ports. The lower-half section 284 of the manifold 280 defines the fluid flow passage, which extends through the manifold 280 and exits through the outlet 284.
The flow control valve 44 (shown, in context, in Figures lc-ld) is used upstream from the process chamber 82, where it modulates the flow of gas from the vaporization chamber 26. The main practical difference between the downstream pressure-control throttle valve 83 and the upstream flow control valve 44 (Figures lc and Id) is that the flow control valve 44 offers a much more precise degree of flow control due to the very fine control exercised by an actuator over the position of a plunger relative to the valve inlet. In this case, flow is controlled by changing the position of a piston over an orifice, thereby changing the flow of gas between the orifice and the volume surrounding the orifice.
Figure 2q provides an illustration of a flow control valve 44 of this disclosure. The flow control valve 44 utilizes a stepper motor 266 optionally coupled with a gear box 286 containing an arrangement of reducing gears. A rotary drive shaft extends from the gear box 286 into actuator body 293, the contents of which are better illustrated in Figures 2r-2t. The motor's rotary drive shaft is axially fixed via coupling 289 to axle 306 (shown in Figures 2s and 2t) upon which is mounted ball bearings 290 (both of which are shown in Figure 2t) and a disk 276 with a ring that contains a circular inside ramp. The spacing along axle 306 between each of the elements (e.g, bearing 290, yoke 287, disk 276, and end plate 291) is 0.01 inch (0.25 mm). The disk 276 is fixed to the axle 306 by a screw threaded through orifice 307. Meanwhile, the ball bearings 290 are rotatably mounted to the actuator body 293, the outline of which is illustrated in Figure 2q. The ball bearings 290 support the axle 306, thereby reducing the moment on the motor's rotary drive shaft.
As the axle 306 rotates, the circular inside ramp 272 (best illustrated in Figure 2u) likewise rotates along with the disk 276. By rotating the ramp 272, a ball bearing 274 (shown in Figures 2r-2t) resting on the ramp 27 at a fixed lateral position rises and falls, depending on the direction of rotation. The ball bearing 274 is rotatably mounted on a post 299 (shown in the exploded view of Figure 2t), wherein the post 299 is rigidly mounted to a yoke 287. Axial (in this case, vertical) motion of the ball bearing 274 is thereby directly translated to the yoke 287. The yoke 287 further includes a central orifice within which the axle 306 rotates without hindrance but constrains the yoke 287 from any twisting motion about the axle 306. Bronze bushings 292 are affixed to each end of the yoke 287. As shown in Figure
2r, one of the bushings 292 couples the yoke 287 with an adjuster 294, which in turn is coupled with a shaft 254. The shaft 254 is then coupled with a second adjuster 294'. In one manifestation, alternatively, linear bearings are used in place of bronze bushings 292. One of the adjusters 294/294' is a right-hand screw, while the other adjuster 294/294' is a left-hand screw. As a consequence of this configuration, the distance between the plunger 258 and yoke 287 can be lengthened or shortened by rotating shaft 254 while the rotational position of the adjusters 294, 294' remains fixed. The far end of adjuster 294' is mounted to a piston 308 with the plunger bellows isolated 258 at its remote end. Alternatively, the bellows can be replaced by a metal diaphragm. As shown in Figure 2q, a standoff valve 296 provides a spacing between the motor/actuator assembly and the hot fluids passing on the opposite side of the seal assembly 295 and regulated by the reciprocable plunger 258. The length of extension of the standoff valve 296 can be set as a function of the desired operating temperature. For example, the illustrated embodiment has a 4-inch long standoff valve, which allows it to be used in systems where the regulated fluid has a temperature of about 300°C.
Alternatively, the flow control valve 44 can be a custom high-temperature valve from MKS Instruments (Andover, Massachusetts) based on their Type 148J valve fitted with a custom coil rated for operation up to 200°C. The Type 148J valve can have a larger than normal orifice, which accommodates the low flows and low pressure drops across the orifice. The MKS Type 148J valve has very rapid response in that it essentially comprises a solenoid coil that develops a magnetic field that is applied as an attractive force to a spring-loaded moving piston. One end of the moving piston is positioned on or near an orifice. Moving the piston (pulling it back from the orifice by changing the attractive force of the magnetic field developed by the coil) changes the gap between the piston and the orifice and, thereby, changes flow. A trade-off is made, speed of response against length of linear stroke of the moving piston. The MKS 148J valve has a very rapid response (milliseconds), but has a stroke limited to about 0.025 inches (0.64 mm). The flow control valve as shown at 44 has an orifice between about 0.05 mm and about 12 mm. One flow control valve of this disclosure has a moderately large orifice (e.g., about 6 mm diameter), a high temperature tolerance (e.g., up to 400°C) at the orifice provided by comprising the structure of stainless steel or INCONEL alloy, a small footprint (typically about 1.5 in. x 1.5 in. (3.8 cm x 3.5 cm)), and a resistance to the chemicals that it will be exposed to at its wetted surfaces. The flow control valve also has the same basic design as the shut-off valve of Figures 2f-2i in terms of the seal and bellows actuator assembly, the valve stem, the flange sealing interface, and the sealing valve nose. Additionally, greater position resolution can be provided by using the same type of stepper motor as is used in the throttle valve, above, as well as the same type of ball screw, ball nut, and air gap insulation, with a tradeoff of size for finer resolution.
The flow control valve need not provide a sealing function and, accordingly, does not need to have an elastomer at the valve nose. By eliminating the sealing elastomer, the uncertainty of introducing another variable into the positioning repeatability of the valve is eliminated. The flow control valve has a nose with a flat or slightly convex surface formed of a metal, such as INCONEL alloy, or alternatively, if some limited shutoff capability is desired, a material that has a limited elastic deformation feature, of high-temperature plastic, such as VESPEL polyimide from E. I. du Pont de Nemours and Company (Wilmington, Delaware, U.S.A.) or PYROPEL polyimide from Albany International Research Co. (Mansfield, Massachusetts, U.S.A.)
In one embodiment, the flow control valve uses the same direct-drive mechanism as is used in the throttle valve, i.e., a stepper motor 266 to a ball screw 267 (used as a linear actuator), as illustrated in Figure 2j, and connected to the upper flange of the isolating bellows. An LVDT coupled with the shaft 254 provides direct, absolute measurement of the position of the flow control valve. The LVDT further allows the position of the shaft to be determined at the start of a cycle or at any other time when the initial rotary position of the inclined ramp may be unknown.
The height of the rotary inside ramp or guide 272 illustrated in Figure 2u is an essentially linear function with respect to degree of rotation; note, however that the ramp 272 is tapered near its peak proximate to the start of the ramp 272. Further, the magnitude of the slope of the ramp 272 over the length of the ramp 272 can be changed to thereby change the full scale stroke of the valve shaft 254.
Alternatively, the ramp or guide 272 can be contoured in a non-linear manner in accordance with a function that makes the change in fluid flow past the valve seat proportional with rotation of the disk. Consequently, changes in flow rate accompanying fixed changes in rotational position of the inside ramp 272 are linearized over the range of disk rotation. In another alternative design, the slope of the ramp 272 is designed to increase sensitivity under certain flow requirements. For example, a ramp for the first 75% of the ramp 272 can be provided in the range of 0-10% of possible flow, while the ramp 272 becomes steeper over the remaining 25% to provide proportional changes in conductance over the length of the ramp 272. The approximate contour of one embodiment of such a ramp is graphed in Figure 2w.
A side view of another flow control valve with a stepper motor, reducing gear and the closed inside ramp is illustrated in Figure 2z. The valve of Figure 2z differs from that of Figure 2q in that the valve of Figure 2z uses a cylender with an accentric bore 298 in place of the rotary inside ramp.
Compared with the valve of Figure 2z, an advantage of a valve with a rotary inside ramp 272 (as shown in Figure 2q) beyond the fine degree of control over shaft reciprocation is that the inside ramp 272 can provide nearly a full circle (e.g., about 350°) or more of reciprocation, whereas the illustrated cam of Figure 2z offers only 180° of reciprocation.
Another advantage offered by the rotary inside ramp is that it can provide a high level of reduction (particularly when the ramp has a very small slope) without the large amount of mass associated with gear reduction. The smaller mass of the inside ramp enables more-rapid valve response. For example, one embodiment of a valve of this disclosure, which utilizes an inside ramp, can cycle from a full-valve- open position to a full-valve-closed position (or vice versa) in about 50 to 100 ms. Whereas, a valve utilizing worm-gear reduction, typically requires a couple seconds to cycle between full-open and full-closed positions. Additionally, the reduced mass of the actuator mechanism (including the inside ramp) enables a stepper motor driving the inside ramp to be positioned at a position relatively remote from hot fluids passing through the valve without raising the overall mass of the actuator mechanism to a level where the valve cannot be rapidly actuated. Consequently, the valve can be effectively operated to regulate fluids at 200-500°C. Applications for this valve that are rendered suitable by the valve's heat tolerance include the regulation of fluids in a nuclear reactor and the regulation of superheated steam in a refinery.
Further, valves with the inside ramp can be operated to govern displacement rates since the desired displacement profile can be designed into the slope of the ramp, as discussed above. For example, the slope of the ramp can change as a function of rotary position (see graph in Figure 2w) to increase or decrease the rate of shaft displacement without changing the rate of rotation of the inside ramp. Accordingly, the profile of the ramp can be selected to displace the shaft of the valve in accordance with a function matching known conductance values so that conductance through the valve varies linearly with changes in the rotary position of the inside ramp. In other embodiments, the slope of the ramp can be decreased at positions of interest where finer degrees of control are desired. The total rise in the ramp, and corresponding range of axial displacement of the valve shaft is about 0.025 - 0.1 inch (0.6-2.5 mm), typically about 0.05 inch (1.25 mm). The rotary position of the inside ramp can be controlled with a hand crank, for example, that is axially coupled with the ramp.
In additional embodiments of valves with rotary inside ramps, the ramp may extend for substantially more or less than one rotation of the circle. For example, the structure of Figure 2y includes two ramps 272, 272' of different profiles/slopes within the ring of the disk 276. Each ramp 272, 272' extends along nearly 180° along the inside of the ring of the disk 276 before reaching a tapered end portion at its peak. The operator or automated software can select between the two ramps 272, 272' on the basis of which of the two valve positional-closure functions associated with the ramps is desired for a given application. In another embodiment, illustrated in Figure 2x, the inside ramp 272 extends in a spiral over about 1000° of rotation.
The spiraled inside ramp can provide a long valve stroke without sacrificing precision and without significantly increasing the overall size of the actuator mechanism. The ball bearing 274 on shaft 299 (coupled to a yoke structure like 287) travels on the inside inclined surface.
An embodiment of a vapor-delivery subsystem 14 is illustrated in Figure 3a. All items in the vapor-delivery subsystem 14 are enclosed in a heated conductive sheath, preferably of aluminum, which heats the items to approximately the same temperature as the vaporizer 28. The conductive sheath has a 3-inch by 3-inch (7.6- cm x 7.6-cm) square cross-section with a bore of just over 1-inch (25 mm) diameter in the center to accommodate the delivery conduit 40. Further, the conductive sheath includes casts of pressures sensor 48, 50 and other instruments, allowing the conductive sheath to conform to the exterior shape of the vapor- flow subsystem. The conductive sheath includes bores into which heaters, e.g. , Watt-Flex® cartridge heaters and temperature sensors, are inserted. A delivery conduit 40 joins the vaporization chamber 26 and the process chamber 70. Preferably, neither the length of the delivery conduit 40 nor the distance between the vaporization chamber 26 and the process chamber 70 exceeds 25 cm. The series of valves, described above, controls the flow of vapor between chambers 26, 70. The shut-off valve 42 seals the vaporization chamber 26 from the delivery conduit 40. In elements, such as the shut-off valve 42, which must withstand high temperatures, all elastomer seals are a special high temperature material, such as CHEMRAZ E38 seals (or other
CHEMRAZ seals, depending on the application intended) from Greene, Tweed & Co. (Kulpsville, Pennsylvania, U.S.A.). DuPont KALREZ 8101, SAHARA or DRY seals may also be used but have been observed to offer poorer thermal stability relative to the CHEMRAZ seals. These o-ring seals can have dimensions meeting the standards established by the International Organization for Standardization (ISO
2861/1).
The flow control valve 44, shown in Figure 2q is designed to withstand high temperatures, provide high conductance and provide chemical compatibility with wet precursors. Alternatively, a plurality of valves 44' connected in parallel, as illustrated in Figure la, can be used in place of a single flow control valve 44. The flow control valve 44 is positioned downstream from the shut-off valve 42 and is upstream from a flow meter 46 consisting of a pair of pressure sensors 48, 50 and a laminar-flow element 54. In the illustrated embodiment, the laminar-flow element is an open-ended tube 54 inserted through an orifice in an otherwise solid block 56 blocking flow through the delivery conduit 40. In one embodiment, the tube 54 has a length of 8.0 inches (20 cm), an outer diameter of 0.375 inches (9.5 mm), and an inner diameter of 0.280 inches (7.1 mm). The tube 54 is oriented concentrically with and within the delivery conduit 40. In one embodiment, the pressure drop across the tube 54, as vapor flows through the delivery conduit 40, is on the order of 0.1 torr. The delivery conduit 40 has an internal diameter (I.D.) that is larger than that of pipes conventionally used for vapor precursor delivery in existing CVD systems. For example, the internal diameter of delivery conduit 40 can be between 12 and 40 mm. More specifically, the internal diameter can be about 25 mm. The use of such a wider-I.D. conduit for vapor transport between the vaporization chamber 26 and the process chamber 70 (see Figures 4a-d) permits higher conductance for the vapor flow therein and, consequently, allows for adequate vapor flow at lower pressures. The vaporized precursor is delivered to the process chamber 70 through conduit 40 at no more than 50% dilution. The vaporized precursor can be delivered to the process chamber in a substantially undiluted state (i.e., less than 10% dilution). Further, the vaporized precursor can be delivered in an intrinsically pure form.
Additional conduits 141 can also be provided to deliver vaporized precursors from other vaporizers to the process chamber 70.
Each of a pair of pressure sensors 48, 50 (e.g., capacitance manometers) is respectively aligned with an open end 57/59 of the tube 54. Accordingly, the difference in pressure measurements from the two pressure sensors 48, 50 will reflect the pressure drop across the tube 54, thereby allowing the rate of vapor flow through the tube 54 to be calculated. A capacitance manometer is an electronic gauge providing a direct measurement of pressure in the delivery conduit 40. Where capacitance manometers are used, each manometer preferably has the same full- scale range, typically 10 torr. Suitable capacitance manometers include a specially- constructed Baratron® 121-based absolute pressure transducer (available by special order from MKS Instruments) and the model 622 Barocel® bakeable vacuum/pressure transducer (available from Edwards High Vacuum International, Wilmington, MA). The Baratron® transducer is specially built and calibrated to operate at 200°C, in comparison to a standard Baratron® transducer, which is typically limited to 150°C.
The transducers are modified to remove all unnecessary mass and to promote uniform temperature distribution across the transducer. Accordingly, as a first step, the cover or shell of the transducer is removed. To do so, the cables attached to the Baratron® transducer are removed, the shell of the transducer is removed and discarded, and the cables are shortened and reattached. The housing support ring is also removed and discarded. Further, the port of the transducer is removed. Its length is machine cut, and it is then reattached. The electronics of the transducer are then re-calibrated to match the changed capacitance of the modified transducer. While the Barocel® transducer is available, off the shelf, for use at 200°C, as with the Baratron® transducer, the case of the Barocel® transducer is removed, and its cables are removed and replaced.
In an alternative embodiment, illustrated in Figure 3b, the solid block 56 surrounding the laminar flow element 54 extends further toward the ends of the laminar flow element 54. By lengthening the block 56, the volume of open volume surrounding the laminar flow element 54 is reduced. This open volume is generally considered to be "dead space." Reduction of this dead space is thought to provide a more direct and efficient flow path through the delivery conduit 40. Further still, all or nearly all dead space can be removed as the block 56 and the laminar flow element 54 essentially form a single tubular component such that vapor flowing through the conduit 40 will hit a wall at the capacitance manometer 48 and be directed through a bore, which acts as the laminar flow element 54, within that wall. Also shown in Figure 3b is a heated aluminum sheath 55, which is in thermal contact with the delivery conduit 40 and other components of the precursor delivery system. The flow control valve 44 is coupled with the flow meter 46 in a processor- driven control loop to regulate the flow of vapor through the delivery conduit 40. Accordingly, the flow meter 46 provides feedback regarding the pressure differential in the delivery conduit 40, and this feedback is used to direct the flow control valve 44 to increase or decrease flow, which in turn, will respectively increase or decrease the pressure differential in the delivery conduit 40, as measured by the flow meter 46. This responsive regulation of the flow control valve 44 is continued until the pressure differential, as measured by the flow meter 46, matches that which is needed to supply the precursor at the desired rate for reaction in the process chamber 70.
Alternatively, a single differential pressure transducer capacitance manometer, which measures a pressure drop across the laminar flow element, can be used along with a single absolute pressure transducer in place of the pair of capacitance manometers. Other alternative means for inducing a predictable pressure drop include a choked flow element or a molecular flow element in place of the laminar flow element. The vapor-delivery subsystem 14 further includes a second shut-off valve 58 positioned downstream from the flow meter 46. Charts showing representative vapor pressure over time at the inlet of the vapor-delivery subsystem 14 is provided in Figure 3d, while representative source flow at the outlet of the vapor-delivery subsystem 14 is provided in Figure 3e. Figures 3f and 3g illustrate measured flow and pressure in an operating system.
In parallel with the vaporization and vapor-delivery subsystems 12, 14, a process gas subsystem 150 supplies additional reactant, purge and other process gases to the process chamber 70. The illustrated subsystem 150 includes sources of argon 152, helium 154, and nitrous oxide (N2O) 156. Gas flow from each of these sources is regulated by a plurality of valves 162/164/169 and 161/163/168 with a mass flow controller 165/166/167.
In specific processes, nitrous oxide from source 156 flows through valve 157 into process chamber 70 through exit port 143 for reaction with the vaporized precursor delivered through delivery conduit 40. Other gas sources or reactants may be used for deposition of other specific materials. After deposition is performed, argon from source 152 flows through valve 157 into process chamber 70 to purge the chamber 70. By opening valve 160 in conjunction with at least one of valves 155, 158 or 159, particular subsystems or segments of CVD apparatus 10 can be independently isolated and evacuated or backfilled. Additional reactant sources, including plasma-ionized gas can be linked into the process gas subsystem in parallel and with or without the nitrous oxide for delivery to the process chamber 70. Helium from source 154 is delivered through valve 157 into process chamber 70, where it is channeled through a conduit for release between a substrate chuck 74 and a substrate 88 upon which vapors are deposited to improve the transfer of heat between the substrate chuck 74 and the substrate 88. The process subsystem 16 is designed to perform the actual deposition of reacted precursor vapor onto a substrate. The process subsystem 16, illustrated in Figure 4a, includes a process chamber 70, a showerhead 72 and a substrate chuck 74.
The process chamber 70 typically is formed of electro less-nickel- and sulphamate-nickel-plated 6061 aluminum and is operated between 50°C and 300°C. The process chamber 70 includes an access port 123, which can be joined to a wafer handler or cluster tool for transporting wafers into and out of the process chamber 70. A gate valve 125 is mounted to the access port 123 for controlling access there through. The process chamber 70 further includes an inlet port 76 in an upstream section 78 of the chamber 70 and an exhaust port 80 in a downstream section 82 of the chamber 70 through which vapor flow is managed. An outlet of the delivery conduit 40 projects into the chamber 70 through the inlet port 76, while the exhaust port 80 is connected to the exhaust subsystem 18. A pressure sensor 51 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the upstream section 78. At least one other pressure sensor 53 (e.g., a capacitance manometer) is positioned to measure the vapor pressure in the downstream section 82.
A showerhead 72 segregates the process chamber 70 into upstream and downstream sections 78, 82. In one embodiment, the showerhead 72 comprises electroless-nickel- and sulphamate-nickel-plated 6061 aluminum and is in the form of a flat, circular plate with passages 84 for gas flow. The showerhead 72 is either passive, as illustrated in Figure 4a, or active. An "active" showerhead is a showerhead which undergoes a change to alter the rate at which vapors flow through it. The active showerhead can include an array of phase-change eutectic milliscale valves in place of the small holes 84 illustrated in Figure 5a. These valves, which are available from TiNi Alloy Company (San Leandro, CA), are made of a thermal- phase-change material comprising a micromachined titanium and nickel alloy. The valves, which, in one embodiment, are about 0.1 inch (2.5 mm) in diameter, can be formed in situ on the showerhead plate en masse. The valves open when current is applied. The valves react in milliseconds, so they can be used in real time. They can also be used to effect dynamic patterns of valve actuation, e.g., sweeping action, pulsing, spots, etc. In an alternative embodiment, the showerhead 72 is a smaller plate with a diameter approximating that of the substrate 88. This embodiment is shown from a top view in Figure 5b and, in cross-section, from a side view in Figure 5c. As shown, the showerhead 72 is replaceably fitted into a larger ring 73 and is no larger than a confined process volume, described below. Accordingly, various showerheads may be exchanged in the larger ring for use with different sized substrates and with different process conditions. The use of smaller showerheads reduces cost, provides greater flexibility in processing, and concentrates the flow of process gases exclusively into the volume immediately above the substrate 88.
A substrate chuck 74, positioned in the downstream section 82, comprises electroless-nickel-plated OFHC copper, with an electroplated sulphamate nickel oveφlate, and, optionally, an overcoat of a flame-sprayed aluminum oxide or other, similar insulating ceramic. The substrate chuck 74 is secured with Hastalloy screws and lock washers and is designed to hold a substrate 88 upon which the precursor is to be reacted. The substrate chuck 74 includes a plurality of bores 75 radiating outward and into the substrate chuck 74. A platinum resistance thermometer or thermocouple is inserted through one of the bores 75 to measure the temperature of the substrate chuck 74 The substrate chuck 74 is heated by Watt-Flex® cartridge heaters (available from Dalton Electric Heating Co., Inc., Ipswich, MA) inserted into the remaining bores 75. In this embodiment, the heaters are 2 to 3 inches (5.1 to 7.6 cm) in length and 0.25 to 0.5 inches (6.35 to 12.7 mm) in diameter. The heaters supply 225 watts at 208 VAC, and can be heated above 1000°C. Comparable wattage heaters at 24-25 VAC can alternatively be used. The heaters, however, are typically operated at a maximum of 650°C, and, more commonly, around 300- 500°C. These temperatures are considerably lower than the temperatures to which a substrate is typically heated in conventional thermal CVD processes, i.e., 800- 1300°C. The reason why the substrate can be operated at lower temperatures is that the vaporized precursor is provided at higher concentrations at the substrate due to the absence of a carrier gas, the shorter delivery paths, and the higher conductance of the conduits.
As an alternative or supplement to the above-described heating means, the substrate can be heated by a laser, an ion beam, an electron beam and/or photon- assisted energy sources. In any case, the substrate is heated to a temperature higher than the temperature of the walls of the process chamber.
In one embodiment, a DC or AC bias is supplied to the substrate chuck 74 by a voltage source 79. The elevator shaft can also be biased in order to provide electrical bias across the substrate. The electromagnetic field generated by the bias can influence the crystalline structure of the thin film as it grows on the substrate. It has been shown that an otherwise uniform film (with a lattice orientation of <100> for example) can be induced to grow in a different crystalline structure (<111> for example). In some cases, a film is induced to grow in a gradient from one structure (e.g., <100>) to another (e.g., <111>) by applying either a DC or AC bias to the substrate 88 relative to the rest of the chamber. To achieve this bias, a ceramic ring is used to electrically isolate the substrate chuck 74 from the process chamber 70 and other components within the process chamber 70, which are held at ground. Alternatively, and more commonly, the lower portion of the process chamber 18 coated with aluminum oxide of sufficient thickness to isolate the chuck and bellows from the chamber.
A substrate 88, e.g., a silicon semiconductor wafer, is mounted on the substrate chuck 74 and is subject to the generated DC or AC bias. A mask (or clamp) 94 extends down from the showerhead 72 and forms a ring which masks the outer 0.5 to 3.5 mm or more but more typically 1.5 to 2.0 mm from the edge of the substrate 88. The mask 94 also shrouds the edge of the substrate 88 and prevents CVD from occurring on the edge or underside of the substrate 88. The mask 94 is formed of a material having very low thermal conductivity to minimize heat loss to any area, other than the substrate, that is exposed to unreacted process gas. The mask can be formed of either Incoloy® 850, Elgiloy® (available from Elgiloy Ltd. Partnership, Elgin, IL) or molybdenum and, optionally, includes a coating of either aluminum oxide (Al2O3), silicon dioxide (SiO2) or other, similar dielectric material. Alternatively, the mask 94 is formed of ceramic. When the substrate chuck 74 is lowered, the mask 94 is suspended above the substrate 88.
A flow shield 77 extends down from the showerhead 72 and forms a ring within which the substrate 88 is positioned. The flow shield 77 channels the flow of reactant gases through the showerhead 72 and across the exposed face of the substrate 88.
The substrate chuck 74 is raised and lowered by an elevator 96, upon which the substrate chuck 74 is mounted. The elevator 96 is electrically isolated. The elevator 96 is powered by a stepping motor 97, with the power being transmitted by a drive shaft 99. The position of the elevator 96 is continuously adjustable over a range from fully retracted to fully extended, providing a working stroke of about 70 mm. The changing position of the substrate chuck 74 is measured by a linear voltage differential transformer 101, which can measure the height of the elevator with sub-micron precision. By raising and lowering the substrate chuck 74, the flow character of vapor reactants above the substrate 88 can be altered. Accordingly, the substrate chuck 74, when raised and lowered by the elevator 96, can be used as a throttle valve controlling the flow rate through the showerhead 72. The vertical position of the chuck 74 can also be changed to modify the microstructure and properties of the deposited film. The differential in pressures measured by sensors
51 and 53 is charted in Figure 5g, wherein chuck position is measured in mils and pressure differential across the chuck is measured in torr. This pressure differential can be used to control or monitor the process.
Further, the showerhead 72, the mask 94, the replaceable gettering ring 89, the flow shield 77 and the substrate 88 are positioned to cooperatively define a confined process volume to which the vapor precursor and, if required, reactant gas are delivered and in which deposition will occur. In one embodiment, the diameter of this volume (i.e., as defined by the mask 94) is no more than about 120% the diameter of the substrate. The height (or depth) of the volume is a function of the position of the elevator, which governs the height of the substrate 88. This volume, where processing occurs, is much smaller than that of conventional CVD reactors and, consequently, improves the efficiency of deposition on the substrate.
Deposition occurs when process gases contact the heated substrate 88 and react to form a solid thereon. Deposition at the surface of the substrate can be rate- limited either by the rate of precursor transport or by the rate of reaction at the surface. In a typical CVD and plasma-enhanced, plasma-assisted or plasma- promoted vapor deposition (PECVD, PACVD, PPCVD) process, the limiting factor is the rate of precursor transport. Consequently, the rate of surface reaction will be sub-optimal and the vaporized or dissociated precursor will tend to react and deposit in a line-of-sight manner on the first hot surface that it contacts. Moreover, the use of a plasma, causes vapor-phase reactions which also mitigate against conformal coverage on the surface. As a consequence, and as shown in Figure 5e, the deposited layer 192 formed at the mouth of an etched cavity 194 in a substrate will grow much more quickly than will a layer 192 forming on more remote areas of the cavity 194. For further comparison, Figure 5d illustrates a typical deposited layer 192 formed via physical vapor deposition (PVD). The deposited structure 192 has a similar pinched-off shape with very little deposit forming at the deeper regions of the cavity 194. This imbalance results from the directional, line-of-sight deposition that is characteristic of PVD. In contrast, however, Figure 5f illustrates the approximate structure of a conformal deposit 192 that can be formed in accordance with the methods and equipment described herein. In this embodiment, the pinching effect at the mouth of the cavity 194 is noticeably diminished because the deposition process is limited by the reaction kinetics at the surface rather than by the rate of precursor transport, with the resulting tendency for deposition to occur simultaneously and uniformly on all exposed surfaces of the substrate. A plurality of pins (preferably, at least three) engage the substrate chuck 74 through bores within the substrate chuck 74. The pins are cylindrical with rounded ends. One such pin 74a is illustrated in Figure 4a. In this embodiment, the pins are mounted to the base of the downstream section 82 of the process chamber 70. On the other hand, when the elevator 96 is lowered, the substrate chuck 74 slides down the pins toward the base of the downstream section 82. When the substrate chuck 74 is fully retracted, the pins extend through the top surface of the substrate chuck 74 to lift the substrate 88 off the chuck 74. After it is lifted off the chuck 74, the substrate 88 can then be removed from the process chamber 70 by a robot arm. A similar process, illustrated in Figures 12a-d, is performed to place the substrate on the chuck.
In an alternative embodiment, illustrated in Figure 4b, each self-aligned pin 74a is attached to the substrate chuck 74 by bellows 81. The bellows 81 provides a spring-like support because the free height of the bellows 81 is greater than the depth of the cavity in which it is mounted. When the chuck 74 is fully retracted, as shown in Figure 4b, the pin 74a is forced through the chuck 74, lifting the substrate 88 off the surface of the chuck 74. When the elevator 96 is used to raise the chuck 74 toward the showerhead 72, the pin 74a drops back down to a position where it remains held in place by the bellows 81 within the chuck 74 below its top surface. Figure 4b also illustrates a replaceable gettering ring 89 to mask the side of the chuck 74 from deposition. The side of the chuck 74, which is heated throughout, typically is subject to an accumulation of deposits from unreacted precursors which do not react on the substrate 88. After deposits build on the replaceable gettering ring 89, the ring 89 can be simply replaced without any damage to the chuck 74 and without requiring that the chuck 74 be replaced. Accordingly, use of the replaceable gettering ring 89 can greatly extend the useful life of the chuck 74.
The replaceable gettering ring 89 also serves as a support for the substrate 88 when the pins 74a are retracted. Accordingly the substrate 88 is not in physical contact with the substrate chuck 74. Rather, a gap of about 0.015 inches (0.38 mm) exists between the substrate 88 and the chuck 74. As noted, this gap is filled with helium gas which transfers heat between the chuck 74 and the substrate 88. The mask 94 seals the gap at the edge of the substrate 88, thereby containing the helium gas. The pressure of the helium gas between the substrate 88 and the chuck 74 is controlled, and the flow of helium is also monitored and/or controlled. Beneath the chuck 74, at the interface of the chuck 74 and the elevator 96, a seal 91 is provided to form a vapor barrier. The seal 91 can be a low- load delta seal formed of nickel, such as the model H305776 external-pressure, spring-loaded C-ring seal from Garlock Helicoflex. The nickel seal 91 can form a weld, under pressure, with the copper chuck 74, thereby forming an enhanced seal.
Figure 4c illustrates the apparatus of Figure 4b with the chuck in position for substrate processing. Figure 4d also shows this same apparatus, this time with the shaft of the elevator fully extended. In this position, the chuck 74 is lifted out of the processing chamber 70, providing access to the chuck for service/maintenance.
Optionally, a sensor 87, e.g., an optical thickness sensor including a grazing incidence laser, is provided in the process chamber 70 for measuring the thickness or chemistry of the deposited film or the ambient conditions in the process chamber 70. The final subsystem, i.e., the exhaust subsystem 18, is designed, in part, to maintain a pressure differential across the showerhead 72. The exhaust subsystem 18 includes an exhaust conduit 110 connected to the downstream section 82 of the process chamber 70, a trap vessel 85, and a vacuum pump 112 (such as the IQDP 80, available from Edwards High Vacuum International, Wilmington, Massachusetts, USA, or equivalent) connected to the exhaust conduit 110 opposite the process chamber 70 to thereby pump vapors from the process chamber 70, through the exhaust conduit 110. Alternatively, more than one vacuum pump 112 can be used. The throttle valve 83 is positioned in the exhaust conduit 110 to regulate the amount of vapor pumped from the process chamber 70 and, accordingly, to maintain a desired vapor pressure in the process chamber 70. In this embodiment, the trap vessel 85 is situated between the vacuum pump 112 and the throttle valve 83. The puφose of the trap vessel 85 is to trap a majority of the unreacted precursor vapor before it reaches the vacuum pump(s) 112. The trap vessel 85 includes surfaces that cause the precursor to react or be otherwise retained thereupon due to chemical or thermal decomposition or an entrainment process. In an alternative embodiment, illustrated in Figures lc-d, a scrubber 85' is used in place of the trap 85. The scrubber 85' actively removes harmful contaminants from the gas stream before exiting the process subsystem thereby providing a cleaner effluent leaving the system. A small, dry, low-power, dynamic, variable-speed pump 95 is also provided within the process subsystem cabinet 16. A suitable pump 95 is manufactured by Pfeiffer Vacuum (Nashua, New Hampshire, USA), which pumps at rates up to 50 m3/hr. The pump 95 is integrated with the control system, through a ProfiBus data bus, such that the pumping speed of the pump 95 is controlled to govern the rate at which vapor is drawn through the system via a closed loop processing system. By so controlling the pumping speed, the throttle valve 83 upstream from the pump 95 can be omitted.
Each of the subsystems 12, 14, 15, 18, 150 are enclosed in sealed vessels to contain leaks of any hazardous gases from the system. The vaporization and vapor- delivery subsystems 12 and 14 are both contained in a first sealed vessel 180. An exhaust line 182 is connected to the first sealed vessel 180 for the controlled release and removal of gases escaping from the system. A second sealed vessel 184, which likewise includes an exhaust line 186, encloses the process gas subsystem 150.
A CVD module 10 incoφorating the various subsystems described herein is illustrated from three different perspectives in Figures 6a-c. Figure 6a illustrates a rear view (from the vantage point of a connected wafer handler) of the CVD module 10. Figure 6b illustrates a side view of that same CVD module. Finally, Figure 6c illustrates a front view of the CVD module 10. Components that are all included within the module include a process module controller 205, a vaporization subsystem 12, a power input module 142, a vapor-delivery subsystem 14, a process subsystem 16, an elevator 96, a scrubber 85', and a gate valve 125.
Figure 7 illustrates a general control architecture diagram 200 for control of a single CVD apparatus 10 and its associated subsystems. Control of a CVD apparatus 10 is facilitated through a process module controller 205 operating under software control in a distributed manner to independently control temperature control modules 210, pressure control modules 215, flow control modules 220, and elevator control modules 225. While this embodiment is illustrated as a distributed system, the overall chemical vapor deposition concepts and techniques presented do not have to be implemented in a distributed fashion. Rather, they may be performed in a linear manner with a single main controller executing all processing steps itself, while still overcoming many of the problems of the prior art system. However, the distributed nature of this embodiment provides significant advantages over a linear system operation, as will be explained.
Software control of process operations can be achieved using a Siemens programmable logic controller (PLC) running software conforming with the following ISO-standard: DIN EN 6.1131-3. This software can be integrated with software from Wonderware Coφ. (Irvine, California, USA) to create an interactive graphical user interface to the process control.
Modules 210 through 225 are representative of the main processing tasks of the CVD apparatus 10, and there may be other control modules not shown which may be used for other specific tasks noted throughout this specification. Each of the previously described subsystems, including the vaporization subsystem 12, vapor- delivery subsystem 14, process subsystem 16, and exhaust subsystem 18 can include certain components that are operated by the modules 210, 215, 220 and/or 225 of the overall control architecture shown in Figure 7.
For example, in Figures 2a and 2b, the vaporizer subsystem 12 involves, among other tasks, controlling the temperature of reservoir 20, controlling the position of, and therefore the amount of precursor flow from dispensing valve 24, controlling the temperature of the vaporizer 28, and monitoring the pressures within the vaporization chamber 26. Each of these tasks is generally coordinated via software operating within process module controller 205 and is physically carried out by one or more of modules 210 through 225.
Through the distributed nature of the various system components, the process module controller 205 can manage substrate processing for an individual CVD apparatus 10, which requires multiple simultaneous events. If substrate processing for a single CVD apparatus 10 is not too complex, an alternative embodiment may use a single process module controller to monitor and control more than one CVD apparatus. That is, two physical CVD systems 10 could be controlled by a single process module controller 205, without overloading the processing capacity of the process module controller 205. However, a preferred embodiment uses a separate process module controller 205 per CVD apparatus 10. By using distributed processing, certain steps in the overall substrate processing procedure can be performed in parallel with each other which results in more efficient yields and allows real time management of vapor deposition.
Actual process control is accomplished by providing separate control modules 210 through 225 for each of the individual operational components (i.e., valves, temperature monitoring and heating devices, motors, etc.) in each of the subsystems. The modules can be programmed to do specific tasks related to a specific portion of that subsystem's functionality. When given a task, each control module reports back to the process module controller 205 when the task is complete, its status, and/or if the task fails to complete.
For example, all of the temperature control processing may be done in a distributed fashion, such that the high level process module controller 205 can merely instruct one or more specific temperature control modules 210 to set and maintain specific temperatures. The process module controller 205 can then move on to the next main task in the overall substrate processing routine. Achieving and maintaining the set point temperature(s) can then be carried out by the independent temperature control module 210 in a closed loop manner.
An example of a control module is the Intelligent Module No. S7-353 or the S7-355, both manufactured by Siemens Coφoration. Such modules may be used for intensive closed-loop type control tasks, while an Intelligent Module No. S7-331, also manufactured by Siemens Coφoration, may be used for precision signal conditioning type tasks, such as voltage measurements from capacitance manometers resulting in adjustments in flow control.
These particular control modules used in this embodiment, as well as most other electrical components in the system, operate at low voltage (i.e., 24 Volts AC or DC) in order to prevent injury in the event of a short circuit, and also to prevent interference with vapor deposition. Low voltage operation also allows the system to operate with 120 Volt or 240 Volt power supplies, or with other international power systems of differing voltages.
Accordingly, all aspects of control, beginning with the vaporization subsystem 12 and ending with the process subsystem 16, are handled by modules which may be independently activated, and which can then handle the given task on their own.
There are, however, instances where modules can provide information or communications directly to other modules to establish adaptive relationships in order to maintain certain process settings. In such instances, these modules can adapt their task without the need for further instructions or tasks from the process module controller 205. That is, two or more modules may establish a relationship such as a master/slave or client/server type relationship, and can adjust themselves accordingly to either back off from a task, or move ahead faster with a task, depending upon the feedback of other inter-related modules involved in adaptative relationships.
For example, a pressure control module 215 may be used to monitor pressure sensor 34, which detects the pressure output from the vaporization chamber 26. The pressure control module 215 can provide direct feedback to a separate flow control module 220 which operates shut-off valve 42. If the process module controller 205 initially instructs shut-off valve 42, through flow control module 220, to maintain a certain flow of vapor or gas, the flow control module 220 can obtain pressure data from the pressure control module 215 that controls pressure sensor 34. This data may be used to determine if there is enough pressure in the delivery conduit to deliver the requested flow. If the pressure is too low or too high, pressure control module 215 may, depending upon the implementation, signal to the process module controller 205 that the task cannot be completed due to lack of pressure, or may, via an established adaptive relationship, signal in real time directly to a vaporization chamber pressure control module in order to increase or decrease vaporization chamber pressure. In other words, while the overall processing of chemical vapor deposition is controlled in the CVD apparatus by the process module controller 205 with a master control routine, certain control module loops may incoφorate data from other modules to adapt or detect changes in other system components, without the need for communication with process module controller 205. Most frequently, this is done where the output of one module directly affects the performance or operation of another module.
Communication between the modules 210 through 225 and the process module controller 205 may be accomplished in a number of ways. Direct Memory Access (DMA) can be used to directly read and write data to commonly accessible memory locations within a shared memory 230, as shown in Figure 7. A data bus (not shown in Figure 8), such as, for example, a ProfiBus data bus, which typically operates at 12 Megahertz and uses DB-9 connectors to interface to modules, can interconnect modules 210 through 225 with each other and the process module controller 205, to allow data communications and sharing of information. It is to be understood that common networking and data communications processes and principles are contemplated herein as being applicable to communications between devices, modules and components in this system.
It is also contemplated that faults in modules and componentry may occur and therefore, redundant or fault tolerant modules, components and processors can be used and can provide swappable dedicated processors for each module 210 through 225 and the process module controller 205. By providing swappable componentry, parts may be replaced without shutting down the entire system. This is beneficial, for example, when an expensive precursor has been preheated and will be damaged if returned to a lower temperature. If a fault occurs, for example, in an elevator control module 215, this module may be replaced or deactivated by another redundant module which may take over for the lost functionality of the failed module. The swapping or redundant failover may be performed without having to stop the deposition process, which saves substrates and reduces precursor waste and reduces system down- time.
Figure 8 illustrates a flow chart of the main processing tasks performed by the process module controller 205 from Figure 7. The steps 300 through 305 are, in one embodiment, implemented in software or firmware and are performed when the CVD apparatus 10 is activated to process substrates. In the embodiment being described, the main process control steps 300 through 305 are substrate-centric in nature. That is, these steps focus mainly upon substrate handling and execution of a process recipe which performs the CVD operation on a particular substrate. Generally, the master routine sets tasks to be performed, sets variables for those tasks and system operation, and instructs the dedicated modules to perform the tasks. In parallel with this main process routine, as will be explained, are a set of other concurrently executing routines which perform other tasks. The sub-processes are necessary for the success of the major process sequence (i.e., steps 300 through 305) of Figure 8 to complete. The sub-processes, shown in Figures 9a, 9b, 10 and 11, are, respectively, the vaporizer sub-process, the vapor phase flow controller sub-process, and the process chamber pressure control sub-process. Other sub-process may exist as well, such as, for example a cleanup process, a housekeeping process, a safety interlock process, and other which are explained herein. In step 300 of the main process control subroutine of Figure 8, the CVD apparatus 10 is pre-prepared to accept a substrate. This step includes, for example, the process of pre-heating the pre-cursor in reservoir 20 to the desired temperature and loading a process recipe for the substrate process to be performed by the CVD apparatus 10. Parameters for the process recipe are loaded into memory 230 from an external source, such as, for example, a cluster tool controller (discussed, below). The recipe parameters control the various settings such as temperature, pressure, and which vapors and gases are to be processed with the substrate 88.
There may be as many as ten or more steps that constitute the recipe for substrate processing. Each step allows a user who is processing a substrate to select parameters, such as, for example, the "step number", "step duration" (in seconds),
"target process pressure" (in millitorr), "precursor flow rate" (milli-sccm), "reactant flow rate" (milli-sccm) and "substrate temperature" (degrees C). These parameters make up the recipe for a substrate and govern the general temperature, flow, pressure and operation of the CVD apparatus 10. For example, the last parameter, "substrate temperature", is a function of the substrate chuck temperature, since, as will be explained, the substrate is in contact with the substrate chuck for much of the time during processing. Hence, the substrate temperature is a parameter that typically does not change too much from one substrate to another, and may be provided merely for reference for the process recipe.
Step 301 prepares to accept a substrate and signals to an external substrate provider mechanism (e.g., central substrate handler robot arm 134, discussed, below) that the CVD apparatus 10 is ready to accept a substrate. Step 302 then coordinates the movement of the substrate into the process chamber 70 and placement of the substrate on the substrate chuck 74.
Figures 12a through 12d pictorially illustrate the process of coordinating the movement of the substrate (step 302) into the process chamber 70. Each of these figures includes top and side perspective views of the process chamber 70 area and robot arm 134. In Figure 12a, substrate chuck 74 includes pins 74a-c, upon which the substrate 88 is loaded prior to the CVD operation. Before entering the process chamber 70, the substrate 88 rests upon an end effector of robot arm 134 outside of the process chamber 70. As shown in Figure 12b, as the robot arm extends and enters into the process chamber 70, the substrate 88, carried on the end of the robot arm 134, passes over substrate chuck 74 and substrate chuck pins 74a-c and passes under showerhead 72, which is not in use during the process of accepting a substrate. Figure 12c illustrates the substrate 88 fully inserted into process chamber 70, prior to the retraction of the robot arm 134. The substrate 88 rests on pins 74a-c, after the robot arm 134 lowers slightly and retracts, as shown in Figure 12d.
Returning to the main processing routine shown in Figure 8, step 303 then runs the current process recipe that has been programmed into the CVD apparatus 10. The recipe (i.e. the parameters) may be changed between substrates, but once the recipe has been started in step 303, the pre-loaded parameters used for processing do not change for the current substrate 88. As will be explained in Figures 9a, 9b, 10 and 11, running the recipe in step 303 includes aspects of temperature control (step 303a), pressure control (step 303b) and flow control (step 303c). The sub-processes in Figures 9a, 9b, 10 and 11 provide details as to the operation of these functions. In one embodiment, a recipe loaded into process module controller 205 governs the various processing steps of the substrate according to, for example, the "step duration" parameter. That is, this embodiment can be governed by timers set by parameters that determine, for instance, how long a particular vapor is deposited onto a substrate.
In another embodiment, the sensor sub-system 19 (Figure lb) can be used to calculate, measure, or determine the deposition activity on the substrate itself. This information can be used to determine when the next step in the recipe is performed. For example, if a step in the recipe calls for depositing 100 angstroms of copper using a copper vapor onto a substrate (e.g., a semiconductor wafer), the sensor subsystem, by monitoring the deposition activity, can indicate when this has been completed. As such, the steps in the recipe in this embodiment are not driven so much by timers, as by when processing steps are actually physically completed.
The sensor modules 227, illustrated in the control architecture in Figure 7 are used to control and provide feedback to process module controller 205 from substrate subsystem 19 as illustrated in Figures la and lb. Substrate sensing equipment 87 in substrate subsystem 19, for example, may comprise a laser measurement system that can measure the thickness of any layer of material being deposited onto the substrate 88 during a CVD operation. This layer thickness information may be monitored by sensor modules 227, and when the task of detecting 100 angstroms of copper, for example, is complete, the sensor modules 227 can indicate to the process module controller 205 that the task has been completed. Other substrate sensing equipment that may be used to sense CVD progress may include reflectivity sensors that detect the reflectiveness of the substrate surface. As more material is deposited onto a substrate, the surface may become more or less reflective thus indicating deposition progress. Another sensing device may be an x-ray diffraction system used to measure composition of the substrate surface, thus indicating deposition progress. Those skilled in the art will now readily understand that other common real-time measurement and sensing hardware may used within sensor sub-system 19 to detect and indicate recipe step completion, depending upon the task. After the recipe is complete, the substrate 88 has been processed by the vapor and gases in the process chamber 70. Step 304 in Figure 8 then removes the substrate, which is generally the reverse process of that illustrated in Figures 12a through 12d. The robot arm 134 returns and picks up the substrate 88 off of the substrate chuck pins 74a-c, and carries the substrate 88 out of the process chamber 70. Step 305 then performs cleanup of the CVD apparatus 10, which will also be described in more detail later.
Figure 10 illustrates the steps of the vaporizer sub-process that is continually performed during the main control processing steps that execute as explained with respect to Figure 8. The vaporizer sub-process steps 330 through 334 generally control the vaporization of the precursor in reservoir 20 and the maintenance of pressure at the inlet port 76 to the process chamber 70. The vaporizer sub-process is also responsible for the cleanup of the vaporizer 28 between processing substrates during standby modes. The vaporizer sub-process shown in Figure 9a is driven primarily by the
"vaporizer temperature" parameter that gets loaded during the programming of the recipe into memory 230. This variable drives the temperature setting for all of the other temperature controlled surfaces except the substrate chuck 74 (set by a "substrate chuck temperature" setting) and the funnel temperature (set by a "funnel temperature" setting). The vaporizer pressure largely relies on the pressure control modules 215 which operate and monitor the capacitance manometers 34, 48, 50, 51 and 53 located throughout the system, as previously described.
In step 330, the pressure at pressure sensor 34 must be greater than the pressure at pressure sensor 48. In step 331, the pressure at pressure sensor 48 must be greater than the pressure at pressure sensor 50. In step 332, the pressure at pressure sensor 50 must be greater than the pressure at pressure sensor 51. And finally, in step 333, the pressure measured at pressure sensor 51 must, in this embodiment, be approximately 1.5 times (or more) greater than the pressure measured at pressure sensor 53. If any of these steps 330 through 333 fail, feedback is provided back to the vaporizer subsystem 12 by step 334, at which point the appropriate modules in various subsystems are adjusted so as to maintain the optimum pressure at the substrate, as measured by the difference in pressure between pressure sensors 51 and 53.
The recipe parameter "process pressure" is referred to as the "target pressure" since this is the pressure to be maintained by the system at the substrate 88, and is attained in cooperation between the vaporizer sub-process (Figure 10), the vapor phase flow controller sub-process (Figure 10) and the process chamber pressure control sub-process (Figure 11).
Hence, as explained above, during substrate processing, the reservoir 20 deposits small amounts of precursor onto vaporizer 28 which is heated. Each small amount of precursor, which typically flows slowly down the vaporizer 28 inverted cone structure, forms a thin film and resides on the cone for a period of time during vaporizing. As this vaporization occurs, an upward ramp in pressure is measured by capacitance manometer 34. The upper limit of the vapor pressure that is measured by pressure sensor 34 is a function of the temperature of the vaporizer 28 (and the rest of the system) as well as the material used as the precursor. Thus, too high of a temperature may cause the premature chemical decomposition of the precursor prior to its exposure to the substrate 88, and too low of a temperature may result in a low vapor pressure, low flow rate, and low process pressure which results in a low chemical vapor deposition rate. The vaporizer sub-processes in Figures 9a and 9b may be in either a processing state or a standby state. The processing state is used, as explained above with respect to Figure 9a, after a substrate has been accepted. The standby state governs a cleanup process and is shown in Figure 9b and will be described in conjunction with Figure la through Id. During cleanup of the vaporizer sub-process, in step 340, no precursor is introduced into the vaporization chamber 26. In step 341, shut-off valve 42 isolates the entire vaporization subsystem 12 from the other subsystems. Step 342 then fully opens valve 160. Next, in step 343, Argon gas provided from valves 161 and 162 and mass flow controller 165 is introduced into the vaporization chamber 26 until a pressure of approximately 50 torr is measured at pressure sensor 34. Then, step 343 evacuates the pressure in vaporization chamber 26, by opening valve 170 and closing valves 161 and 162, and operating exhaust subsystem 118 to suck out the argon gas. Step 344 then detects a vacuum pressure. Step 345 then repeats steps 343 and 344 N times, where N may be one, two or more times, for example. This N repeat count may be varied, depending upon the properties of substances used. Step 346 then evacuates the vaporization chamber 26 and step 347 maintains the entire volume of vaporization chamber 26 in a vacuum until the vaporization sub-process is instructed to go active to begin processing substrates.
The second sub-process is the vapor phase flow controller sub-process and is illustrated by the processing steps in Figure 11. During substrate processing, this sub-process ensures that the vapor-delivery subsystem maintains a steady flow of vapor to the process chamber 70, in concert with the variations in pressure that occur at various critical points in the system as explained above during substrate processing. The main objective of this sub-process is to maintain the target mass flow and total aggregated mass flow of vapor to the substrate 88. Unlike traditional mass flow controllers, where pressures are typically 20 psig or more at inlets to the substrate and that flow into a vacuum at or below the substrate, this method uses this sub-process to control the flow of vapor in upstream section 78, where the pressure is only one to five torr, and where the "process pressure" is targeted at approximately 800 to lOOO millitorr. To accomplish this, this sub-process uses the flow control valve(s) 44 (44' in
Figure la, 44 in Figure lc) to maintain the appropriate flow and target pressure drop as measured from pressure sensor 34 to pressure sensor 48. Step 360 in Figure 10 monitors this pressure difference. Step 361 then determines if adaptive flow control is operational. If so, step 362 is executed which calculates the desired flow ("Q") of the vapor being applied to the substrate and adjusts, in step 363, the process time system variable to compensate for any variations from the target pressure experienced during the normal set process time. That is, step 363 lengthens or shortens the check time between determining system pressures, so that the pressure will have the correct time to build based upon the precursor material being used for vapor flow. In step 361, if adaptive flow control is not being used, step 364 determines if the pressure across the flow control valve(s) 44 (44' in Figure la, 44 in Figure lc) is insufficient to attain the targeted flow rate, and if so, step 365 detects this and signals to the other two sub-processes to attain the desired flow rate by varying appropriate settings.
The vapor phase flow controller sub-process in Figure 10 is also responsible for controlling modules that set the flow rate of oxidizing reactants via step 366. That is, nitrous oxide, for example, from valves 168 and 169 may be provided as a reactant gas along with the precursor vapor, into the process chamber during flow control of the vapor from the vaporization chamber 26. Step 366 determines the flow rate of any reactant gas by a "reactant flow rate" parameter provided in the recipe. Typically, the reactant flow rate is expressed as a ratio to the flow rate of the vapor from the vaporization chamber 26.
For example, a target pressure that might be typically set is 1.5 to 2.0:1. Since the flow rate of vapor can vary somewhat (as explained above), the flow rate of the reactant from one or more of the mass flow controllers 165, 166 or 167 must also vary in concert with the flow rate of the precursor vapor. Note that in the embodiments shown in the figures, the system is well damped such that variations are on the order of plus or minus 10 percent of the target pressure or flow rate, and are dependent upon variations in the lots of precursor used as received from different suppliers, for example. That is, oscillatory swings may not be noticed within one batch of precursor, but subtle shifts may be observed based upon chemical lots. The sub-process in Figure 10 helps eliminate these shifts.
The vapor phase flow control sub-process, if in a standby state, as shown in standalone step 367, independently checks any output offsets that might have occurred between pressure sensor 48 and pressure sensor 50, and can use this calculated offset to adjust the pressure sensors apparent output accordingly during subsequent calculations while in active mode. Step 367 can also cross-check pressure measurements of sensors 48 and 50 in standby mode against pressure sensors 34, 51 and 53. Figure 11 illustrates the third sub-process, referred to as the process chamber pressure control sub-process, which is associated with maintaining the pressure at the substrate 88. In step 380, the pressure is measured at capacitance manometer 53, which is the pressure in the process chamber 70 below the showerhead 72 at the substrate. Step 381 then directs the throttle valve to increase or decrease the pressure as measured in step 380, to maintain the pressure as defined by the parameter "process pressure".
Figure 13 illustrates the processing steps performed in a cleanup sub-process that runs continuously and which is transparent to the other sub-processes in the system. Upon startup of the CVD apparatus, without a signal of an approaching substrate, the cleanup sub-process is the default process. The cleanup sub-process, in step 390 enables a mechanical circuit breaker to isolate the electrical system components in the event of a power surge. Step 391 maintains all heat zones at the system set points. The parameter "vaporizer temperature" is used as the temperature set point for all heated zones except the reservoir 20 and funnel 22 temperatures, and substrate chuck 74 temperatures. This step can also detect heating wire breaks or shorts. Step 392 ensures that adequate vacuum is present for the process module by testing the vacuum pump control. Step 393 monitors the state of the door and housing covers surrounding the CVD apparatus 10. Steps 394 and 395 monitor system power and pressures, and looks for excursions outside of the normal operating state. Step 396 tracks gauge status and can detect gauge problems and can cross calibrate gauges in the system. Step 397 sets up and calibrates the mass flow controllers 165, 166 and 167. Step 398 cross calibrates the pressure sensors in the system, and step 399 initializes the system parameters to a default state. Figure 14 illustrates a schematic architecture of a CVD apparatus, with each of the previously described sub-processes 600 through 604 of Figures 8 through 13 illustrated as a closed loop. Process module controller 205 interfaces with the other hardware components of the system via data bus 605, which carries serial analog and digital commands to the components. Each of the control modules 210 through 227 interfaces to the data bus 605, to communicate with process module controller 205, and in certain instances where adaptive relationship exists, with each other. The process module controller 205 is also connected to a Profibus data bus 607 via which provides deterministic communication with any of a cluster tool controller, a transport module controller, or another process module controller. At higher levels of communication, not shown in Figure 14, communication is generally via Ethernet, which is non-deterministic.
In the vaporizer loop 600, a pressure control module 215 monitors pressure from capacitance manometers 34, 48, 50, 51, and 53, according to the processing explained above, and can provide data to temperature control module 210 which controls vaporizer heating element 29, in order to provide proper vapor for the system to operate. To interface 606 between pressure control module 215 and temperature control module 210 is an example of a closed loop adaptative relationship, since the temperature is controlled based upon feedback from the pressure control module 215.
In flow control loop 601, which is responsible for maintaining the proper flow of vapor in the system, pressure control module 215 monitors pressure from each of pressure sensors 34, 48 and 50, in order to provide feedback data to flow control module 220, which operates flow control valve 44, as well as valves 161 through 164, 168, 169 and 170, in order to provide vapor and reactant gases at a proper flow rate. Process chamber pressure control loop 602 uses pressure control module 215 to detect pressure at pressure sensors 51 and 53 within the process chamber 70. This pressure information is used in an adaptative relationship between the pressure sensors and the throttle valve 83, operated by the flow control module 220. This closed loop 602 ensures that the pressure in the process chamber is correct during substrate processing by using the throttle relief valve 83 to maintain a continuous flow.
Elevator control loop 603 illustrates the adaptative relationship between the elevator 96, which is operated by elevator control module 225, and the sensor control module 227 which uses sensor equipment 87 to detect how much material has been deposited on a substrate. In this closed loop, which is used when the recipe calls for sensor control, the elevator 96 may be lowered when the sensor equipment 83 detects enough material is present on the substrate. Thus, direct communications is provided between the elevator control module 225 and the sensor control module 227.
The elevator control loop 603 is also related to the sensor loop 604, in that when sensor equipment 96 detects enough deposition material on a substrate, sensor control module 227 notifies flow control module 220 to activate throttle valve 83 in order to turn on the exhaust pump to full power. This empties the process chamber 70 of any leftover vapor so as to immediately stop the deposition process. Sensor loop 604 is thus another example of an adaptive loop, but acts more like a one way trigger since the sensor equipment 96 causes the throttle valve 83 to open when deposition is complete.
In each of the aforementioned loops 600 through 604, the process control module 205 can merely provide the appropriate tasks to each of the control modules 210 through 227. The control modules will execute the given task on their own. By allowing adaptive relationships as explained above, closed loops are formed for the basic underlying sub-processes required for the CVD apparatus to operate efficiently. The process module controller 205 monitors the progress of each closed loop via status data that is provided from each control module. Thus, the process module controller 205 is fully aware of how a specific CVD process is progressing while the process is taking place. In this manner, the process module controller 205 can report to a higher level process, such as the main process taking place within a cluster tool controller 207.
The "processing hierarchy" formed by the lower closed loops and control modules, the intermediate process module controller routine executing on the process module controller 205, and the master cluster tool controller routine executing on the cluster tool controller 120 allows modifications to processing code at one level to have little or no adverse impact on the programs or processes used for other aspects of the CVD process. Moreover, any modifications made to one aspect of the CVD processing, for example, in the flow control loop, which may happen to impact the processing of other loops, will be properly accounted for due to the adaptive relationships and feedback of information between control modules. This hierarchy also allows easy code maintenance and a structured environment where features may be added to one area of CVD processing without having to re-tool or re-code other areas.
In one embodiment, the CVD apparatus 10 is used to deposit a number of films on a single substrate. This embodiment is designed to operate at low pressure (0.001 to 10.0 torr) and is aimed at the deposition of films with geometries of 0.25 microns or less. The same embodiment, with changes only in temperature and flow control components, can be used in a number of different processes to limit costs and maintenance requirements. Films that can be deposited by this system include, but are not limited to, the following: aluminum from dimethyl aluminum hydride (DMAH), copper from one of the CU](hfac)(tmvs, tevs, teovs) precursors, tantalum nitride from a solid precursor such as TaBr4, titanium nitride from a liquid precursor such as tetrakisdiethylamido titanium (TDEAT), tetrakisdimethylamido titanium (TDMAT), TiBr4, or Til4, low-k dielectric films from hexasilsesquioxane (HSQ) or a fluorinated tetraethylorthosilicate (TEOS), and tantalum oxide from tantalum pentaethoxide (TAETO) and either ozone or N2O. Other films that can be deposited in accordance with the methods and apparatus described herein include phosphorous-doped silica from triethylphosphate (TEPO), boron-doped silica from triethylborate (TEB), and tantalum oxide from tantalum tetraethoxide dimethylammoethoxide (TAT-DMAE). Additional films that can be deposited on optical components in accordance with the methods described herein include the films of silicon dioxide (SiO2), titanium dioxide (TiO2), magnesium fluoride (MgF2), and silicon nitride (Si3N4).
Silicon dioxide film can be deposited by vaporizing TEOS and delivering the TEOS vapor to the surface of the substrate where it is reacted with nitrous oxide
(N2O). Alternatively, silicon dioxide film can be deposited by vaporizing dibutoxydiacetoxysilane (DABS, C12H24O6Si) and delivering the DABS vapor to the surface of the substrate.
Titanium dioxide film can be deposited by vaporizing titanium tetrakis- isopropoxide (TTIP; Ti(C3H7O)4) and delivering the TTIP vapor to the surface of the substrate. Alternatively, titanium dioxide film can be deposited by vaporizing titanium nitrate and delivering the titanium nitrate vapor to the surface of the substrate.
Silicon nitride film can be deposited by vaporizing silicon tetraiodide (Sil4) and delivering the vaporized Sil4 to the surface of the substrate where it is reacted with ammonia (NH3).
Magnesium fluoride film can be deposited by vaporizing magnesium hexafluoropentanedionate (C10H6F12MgO6) and delivering the vaporized C10H6F12MgO6 to the surface of the substrate. Alternatively, magnesium fluoride film can be deposited by vaporizing magnesium hexafluoropentanedionate (C10H6F]2MgO6) and delivering the vaporized C10H6F12MgO6 to the surface of the substrate.
Figure 19a is a graph showing representative CVD source vapor pressure curves, expressed in terms of temperature (°C) along the abscissa and pressure (torr) on a logarithmic scale along the ordinate. Illustrated curves include functions representing the vapor pressure of TEPO 401, TEOS 402, TEB 403, TAETO 404, TAT-DMAE 405, CuTMVS 406 and TDEAT 407. Figure 19b is a graph showing vapor pressure curves for TAETO 404 and TAT-DMAE 405 as in Figure 19b, however with the ordinate scale extended to substantially lower pressures in Figure 19b. Figure 19c is a graph showing vapor pressure curves for optical film sources TTIP 408 and DABS 409.
The shaded region 410 in Figure 19a represents a demonstrated operating range between 1 and 10 torr for this process. This indicates the ability of the system to deposit a variety of materials over a temperature range between 20°c and 200°C in this pressure range. This pressure range is not limiting, however, as one can work outside of it, as well. The vapor pressure curve (in torr) for TEB has been expressed as follows:
Log P = 8.4156 - 2167 / Tκ.
The vapor pressure curve (in torr) for TEPO has been expressed as follows: Log P = 8.1516 - 2547.5 / Tκ.
The vapor pressure curve (in torr) for TAT-DMAE has been expressed as follows:
Log P = -3.66 (103 / TK) + 9.33.
The vapor pressure curve (in torr) for TEOS has been expressed as follows:
Log P = 8.3905 - 2415.7 / Tκ.
Finally, the vapor pressure curve (in torr) for titanium nitride has been expressed as follows:
Log P = -3.18 (lO T^ -t- 7.79.
Using nitrous oxide as a reactant, thermal deposition via reaction with TEOS can occur at temperatures in the vicinity of 475°C, which is significantly cooler than the typical range of 600°C to 750°C (or higher) for other methods, which typically use oxygen or ozone as opposed to nitrous oxide as a reactant. The molar ratio of N2O:TEOS is greater than 4:1; particularly, between 5:1 and 10:1; and more particularly about 8:1. Figures 20 and 21 illustrate the refractive index and thickness, respectively measured at multiple points on an eight-inch (20-cm) wafer that was subjected to deposition of silica using an 8:1 ratio of N2O and TEOS in accordance with the methods described herein. As is evident from Figures 20 and 21, the thickness of the coating is highly uniform across the surface of the wafer, and the refractive index is near that of thermal oxide. The properties of films deposited with methods and apparatus described herein are sufficient for applications such as gate dielectric deposition. Typicallly, silica layers deposited by conventional methods have a refractive index below 1.44. However, as shown in Figure 20, silica layers deposited by methods described herein can have a refractive index above 1.44; and in particular embodiments, the refractive index is between about 1.45 and about 1.46. As an example of a process performed in accordance with methods described herein, a tantalum oxide film is deposited on a substrate using liquid TAETO as a precursor and gaseous N2O as an oxidant. The reservoir 20 is filled with TAETO either with the reservoir 20 in place in the system or with the reservoir 20 temporarily removed for filling. While in the reservoir 20, the TAETO is stored at a temperature above its melting point but below that at which it decomposes. In this embodiment, the TAETO is stored at or near room temperature. From the reservoir 20, the TAETO is delivered to the vaporizer 28 through the axial displacement pulse valve in an amount that is just sufficient to generate a workable vapor pressure to deliver to the process chamber 70. The temperature of the vaporizer 28 is tightly controlled, in one embodiment, at 180°C, to vaporize the TAETO as it flows across the surface of the vaporizer 28 without causing the TAETO to thermally decompose.
The vapor pressure of TAETO that is generated in the vaporization chamber 26 is a function of the temperature of the vaporizer 28. Specifically for TAETO, the log of vapor pressure can be calculated with the following formula:
Log P = -4.15(103 / Tκ) + 9.60,
The vapor pressure of TAETO and several other sources (measured in torr) is provided in Table 1, below, over a range of temperatures from 20°C to 200°C. The vapor-pressure data in Table 1 for DABS and TTIP is approximate, derived from curves fit to a limited number of experimentally-obtained data points.
Table 1
Silicon Oxides Tantalum Oxide
Temp. (C) TEOS TEPO TEB DABS TAETO TAT-DMAE
20 1.42634 0.292384 8.910782 0.080011 2.8227E-05 0.00071008
22 1.621831 0.334796 10.0792 0.088497 3.51961E-05 0.00086263
24 1.840931 0.382661 11.38194 0.097883 4.37558E-05 0.00104521
26 2.086094 0.436589 12.8355 0.108264 5.42392E-05 0.00126318
28 2.359987 0.497247 14.44423 0.119746 6.70429E-05 0.00152278 Silicon Oxides Tantalum Oxide
Temp. (C) TEOS TEPO TEB DABS TAETO TAT-DMAE
30 2.665499 0.56536 16.23341 0.132445 8.26376E-05 0.00183122
32 3.005765 0.641724 18.21633 0.146492 0.000101581 0.00219681
34 3.38417 0.727202 20.41082 0.162028 0.000124532 0.00262914
36 3.804376 0.822735 22.83606 0.179212 0.000152267 0.00313927
38 4.27033 0.929342 25.51263 0.198218 0.000185698 0.00373984
40 4.786289 1.048132 28.46262 0.21924 0.000225897 0.00444535
42 5.35683 1.180302 31.70966 0.242492 0.000274115 0.00527239
44 5.986876 1.327152 35.27905 0.26821 0.000331814 0.00623986
46 6.681712 1.490081 39.19781 0.296655 0.000400699 0.00736929
48 7.447002 1.670602 43.4948 0.328116 0.00048275 0.00868514
50 8.288817 1.870345 48.20076 0.362915 0.000580263 0.01021516
52 9.213651 2.091064 53.34844 0.401404 0.000695898 0.01199077
54 10.22844 2.334645 58.97271 0.443975 0.000832724 0.01404748
56 11.3406 2.603114 65.1106 0.491061 0.000994283 0.01642535
58 12.55803 2.898642 71.80144 0.543141 0.001184647 0.01916951
60 13.88914 3.223559 79.08693 0.600744 0.001408494 0.02233068
62 15.34289 3.580357 87.0113 0.664456 0.001671184 0.02596582
64 16.9288 3.971698 95.62132 0.734925 0.001978849 0.03013876
66 18.657 4.40043 104.9665 0.812868 0.002338493 0.03492093
68 20.53822 4.869588 115.0991 0.899077 0.002758096 0.04039211
70 22.58382 5.382408 126.0745 0.994429 0.003246742 0.04664131
72 24.80587 5.942338 137.9507 1.099894 0.003814744 0.05376768
74 27.21711 6.553044 150.7893 1.216543 0.004473801 0.06188147
76 29.83104 7.218422 164.6549 1.345564 0.005237154 0.07110513
78 32.66188 7.94261 179.6154 1.488269 0.006119768 0.08157446
80 35.72466 8.729997 195.7424 1.646108 0.007138531 0.09343985
82 39.03525 9.585236 213.1111 1.820686 0.008312465 0.1068676
84 42.61032 10.51325 231.8001 2.01378 0.009662968 0.12204139
86 46.46747 11.51926 251.8923 2.227352 0.011214074 0.13916381
88 50.62518 12.60877 273.4743 2.463575 0.012992733 0.15845801
90 55.10289 13.7876 296.6367 2.72485 0.015029124 0.18016949
92 59.92101 15.0619 321.4747 3.013835 0.01735699 0.20456799
94 65.10096 16.43814 348.0874 3.333469 0.020014008 0.23194948
96 70.66521 17.92315 376.5787 3.687001 0.023042187 0.26263841
98 76.6373 19.52411 407.0568 4.078028 0.026488303 0.29698993
100 83.04189 21.24858 439.6349 4.510524 0.030404368 0.33539237 Silicon Oxides Tantalum Oxide
Temp. (C) TEOS TEPO TEB DABS TAETO TAT-DMAE
102 89.90476 23.10452 474.4309 > 4.98889 0.034848139 0.37826988
104 97.25291 25.1002" 511.5676 5.517989 0.039883671 0.42608517
106 105.1145 27.2446 551.173 6.103201 0.045581909 0.47934249
108 113.519 29.5467 593.3803 6.750479 0.052021334 0.5385907
110 122.4971 32.01621 638.3279 7.466404 0.05928865 0.60442664
112 132.0809 34.66322 686.16 8.258256 0.067479534 0.67749857
114 142.3037 37.4983 737.0261 9.134089 0.076699433 0.75850993
116 153.2004 40.5325 791.0814 10.10281 0.087064426 0.8482232
118 164.8071 43.77739 848.4872 11.17427 0.098702148 0.94746405
120 177.1615 47.24503 909.4106 12.35936 0.111752778 1.05712567
122 190.302850.94804 974.0247 13.67013 0.126370098 1.17817339
124 204.271654.89955 1042.509 15.11993 0.142722626 1.31164942
126 219.110359.11329 1115.049 16.72348 0.160994827 1.45867799
128 234.862763.60355 1191.838 18.49709 0.181388404 1.62047064
130 251.574268.38522 1273.073 20.45881 0.204123675 1.7983318
132 269.292173.47379 1358.96 22.62857 0.229441043 1.99366466
134 288.065378.88538 1449.712 25.02846 0.257602551 2.20797727
136 307.944384.63675 1545.548 27.68286 0.28889355 2.44288902
138 328.981590.74531 1646.694 30.61878 0.323624455 2.70013731
140 351.231397.22915 1753.383 33.86606 0.362132623 2.98158457
142 374.7495104.107 1865.856 37.45774 0.404784332 3.28922564
144 399.5941 111.3985 1984.361 41.43034 0.451976884 3.62519537
146 425.8251 119.1236 2109.153 45.82425 0.50414083 3.99177661
148 453.5041 127.3034 2240.495 50.68416 0.561742324 4.39140857
150 482.6949135.9595 2378.658 56.05949 0.625285606 4.82669541
152 513.4633145.1144 2523.92 62.0049 0.695315625 5.3004153
154 545.8771 154.7913 2676.567 68.58086 0.772420812 5.81552975
156 580.0063165.0142 2836.893 75.85423 0.85723599 6.37519336
158 615.9227175.8079 3005.202 83.89898 0.950445452 6.982776392
160 653.7005187.1982 3181.803 92.79692 1.052786191 7.64181289
162 693.4161 199.2114 3367.014 102.6385 1.165051302 8.35613626
164 735.1478211.875 3561.163 113.5239 1.288093547 9.12976584
166 778.9765225.2172 3764.585 125.5637 1.422829113 9.96698085
168 824.985 239.2671 3977.623 138.8804 1.570241542 10.8723201
170 873.2587254.0547 4200.631 153.6095 1.731385851 11.8505943
172 923.885 269.611 4433.968 169.9006 1.90739286 12.9068992
Figure imgf000064_0001
Copper Titanium Nitride TaN Ti02
Temp. (C) CuTMVS Cu(hfac)2 Cu(acac)2 Til4 TDEAT TaBr5 TTIP
64 1.903705 2.022114 0.000701984 0.074549 0.023025 0.023888 0.815347
66 2.181935 2.235229 0.00081071 0.079842 0.026168 0.025899 0.937685
68 2.496832 2.470804 0.000936276 0.085512 0.029695 0.028078 1.078379
70 2.852692 2.731207 0.00108129 0.091584 0.033648 0.030441 1.240183
72 3.254243 3.019055 0.001248765 0.098087 0.038073 0.033003 1.426265
74 3.706692 3.337239 0.001442179 0.105052 0.043018 0.03578 1.640267
76 4.215756 3.688957 0.001665549 0.112512 0.048538 0.038791 1.886379
78 4.787713 4.077743 0.001923516 0.120501 0.05469 0.042055 2.169418
80 5.429442 4.507505 0.002221438 0.129057 0.061539 0.045594 2.494926
82 6.148473 4.982559 0.002565504 0.138221 0.069154 0.049431 2.869274
84 6.953039 5.507681 0.00296286 0.148036 0.07761 0.053591 3.299791
86 7.85213 6.088147 0.003421759 0.158548 0.086988 0.058101 3.794904
88 8.855551 6.729788 0.003951736 0.169806 0.097376 0.062991 4.364306
90 9.973985 7.439054 0.004563797 0.181863 0.108869 0.068292 5.019143
92 11.21905 8.223071 0.005270656 0.194777 0.12157 0.074039 5.772234
94 12.60339 9.089716 0.006086997 0.208608 0.13559 0.080269 6.638321
96 14.14071 10.0477 0.007029776 0.22342 0.151048 0.087024 7.63436
98 15.84589 11.10665 0.008118577 0.239285 0.168073 0.094348 8.779848
100 17.73503 12.2772 0.009376015 0.256276 0.186802 0.102287 10.09721
102 19.82559 13.57112 0.010828211 0.274474 0.207386 0.110895 11.61223
104 22.13642 15.0014 0.012505329 0.293963 0.229982 0.120228 13.35458
106 24.68786 16.58243 0.014442206 0.314837 0.254762 0.130345 15.35835
108 27.5019 18.33009 0.016679074 0.337193 0.28191 0.141314 17.66277
110 30.6022 20.26193 0.019262397 0.361136 0.311621 0.153206 20.31296
112 34.01426 22.39737 0.022245837 0.38678 0.344105 0.166099 23.36079
114 37.7655 24.75788 0.025691363 0.414244 0.379586 0.180077 26.86593
116 41.8854 27.36716 0.029670547 0.443659 0.418304 0.195232 30.897
118 46.4056 30.25144 0.034266043 0.475162 0.460514 0.211661 35.5329
120 51.36005 33.4397 0.039573309 0.508902 0.506488 0.229473 40.86439
122 56.78515 36.96397 0.045702585 0.545038 0.556515 0.248784 46.99584
124 62.71985 40.85968 0.05278119 0.58374 0.610904 0.269721 54.04727
126 69.20584 45.16596 0.060956157 0.62519 0.669982 0.292419 62.15673
128 76.2877 49.92609 0.070397297 0.669583 0.734098 0.317027 71.48296
130 84.01303 55.1879 0.08130072 0.717129 0.80362 0.343706 82.20853
132 92.43263 61.00426 0.093892909 0.768051 0.878942 0.37263 94.54341
134 101.6007 67.43362 0.108435429 0.822588 0.960477 0.403989 108.7291
136 111.5749 74.54058 0.125230354 0.880998 1.048667 0.437986 125.0432
138 122.4168 82.39656 0.144626545 0.943556 1.143977 0.474844 143.8051
140 134.1917 91.0805 0.167026899 1.010556 1.246899 0.514804 165.3822 Copper Titanium Nitride TaN Ti02
Temp. (C) CuTMVS Cu(hfac)2 Cu(acac)2 Til4 TDEAT TaBr5 TTIP
142 146.9691 100.6797 0.192896711 1.082313 1.357953 0.558127 190.1968
144 160.8229 111.2905 0.222773346 1.159165 1.477689 0.605096 218.7346
146 175.8315 123.0196 0.257277397 1.241475 1.606687 0.656017 251.5544
148 192.0779 135.9849 0.297125578 1.329629 1.745559 0.711223 289.2985
150 209.6503 150.3166 0.343145608 1.424043 1.894949 0.771076 332.706
152 228.6419 166.1588 0.39629341 1.525161 2.055536 0.835965 382.6264
154 249.1517 183.6706 0.457672961 1.63346 2.228034 0.906315 440.0371
156 271.284 203.028 0.52855923 1 J49448 2.413196 0.982585 506.062
158 295.1493 224.4255 0.610424655 1.873672 2.611811 1.065273 581.9934
160 320.8642 248.0781 0.704969733 2.006717 2.82471 1.15492 669.3179
162 348.5519 274.2236 0.814158342 2.14921 3.052765 1.252112 769.7449
164 378.3422 303.1245 0.940258531 2.30182 3.296889 1.357482 885.2403
166 410.3721 335.0714 1.085889635 2.465267 3.558042 1.471719 1018.065
168 444.7858 370.3853 1.254076683 2.64032 3.837229 1.595571 1170.819
170 481.7353 409.4209 1.448313232 2.827803 4.135503 1.729844 1346.494
172 521.3804 452.5706 1.672633936 3.028599 4.453966 1.875418 1548.527
174 563.8893 500.2679 1.931698352 3.243653 4.793772 2.033242 1780.873
176 609.4385 552.9921 2.2230887729 3.473978 5.156127 2.204347 2048.082
178 658.2137 611.273 2.576416786 3J20657 5.542291 2.389852 2355.384
180 710.4098 675.6963 2.975462803 3.984852 5.953581 2.590968 2708.795
182 766.2311 746.9093 3.436314707 4.267808 6.391372 2.809008 3115.233
184 825.892 825.6275 3.968545247 4.570855 6.857099 3.045397 3582.654
186 889.6171 912.642 4.58320984 4.895421 7.352256 3.30168 4120.209
188 957.6419 1008.827 5.29307621 5.243033 7.878405 3.57953 4738.42
190 1030.213 1115.149 6.1128896 5.615329 8.437171 3.880761 5449.391
192 1107.587 1232.677 7.059679057 6.014061 9.030245 4.207343 6267.038
194 1190.035 1362.592 8.153111154 6.441106 9.65939 4.561408 7207.368
196 1277.837 1506.198 9.415898507 6.898474 10.32644 4.945269 8288.789
198 1371.29 1664.939 10.87427155 7.388319 11.0333 5.361434 9532.47
200 1470.699 1840.41 12.55852341 7.912947 11.78194 5.81262 10962.76
Vapor pressure data for additional sources is as follows: for Cu(hfac)2, P = 1 torr at 50°C and P = 10 torr at 96°C; for Cu(acac)2, P = 0.01 torr at 100°C and P = 1 torr at 164°C; for Cu(tfa)2, P = 0.4 torr at 110°C and P = 1 torr at 140°C; for Cu(fod)2, P = 0.1 torr at 100°C
With the vaporizer 28 at a temperature of 180°C, a pressure of 2.8 torr is generated in the vaporization chamber 26 without significant decomposition of the TAETO. With this pressure at the inlet to the delivery conduit 40, the process chamber 70 is held at 800 to 900 millitorr. With this pressure differential, about 1.0 seem of TAETO vapor along with 1.5 seem N2O are delivered to a substrate heated to about 385°C. Under these conditions, a tantalum oxide film will grow at a rate of approximately 75 to 80 angstroms per minute. The substrate is pre-heated to about the deposition temperature or higher either in a preheat module or, less desirably, in the process chamber 70. Direct thermal coupling between the substrate and the substrate chuck 74 is nominal. Heat is transferred between the substrate and the substrate chuck 74 primarily by way of helium gas flowing between the substrate chuck 74 and the underside of the substrate. In one embodiment, a target film thickness of 100 angstroms is achieved by running the process for 10 seconds at a reduced flow of reactants to seed the substrate with tantalum oxide. The process is then run for 75 seconds at full flow to build the desired film thickness.
The deposition rate can be either reduced or slightly increased. An increase in the deposition rate may require an increase in the temperature of the vaporizer 28. The temperature of the vaporizer 28, however, should generally be limited to 190°C for TAETO because there is a risk that the quality of the deposited film will suffer as a result of TAETO degradation.
If the temperature of the vaporizer 28 is reduced to 170°C, the net effect will be a reduction in the rate of TAETO deposition. The maximum pressure available at the inlet to the vapor-delivery subsystem 14 would be reduced to about 1.73 torr. This reduction will nearly halve the possible flow rate and will result in a process pressure of about 450 millitorr. The reduced process pressure will yield a deposition rate of about 22-25 angstrom per minute.
As the TAETO vaporizes, it expands within the principal cylinder 30 and vapor outlet 32 of the vaporization chamber 26. All components, including valves and pressure sensors, within the vaporization chamber 26 and delivery conduit 40 are maintained at the temperature of the vaporizer 28 to prevent the TAETO from condensing. As the pressure in the vaporization chamber 26 is depleted by the flow of vapor through the delivery conduit 40 and into the process chamber 70, the pressure in the vaporization chamber 26 is reestablished by dispensing more TAETO from the reservoir 20 onto the heated vaporizer
28. While the vaporization subsystem 12 can operate continuously to maintain a pressurized supply of TAETO in the vaporization chamber 26, it will typically maintain a low vapor pressure within the chamber 26 until a demand is signaled by the processor. When no demand is signaled, the vaporization chamber 26 will be purged of TAETO and evacuated. This cyclic process is established to accommodate the thermal sensitivity of the precursor (in this case, TAETO). The precursor, if held at an elevated temperature for any length of time, will decompose before delivery into the process chamber 70.
Further, with careful selection of precursors, methods and apparatus described herein allow the sequential deposition of different but complementary materials in the same chamber without moving the substrate. As a result, multiple deposition steps can be performed without substrate movement and the accompanying cycles of pump down, purge, vent up to atmospheric pressure, and substrate heat up.
Complementary processes thus far identified include the following: titanium nitride (TiN) from TiBr4 or TDEAT and ammonia, followed by aluminum from DMAH; tantalum nitride (TaN) from TaBr4 and ammonia, followed by copper from Cu,(hfac)(tmvs); and titanium nitride (TiN) from TiBr4 or TDEAT, and ammonia, followed by aluminum from DMAH, followed by 0.5 atomic percent copper from Cu,(hfac)(tmvs).
Additional complementary processes that can be used in optics processing include multiple stacked layers of the following: silica (SiO2) followed by tantalum oxide (Ta^); silica followed by titania (TiO2); and tantalum oxide followed by titania. For optics applications, multiple stacked layers of different grades of SiTiO2 can also be formed in accordance with these methods. The SiTiO2 layers can be graded in terms of the layers' thickness or refractive index across the substrate. Specifically, the thickness can be graded by directing flow of reactants across the surface of the substrate such that the reactant concentration is depleted as the gas flows from one end of the substrate to the other. Further, the substrate can be non-uniformly heated to alter the rate of deposition across its surface.
The CVD apparatus 10 is also suitable for depositing barium titanate, barium strontium titanate, strontium bismuth tantalate, and other similar depositions.
The film processing system, described herein, and many of the processes, described above, are particularly suitable for semiconductor processing procedures. More particularly, the apparatus and methods described herein are well suited for the deposition of advanced dielectrics and interconnect metals on a wafer.
A cluster tool 120 for semiconductor processing is illustrated in Figure 15. The illustrated cluster tool 120 includes a number of process modules assembled around a transport module 122 and interfaced with a central control system. Alternatively, the cluster tool 120 can have an inline, rather than radial geometry of process modules in relation to the transport module 122. One or more of these process modules include a CVD apparatus 10. In addition to the CVD apparatus 10, the cluster tool 120 includes an entrance load lock 126, an exit load lock 128, a preheat module 130, a cool module 132, and a transport module 122. In the illustrated embodiment, three CVD apparatus 10, which can operate in parallel to enhance throughput, are provided. These modules can be operated sequentially in series, or in parallel. The cluster tool 120 is designed in accordance with MESC, the standard design architecture adopted by Semiconductor Equipment and Materials International (SEMI), a trade organization of semiconductor industry suppliers. Accordingly, a variety of other standardized components, such as process modules for different deposition and etch processes, can be readily integrated into the cluster tool 120, as desired.
Each process module in a cluster tool 120 is generally designed to process a single wafer at a time. Typical production requirements are for the tool 120 to process 60 wafers per hour. This rate is achieved by implementing different process steps in separate process modules clustered around the transport module 122. The tool 120, illustrated in Figure 15, is designed for a 300 mm tantalum oxide process system, which uses an eight-sided transport module 122 typically connected to three tantalum oxide CVD apparatus 10. Optionally, the tool might also accommodate a rapid thermal anneal (RTA) module. In an alternative embodiment, a plurality of cluster tools 120 are interfaced together so that a wafer can be sequentially passed between tools 120 for a series of processing stages without ever removing the wafer from the vacuum established within the cluster tools 120.
The operation of a cluster tool 120 commences with wafers being loaded into an input cassette 136 in an entrance load lock 126. A robot arm 134 (available from Brooks Automation) in the transport module 122 removes one wafer at a time from the input cassette 136 and moves each wafer to an alignment station 138. At the alignment station
138, a standard notch in each wafer is precisely aligned before further processing, eliminating wafer orientation effects within a process module and aiding in process uniformity. Once aligned, the robot arm 134 moves the wafer to a preheat module 130 where the wafer remains for approximately 30 seconds while being heated to 300-500°C. When a CVD apparatus 10 becomes available, the wafer is moved to the process chamber of that CVD apparatus 10 for tantalum oxide deposition. Deposition occurs over a period of approximately 120 seconds. After deposition, the wafer is moved to the cool module 132, where the wafer resides for 30 seconds and is cooled enough to place it in the output cassette 140 in the exit load lock 128.
The process time for tantalum oxide deposition on a wafer is on the order of 120 seconds for a 0.01 -micron-thick film on a preheated wafer. Wafer movement from the input cassette 136, to the alignment station 138, to a CVD apparatus 10 and back to an output cassette 140 will consume approximately another ten seconds. The cluster tool 120, with three tantalum oxide CVD apparatus 10, would have a throughput of one wafer every 45 seconds, excluding ramp-up and ramp-down. The tool 120 in this configuration can process up to 75 wafers per hour.
In this context, the deposition process is used to form integrated circuits on the wafer. An integrated circuit is simply a large number of transistors, resistors, and capacitors connected together by metal lines. A general goal is to miniaturize the components to the greatest extent possible. Figure 16 illustrates a configuration in which multiple cluster tools 120a and 120b are arranged to process wafer in conjunction with each other. Wafer handoff mechanism 701 can pass wafers from transport module 122a in cluster tool controller 120a to an entire second cluster tool controller 120b. Wafer handoff mechanism 701 may be, for example, a conveyor-belt apparatus which transports the wafers 88 from the robot arm 134a to the second robot arm 134b of transport module 122b. Alternatively, the wafer handoff mechanism 701 can be accomplished by physically passing individual wafers 88 from robot arm 134a to robot arm 134b.
The CVD apparatus lOa-c in Figure 16 may be used for a certain processing of the wafers, and when complete, the wafers can be transported, through wafer handoff mechanism 701, to the second configuration of CVD apparatus lOd-f and secondary transport module 122b for a second type of processing. During the entire processing of wafers by the configuration in Figure 16, the wafers may be maintained under a vacuum and may be maintained at a relatively constant temperature. Since the cluster tools 120a and 120b are an entirely closed system, wafers experience reduced exposure to contamination and outside atmosphere while being processed. The large scale wafer processing illustrated in Figure 16 is referred to herein as a factory automation wafer processing system. According to one aspect of factory automation processing, the entire set of CVD apparatus 10a- f, transport modules 122a and 122b, and cluster tools 120a and 120b may all be controlled by a single factory automation controller 702 which handles all scheduling of wafer processing from beginning to end. Factory automation controller 702 contains a master central processing unit that governs the operation of each cluster tool 120a and 120b. Data bus 703 interconnects each CVD apparatus lOa-f with factory automation controller 702.
Figure 17 illustrates an alternative configuration for a factory automated CVD processing system. In Figure 17, the individual components (i.e., CVD apparatus 10, preheat modules 130, cooling modules 132, transport modules 122) of each cluster tool 120a and 120b are controlled by separate cluster tool controllers 705a and 705b. Factory automation controller 702 controls each cluster tool controller 705a and 705b, and can control wafer handoff mechanism 701.
In yet another alternative embodiment, one of the cluster tool controllers, for example, 120a, can control the wafer handoff mechanism 701 and can signal to the other cluster tool 120b that it has completed its wafer processing and that wafer are on route via wafer handoff mechanism 701 and should be accepted by robot arm 134b.
Each of these arrangements are shown by way of example only, and the principles explained herein are not limited to only two cluster tools in the factory automation configurations shown in Figure 16 and 17. Rather, there may be many cluster tools arranged in any number of ways, each having a cluster tool controller which is controlled by one or more master factory automation controllers. By distributing processing as shown in these examples, real-time wafer processing can be accomplished from beginning to end in a more efficient, clean, and timely manner. Figure 18 illustrates an example of the typical steps involved in controlling a single cluster tool 120a via cluster tool controller 705a, as illustrated in Figure 17. In step 710, robotic arm 134a accepts a wafer from the input cassette 136a, which is attached to the entrance load lock 126a. The robotic arm 134, in step 711, then aligns the wafer on the armature itself.
Wafer alignment on the robotic arm 134 is performed at the alignment station 138, where a notch in the side of the wafer is mechanically aligned with a reference indicator.
Once the wafer is correctly oriented, in step 712, which is an optional step, the wafer may be pre-heated in pre-heat module 130. Heating the wafer brings the wafer up to a temperature at or near the operating or substrate chuck temperature of the first CVD apparatus 10 that will accept the wafer. Next, the robotic arm 134, in step 713, places the wafer into one of the CVD apparatus lOa-c of the current cluster tool controller 120a for CVD processing in step 714, as explained above. While three CVD apparatus 10a, 10b and 10c are illustrated in Figure 16, the concepts explained herein are not limited to systems with three CVD apparatus; there may be one, two, three or many more such system all accessible by a single robotic arm 134. After the wafer has completed CVD processing in step 714 in CVD apparatus 9a, in step 715, the robotic arm extracts the wafer. Next, the wafer either moves to the next CVD apparatus (i.e., back to step 713), or finishes processing (step 716) by being cooled in cool module 132 and exiting the cluster tool 12a via output cassette 140, or the wafer is passed to another cluster tool 120b via wafer handoff mechanism 701 (step 717). Generally, wafer processing repeats until the correct sequence of heating, CVD processing and cooling has been performed, as dictated by the wafer processing program executing in cluster tool controller 705a controlling the operation of cluster tool 120a.
The fabrication of electronic devices using methods of semiconductor processing are attempting to build these structures with the smallest possible features. Accordingly, it is desirable that the transistors, interconnects, capacitors, and resistors, for example, occupy as little space on the wafer surface as possible, providing more devices per wafer and reducing costs. As the size of features decreases, new materials are often needed to maintain the proper conductivity of the finer lines and the properties of both active and passive components.
The film processing system is particularly suited for the deposition of thin films of metals, dielectric layers used as insulators for these metals, low-k interlayer dielectric layers, capacitor dielectrics (denoted as high-k), and transistor gate dielectrics required for 0.25 micron or smaller linewidth processes. The processes can be used to form integrated circuits with clock speeds of 400 MHZ or faster and 256 Mbit or more DRAM, for example.
Semiconductor deposition processes that can be performed with a cluster tool 120 incoφorating the CVD apparatus 10 include the deposition of high-k capacitor dielectrics such as tantalum oxide; the deposition of layers that serve as barriers and adhesions promoters, like titanium nitride, a liner used for aluminum, and tantalum nitride, a copper liner; and the deposition of copper metal for interconnects.
Further, the methods and apparatus described herein are particularly suitable for the deposition of stacked gate dielectrics. This procedure can involve successive deposition of layers of thin films (on the order of 15 angstroms for each film) including two or more different dielectrics to minimize gate capacitance. Stacked dielectric gates can be used in devices with geometries of less than 0.15 microns and in devices with geometries of up to 0.25 microns, where an increase in speed beyond 400 MHZ is needed, for example. Stacked gate dielectrics can be formed of silica along with either silicon nitride or tantalum oxide. Other dielectric materials can also be used, provided that they achieve a desired dielectric transistor gate.
An illustration of a device (specifically, an NMOS transistor) formed by the methods described herein is provided in Figure 26. The device includes a silicon substrate 1052; a source 1054 formed by ion implantation or diffusion; a drain 1056 formed by ion implantation or diffusion; a pair of channel stops 1056 also formed by ion implantation; a gate dielectric 1058 (which can comprise, e.g., silica) deposited in accordance with methods described herein; a gate metallization film 1060, which can be formed of polysilicon or formed of copper or aluminum deposited in accordance with methods described herein; an insulator dielectric 1062 (typically, silica) formed by thermal oxidation; an insulator dielectric 1064 (typically silica) deposited in accordance with methods described herein; and a metallization film 1066 (comprising, e.g., copper, aluminum, or copper/aluminum) deposited in accordance with methods described herein.
Further still, the methods and apparatus described herein offer advantages in the processing of stacked dielectrics, where sequential deposition of two different dielectrics is generally required. The design of a precursor delivery system, in accordance with the apparatus described herein, allows deposition of both materials in the same process chamber. As a result, the wafer will not be exposed to random oxidation during transport between chambers, which can destroy the gate. Further, because the wafer need not be moved, the system is expected to have an intrinsically higher throughput than existing systems. Background discussion directed to the synthesis of stacked gate dielectrics is provided in P.K. Roy, et al, "Stacked High-e Gate Dielectric for Gigascale Integration of Metal-Oxide- Semiconductor Technologies," 72 Applied Physics Letters 2835 (1 June 1998), which is incoφorated herein by reference in its entirety.
Other materials that can be suitably deposited on semiconductor wafers with an apparatus and method described herein include aluminum, aluminum/copper (an alloy with reduced liner requirements), barium titanate (a potential high-k dielectric film), and barium strontium titanate (another high-k dielectric film).
An integrated gate oxide cluster tool 920 designed specifically for semiconductor gate dielectric deposition is illustrated in Figure 22. The tool 920 includes an etch module 951. The etch module 951 , like the other modules, operates at low power. The etch module 951 is used for preheating and soft etching to provide a surface that is free or nearly-free of atomic residue. The tool also includes a pair of process modules 910d for silica deposition, a pair of process modules 910e for tantalum oxide deposition and a single process module 91 Of for titanium nitride deposition.
Processing within the tool 920 of Figure 22 proceeds as follows. A gate to the entrance load lock 926 is lifted and the wafer handler robot arm 934 mounted in a transport module 922 retrieves a silicon wafer from the stack of wafers in the entrance load lock 926. The wafer handler robot arm 934 first delivers the wafer to the etch module 951. After the wafer is preheated and soft etched in the etch module 951, the robot arm 934 retrieves the wafer and advances it to one of the silica deposit modules 910d, where vaporized TEOS is reacted with N2O to form a silica deposit on the wafer. After deposition in the silica deposit module 910d is completed, the robot arm 934 retrieves the wafer and advances it to a tantalum oxide deposit module 910e, where N20 is reacted with vaporized TAT-DMAE or with vaporized TAETO to form a tantalum oxide layer on the silica layer. Next, the robot arm 934 retrieves the wafer and advances it to the titanium nitride deposit module 91 Of where TiBr4 or TDEAT and ammonia are reacted to form a thin TiNx capping material on top of the tantalum oxide layer. Finally, the robot arm 934 again retrieves the wafer and advances it to the exit load lock 928 for later removal from the tool 920.
An integrated aluminum/copper tool 1020 for depositing aluminum and copper metallization films is illustrated in Figure 23. The tool 1020 includes a titanium nitride deposit module lOlOg, a copper deposit module lOlOh, two aluminum deposit modules lOlOi, as well as transport module 1022, entrance and exit load locks 1026 and 1028, a preheat/etch module 1051, and a wafer handler robot arm 1034. The tool 1020 is used to deposit a titanium nitride liner/barrier layer on a silicon wafer followed by successive depositions of copper and aluminum. Copper thin films are emerging as important metallization films for integrated circuits, and the level of importance increases as integrated circuit manufacturers introduce products with copper metallization. Further, more are likely to follow this lead as linewidths shrink from 0.25 to 0.18 to 0.15 to 0.12 to 0.1 microns. The "transition" technology for significant implementation of copper metallization is likely to be at 0.13 micron design rules.
Integrated circuit linewidths shrink at approximately a factor of 0.7 per generation. This allows for an approximate doubling of the density of circuit elements (transistors) with each generation. This needs to occur at a rate of one every 12 to 18 months to keep the semiconductor industry moving along the generally accepted Moore's Law curve of doubling performance and halving cost every one to two years. As circuit size shrinks, the need to carry electrical signals remains relatively constant, but as the linewidths shrink, metallization conductivity decreases because the cross-sectional area of the metal lines decreases. This requires some combination of thicker metal or more metallization lines/levels to be implemented on the circuit. An alternative is to use a higher conductivity metal. C rently, Al is the industry-standard metallization material. Alternative materials and their comparison resistivities are:
Figure imgf000075_0001
Figure imgf000076_0001
Copper offers significant improvement in resistivity and is being implemented with what is known as damascene processing due to the impracticality of dry-etching Cu. Copper is an undesirable contaminant to silicon integrated circuits, but less so than gold. To prevent contamination, Cu metallization films are isolated from the silicon using appropriate line/barrier layers such as TiNx, TaNx or WNX. Additionally, it is typically required that the copper be deposited in a fashion that will fill high aspect ratio features during integrated circuit fabrication. The liner/barrier layers must also coat these high aspect ratio features prior to the copper deposition.
A complete copper deposition process currently consists of three sequential discrete steps. First, a liner/barrier layer (not copper) is deposited. Second, a thin copper layer, called the "seed" layer is deposited on the liner/barrier layer. Third, a thicker copper layer, called the "fill" layer is deposited.
The liner/barrier layer deposition is typically done by physical vapor deposition (PVD, sputtering) but can also be done by CVD. This layer may typically be 10 to 100 nm thick. The seed layer is also typically done by PVD but can also be done by CVD and also is typically between 10 and 100 nm thick. The advantage of depositing these layers by CVD is better conformality for the coverage of high aspect ratio features relative to PVD. However, CVD is typically more costly than PVD due partially to equipment complexity and throughput, but mostly due to the cost of starting source materials. A copper metal "target" is used as the Cu source in PVD. The most widely accepted source material for Cu deposition by CVD is Schumacher CupraSelect™ copper source, which is Cu(hfac)(TMVS), or C103CuF6O2Si, in which a Cu atom is attached to a molecule of hexaflouroacetylacetonate (hfac, or CF3COCHCOCF3) and to a molecule of trimethylvinylsilane (TMVS, or C5H12Si). This material is unstable and difficult to work with and is relatively expensive at a cost of up to approximately $20 per gram. The fill layer, typically 100 to 300 nm thick, is cuπently typically deposited by an electroplating process, although CVD and PVD are alternatives. The PVD process is not a practical alternative, however, due to the need to conformally fill high aspect ratio features, as the PVD process is inherently directional. Electroplating offers conformal deposition, but is done using a wet process which is against industry trends. Consequently, CVD is a preferred process due to conformality, similarity with other industry processes, and compatability with the seed layer deposition process.
The methods and apparatus described herein, particularly with respect to use of the unique precursor delivery system, provide a preferred method and means for depositing copper seed and fill layers, as well as liner/bamer layers.
Methods and apparatus described herein are unique in that they can allow stable ambient storage of the copper source chemical (refeπed to as the precursor) while small amounts of the pure precursor are introduced to the vaporizer unit. This represents a unique approach to source vaporization for several reasons. First, the source precursor is stored at an ambient temperature at which it is stable so that the source is not subject to premature decomposition. Second, only small amounts of the source are introduced for vaporization and only on demand to generate a sufficient source pressure to execute the transport of sufficient precursor material, via the vapor-delivery subsystem, to produce the required film deposition. Third, the vaporization of a small quantity of precursor allows the vaporizer to function without risk of "clogging" due to the formation of a "skin" of non- volatile vaporization by-products of a precursor charge. This is a common experience with vaporization methods that place a "large" quantity (or charge) of precursor in a vaporizer. This is particularly true when using precursor sources in solid form, as is likely to be the case for copper CVD. Generally, in the case of a liquid source, non-volatile materials can dissipate in the liquid or be displaced, allowing an appropriate exit path for the generated vapor. However, non- volatile residues that form on the surface of a solid source are not readily displaced and interfere with the free path of vapor. This results in a "clogging" effect
(or "poisoning" of the vaporizer). Methods described herein avoid this problem by introducing small amounts of precursor on-demand via a process control loop, whereby liquid precursors wick along a smooth conical surface in order to enable vaporization and solid precursors are dissipated along a stepped conical surface and vaporized. Residual material left on the stepped conical surface is covered by newly added material as introduced on-demand by the process control loop. This is a method of a non-clogging (non-poisoning) precursor vaporizer.
In the deposition of copper films, a precursor, such as CuTMVS (CupraSelect™), Cu(hfac)2, Cu(tfa)2, or Cu(fod)2, will be vaporized and delivered to the process chamber in a controlled fashion through the vapor-delivery subsystem. The vapor-delivery subsystem, the process chamber walls, and other fixtures and/or plumbing are maintained at or above the same temperature as the vaporizer to insure that there is no condensation of the generated precursor vapors in the system prior to the vapors impinging on the heated substrate (e.g., a silicon wafer) onto which the copper film is to be deposited. The advantage of the specified precursor chemicals (and other potential copper source precursor chemicals) relative to
CupraSelect™ is that they are inherently more stable. CupraSelect™ is an unstable material that will degrade/decompose at ambient room temperature and above. All these materials are intended to be deposited at a substrate (silicon wafer) temperature of generally between 150°C and 300°C, although the range can extend beyond these temperatures depending on the specific precursor.
It is expected that the copper deposition with the specified precursors will be thermally induced and will proceed without a need for other reactants. However, the process may be enhanced by the introduction of hydrogen gas (H2) remotely-ionized hydrogen, or water vapor. Means are provided in the apparatus for introducing such reactants (or process- assisting agents) through a separate gaseous source line.
The use of pure precursor vapor, due to the inherent avoidance of a carrier gas in methods described herein and the intended omission of a reactant gas, will result in a higher deposition efficiency than that of other copper CVD processes that require such gases (and, hence, greatly dilute the precursor flow). This will result in improved utilization (efficiency) of the precursor chemical. The table, below, shows a cost comparison for the deposition of
Copper films using known source pricing from commercial vendors. A 10% efficiency is assumed to be representative of the methods described herein while a 3% efficiency is assumed to be representative of other CVD processes that utilize a carrier gas (or other effective dilutant) in the CVD process. The relative cost comparison for the precursor source is provided in the accompanying chart.
Figure imgf000079_0001
The cost ratio for this aspect of the invention, calculated in terms of the cost of Cu(acac) versus the cost of CuTMVS CVD is 67.6366. A relative cost comparison for the different copper sources is illustrated in Fig. 25.
In the deposition of copper utilizing the methods described herein, it is anticipated that the deposition will be carried out at a process pressure of between 0.01 and 100 torr, with a nominal process pressure of approximately 1 ton. The vaporizer pressure will be set at slightly above the deposition process pressure. The substrate (silicon wafer) will be heated via the heated wafer chuck (or support). The wafer chuck will be moved to its selected position for deposition and the precursor will be introduced in a controlled fashion through the vapor-delivery subsystem. The precursor flow will be terminated after a specific period of time or some other condition, and the wafer chuck lowered to the wafer transport position.
Likely vaporizer set points for specific copper sources are set by targeting the 1 to 10 torr precursor vapor pressure range, though other vapor pressure ranges may be equally effective. Representative vaporizer operating temperature set points for generating a range of vapor pressures are provided in Figure 24, which indicates published vapor pressure data for several selected copper precursors. Based on this data, a vaporizer can be operated at 60°C to 70°C for CupraSelect™ (represented by the curve in Figure 24 and limited in the upper range by the inherent thermal instability of this material), 50° to 100°C for Cu(hfac)2 (represented by diamonds), 140° to 200°C for Cu(tfa)2 (represented by triangles), and 160° to 200°C for Cu(acac)2 (represented by the square). More specifically, the vapor pressure curve for CupraSelect™ can be expressed as follows:
Log Plorr = -3.39 (103 / TK) + 10.33.
The CVD apparatus and methods described herein can also be used to deposit organic materials such as photoresist or organic light emitting diode (LED) materials. Further, organometallic arsenic and/or phosphorous sources can be deposited via the apparatus and methods described herein. Further still trimethyl indium (TMI) or other materials for compound semiconductor epitaxial crystal growth can be delivered with these methods and apparatus. Chemical vapor deposition using the apparatus and methods described, above, can also be utilized for the deposition of dielectric films selected for their optical properties (e.g., refractive index) on a variety of substrates. Given the nature of light, the thickness of coatings should be precise and uniform to provide the desired transmissivity or reflectivity. In general, the type, structure, and thickness of the deposited films are selected as a function of the objectives of the particular application. Example applications include refractive index matching, waveguide fabrication, or (of particular interest) optical filter fabrication.
Optical filters are fabricated using deposited dielectric layers by stacking alternating layers of two materials having different refractive indices. The thickness, refractive index, difference in refractive index of the two materials, and the total number of layers in the stack determine optical properties of the filter such as the wavelength (or wavelength range where the filter is reflective or transmissive) and the shaφness of the filter edges. Optical wavelength ranges of interest include the ultraviolet, visible and infrared spectral ranges. The spectral range of a coating is a function of the coating's thickness and stoichiometry. In particular, optical coatings can be deposited in the 1.5 micron to 1.6 micron spectral range (centered around 1.55 microns); an optical coating having this range can be used for optical networking. One particular application where optical filters (formed in accordance with the methods described herein) can be used is dense wavelength division multiplexing (DWDM) for optical networking applications. Optical filters for this application comprise alternating layers of two materials having different refractive indices. Examples of suitable pairs of alternating layers include SiO2/TiO2 and SiOj/Ta^j. Each layer in the filter can have a thickness between 2.5 nm and 100 nm (typically from about 5 nm to about 10 nm). There can be about 20 to about 200 (typically about 50 to about 100) such layers of each material. In particular examples, the following layers can be coated on an optical substrate using the above-described methods: silicon dioxide, silicon nitride, tantalum pentoxide, titanium dioxide and magnesium fluoride. The precursor sources used for forming each of these deposits are described, above. Further, methods for forming coatings of particular compositions, such as SiO2 and Ta^, are discussed in detail above.
In the deposition of multilayer films, two vaporization subsystems 12 (as shown, e.g., in Figure 2b) coupled with the process chamber via separate vapor-delivery subsystems 14, 14' (as shown, e.g., in Figure 3c) can be employed with no reactant gas or with one or two separate reactant gases (e.g., nitrous oxide or ammonia). Further, the reaction chamber can be purged of film deposition chemistry between layers. Purging can be performed by shutting off precursor and reactant gas flows used for one film and pumping down the process chamber, then introducing the reactant and precursor for the next film to be deposited. Alternatively, an inert or non-reactive purge gas, such as argon, can be introduced to rapidly remove the film chemistry for the process chamber.
Alternatively, two CVD apparatus 10 modules (see Figures la-d and 6a-c) can be integrated on a wafer/substrate handler to form a cluster tool configuration and operated as described above, whereby one apparatus 10 can be used for depositing one film on the optical substrate, and the optical substrate can then be moved, by the wafer handler to a second apparatus 10 where the second film is deposited.
In either case, a pre-heat/pre-clean soft-etch process module, also integrated into the cluster tool configuration, can be used for initial preparation of the substrate surface. Examples of optical substrates that can be mounted in the process chamber and upon which the coatings can be deposited include the following: glass having a planar or patterned surface (e.g., a waveguide pattern), silicon having a surface that is planar or patterned with passive devices (e.g., waveguides) or with active devices (e.g., light emitters or sensors), lithium niobate, gallium arsenide (or related alloys), indium phosphide (or related alloys), gallium nitride (or related alloys), or silicon carbide (or related alloys). The types of patterning that can be used in depositing the coatings on the optical substrate include the following: planar (e.g., planar coatings on planar substrates); conformal (e.g., uniform coatings on all sides of the topography of a patterned surface); or selective (e.g., differing coatings on the different sides of the topography of a patterned surface, or different coatings or no coating on differently-conditioned areas of a planar or patterned surface).
Other suitable applications for the CVD apparatus and methods described herein include processing of flat panel displays and coated drill bits. Another application for the CVD apparatus and methods is for depositing optical coatings including dielectric coatings, anti-reflection coatings, and coatings to reduce friction and wear. While this invention has been particularly shown and described with references to preferred embodiments thereof, those skilled in the art will understand that various changes in form and details may be made therein without departing from the scope of the invention as defined by the appended claims.

Claims

CLAΓMSWhat is claimed is:
1. A vapor-flow-control system comprising a unitary element the element defining an first aperture through which fluid can be delivered, the element further defining a pair of second apertures with fittings to which pressure sensors can be mounted to measure vapor pressure in the first aperture the block still further defining a third aperture with a fitting for a control valve to control vapor flow through the first aperture.
2. The vapor-flow-control system of claim 1, further comprising pressure sensors mounted in the pair of second apertures defining side-bores with fittings for pressure sensors and a proportional control valve mounted in the third aperture defining side bore with a fitting for the proportional control valve.
3. The vapor- flow-control system of claim 2, the block further defining at least one bore in which a cartridge heater is inserted.
4. The vapor- flow-control system of claim 2, wherein the vapor- flow-control system is mounted between a vaporization chamber and a process chamber.
5. The vapor- flow-control system of claim 2, further comprising a laminar flow element mounted in the first aperture defining through-bore between the pressure sensors.
6. An apparatus for chemical vapor deposition comprising: a vaporization chamber; a vaporizer in the vaporization chamber; a process chamber; a vapor-flow-control system mounted between the vaporization chamber and the process chamber, the vapor-flow-control system including a unitary metal block, the block defining a through-bore through which vaporized precursor can be delivered from the vaporization chamber to the process chamber.
7. The apparatus of claim 6, wherein the block further defines a pair of side-bores with fittings to which pressure sensors can be mounted for measuring vapor pressure in the through-bore, the block still further defining a side-bore with a fitting for a proportional control valve to control vapor flow through the through-bore.
8. The apparatus of claim 7, further comprising pressure sensors mounted in the pair of side-bores with fittings for pressure sensors and a proportional control valve mounted in the side bore with a fitting for the proportional control valve.
9. The apparatus of claim 8, further comprising a laminar flow element mounted between the pressure sensors.
10. The apparatus of claim 8, the block further defining at least one bore in which a cartridge heater is inserted.
11. A method for chemical vapor deposition comprising the steps of: vaporizing a precursor in a vaporization chamber; and delivering the vaporized precursor to a process chamber through an aperture of a unitary metal block.
12. The method of claim 11, further including the step of measuring the pressure of vaporized precursor flowing through the aperture defining a through-bore of the block.
13. The method of claim 12, further comprising the step of directing the vaporized precursor through a laminar flow element in the through-bore.
14. The method of claim 13, wherein the pressure of vaporized precursor is measured on both sides of the laminar flow element.
15. The method of claim 14, further comprising the step of controlling the flow of vaporized precursor through the through-bore with a proportional control valve.
16. A method for forming a material a substrate in a process chamber by chemical vaporization in a process chamber comprising the steps of: vaporizing a precursor in a vaporization chamber to generate a gas; delivering the gas to the process chamber along a gas flow path without a carrier gas at a controlled temperature and pressure; measuring gas flow rate through the gas flow path extending between the vaporization chamber and the process chamber containing the substrate, the gas flow path having a first pressure sensor and a second pressure sensor; and controlling the gas flow rate between the vaporization chamber and the process chamber in response to the measured gas flow rate to form a material on the substrate.
17. The method of claim 16 wherein the substrate is an optically transmissive substrate.
18. The method of claim 17 wherein the substrate comprises glass.
19. The method of claim 16 wherein the substrate has a planar surface on which the material is formed.
20. The method of claim 16 wherein the substrate has a patterned surface on which the material is formed.
21. The method of claim 16 wherein the substrate comprises silicon.
22. The method of claim 21 wherein the substrate has a planar surface on which the material is formed.
23. The method of claim 21 wherein the substrate has a patterned surface on which the material is formed.
24. The method of claim 17 wherein the substrate comprises lithium niobate.
25. The method of claim 17 wherein the substrate comprises gallium arsenide.
26. The method of claim 17 wherein the substrate comprises indium phosphide.
27. The method of claim 17 wherein the substrate comprises gallium nitride.
28. The method of claim 17 wherein the substrate comprises silicon carbide.
29. The method of claim 17 wherein the substrate has a planar surface, the material forming a planar coating on the planar surface.
30. The method of claim 17 wherein the substrate has a surface with a patterned topography, the material forming a coating conforming to different sides of the topography on the patterned surface.
31. The method of claim 17 wherein the material forms a selective coating covering only selected portions of the substrate.
32. The method of claim 17 wherein the material formed on the substrate is silicon dioxide.
33. The method of claim 32 wherein tetraethylorthosilicate (TEOS) is vaporized in the vaporization chamber and delivered to the process chamber where the vaporized TEOS reacts to form the silicon dioxide.
34. The method of claim 33 wherein the silicon dioxide is formed by reacting the vaporized TEOS with nitrous oxide.
35. The method of claim 32 wherein dibutoxydiacetoxysilane is vaporized in the vaporization chamber and delivered to the process chamber where the vaporized dibutoxydiacetoxysilane reacts to form the silicon dioxide.
36. The method of claim 17 wherein the material formed on the substrate is silicon nitride.
37. The method of claim 36 wherein silicon tetraiodide is vaporized in the vaporization chamber and delivered to the process chamber where the vaporized silicon tetraiodide reacts to form the silicon nitride.
38. The method of claim 37 wherein the silicon nitride is formed by reacting the vaporized silicon tetraiodide with ammonia.
39. The method of claim 17 wherein the material formed on the substrate is tantalum pentoxide.
40. The method of claim 39 wherein tantalum pentaethoxide (TAETO) is vaporized in the vaporization chamber and delivered to the process chamber where the vaporized TAETO reacts to form the tantalum pentoxide.
41. The method of claim 17 wherein the material formed on the substrate is titanium dioxide.
42. The method of claim 41 wherein titanium tetrakis-isopropoxide (TTIP) is vaporized in the vaporization chamber and delivered to the process chamber where the vaporized TTIP reacts to form the titanium dioxide.
43. The method of claim 41 wherein titanium nitrate is vaporized in the vaporization chamber and delivered to the process chamber where the vaporized titanium nitrate reacts to form the titanium dioxide.
44. The method of claim 17 wherein the material formed on the substrate is magnesium fluoride.
45. The method of claim 17 wherein at least two different precursors are sequentially vaporized and reacted in the process chamber to form alternating layers of different compositions on the substrate.
46. The method of claim 45 wherein each layer has a thickness between 2.5 nm and 100 nm.
47. The method of claim 46 wherein each layer has a thickness between about 5 nm and about 10 nm.
48. The method of claim 45 wherein about 20 to about 200 layers of each material are alternately deposited.
49. The method of claim 48 wherein about 50 to about 100 layers of each material are alternately deposited.
50. The method of claim 45 wherein the layers have a spectral range between about 1.5 to about 1.6 μm.
51. The method of claim 45 wherein the alternating layers include layers of silicon dioxide and layers of titanium dioxide.
52. The method of claim 45 wherein the alternating layers include layers of silicon dioxide and layers of tantalum pentoxide.
53. The method of claim 45 wherein the two precursors are vaporized in separate vaporization chambers and delivered to a common process chamber.
54. The method of claim 17 wherein a first precursor is vaporized in a first vaporization chamber and delivered to a first process chamber where the first precursor is reacted on the optical substrate, and the optical substrate is then transported by a wafer handler in a cluster tool to a second process chamber, and a second precursor is then vaporized in a second vaporization chamber and delivered to a second process chamber where the second precursor is reacted on the optical substrate.
55. The method of claim 16 further comprising dispensing the precursor from a reservoir onto a vaporizer in the vaporization chamber.
56. The method of claim 55 wherein the vaporizer is dome- or cone-shaped with the apex of the dome or cone positioned to receive precursor delivered by the dispenser.
57. The method of claim 55 further comprising measuring pressure in the process chamber, dispensing a precursor onto the vaporizer, and controlling the dispensing rate as a function of the measured pressure in the vaporization chamber.
58. The method of claim 16 wherein the gas flow rate between the vaporization chamber and the process chamber is measured with a pair of capacitance manometers positioned at opposite ends of a laminar flow element.
59. The method of claim 16 wherein the optical substrate is subject to a soft etch in a soft-etch process module before the precursors are reacted on the substrate.
60. A method for depositing a reaction product on a substrate comprising the steps of: vaporizing a precursor in a vaporization chamber; measuring a vapor pressure of the precursor with a first pressure sensor; controlling the rate of precursor vaporization in response to the measured vapor pressure; delivering the vaporized precursor without a carrier gas through a laminar flow element between the vaporization chamber and a process chamber; measuring the rate at which the vaporized precursor flows between the vaporization chamber and the process chamber using a second pressure sensor that measures vapor pressure at a first end of the laminar flow element and a third pressure sensor that measures vapor pressure at a second end of the laminar flow element; and reacting the vaporized precursor in the process chamber to produce an optical filter that is deposited on the substrate.
61. The method of claim 60 wherein the substrate is an optical substrate capable of transmitting light.
62. The method of claim 60 wherein the precursor is dispensed from a reservoir to a vaporizer that vaporizes the precursor.
63. The method of claim 62 wherein the vaporizer is dome- or cone-shaped with the apex of the dome or cone positioned to receive precursor delivered by the dispenser.
64. A flow control valve comprising: a rotating ramp; and a a member in contact with the ramp such that the shaft is displaced as the ramp is rotated.
65. The flow control valve of claim 64 wherein the member in contact with the ramp is a ball bearing.
66. The flow control valve of claim 64 wherein the ramp is contained within a ringed disk mounted for rotation.
67. The flow control valve of claim 64 wherein a slope of the ramp, defined by a change in the radial distance from the axis of rotation to the ramp versus change in radial position, is constant during rotation of the ramp.
68. The flow control valve of claim 68 wherein the slope of the ramp, defined by a change in the radial distance from the axis of rotation to the ramp versus change in radial position, is nonlinear.
69. The flow control valve of claim 68 wherein the slope of the ramp follows a function providing a linear relation between change in slope and change in conductance of a fluid regulated by the valve.
70. The flow control valve of claim 64 wherein the valve is an insertable valve.
71. The flow control valve of claim 64 further comprising a motor having a rotary drive mechansim, the inside ramp coupled with the rotary drive mechanism to enable axial-rotation of the inside ramp.
72. The flow control valve of claim 64 wherein the shaft has an end remote from the member in contact with the inside ramp, the valve further comprising a plunger that can regulate vapor flow at the remote end of the shaft.
73. An apparatus as in claim 72 further comprising a manifold defining a fluid flow passage, the flow control valve inserted into the manifold with the plunger positioned within the fluid flow passage to regulate the flow of a fluid therethrough.
74. The apparatus of claim 73 further comprising a CVD apparatus within which the manifold is mounted for directing and regulating fluid flow.
75. The flow control valve of claim 64 wherein the ramp extends over 180° of rotation.
76. The flow control valve of claim 75, wherein the ramp extends over at least about 350° of rotation.
77. The flow control valve of claim 76 wherein the ramp is tapered at its peak.
78. The flow control valve of claim 76 wherein the ramp is in the form of a spiral extending over more than 360° of rotation.
79. The flow control valve of claim 64 wherein a pair of inside ramps are mounted within a ring.
80. The flow control valve of claim 79 wherein each ramp extends 180° or less.
81. The flow control valve of claim 79 wherein the ramps are tapered at their peaks.
82. The flow control valve of claim 79 wherein the ramps have different profiles.
83. The apparatus of claim 64 wherein the valve can regulate a fluid having a temperature of at least 300°C: a motor having a rotary drive mechansim; an inside ramp coupled with the rotary drive mechanism to enable axial- rotation of the inside ramp; an axially-reciprocable shaft having a member in contact with the inside ramp such that the shaft will be displaced as the ramp is rotated.
84. A manifold for fluid flow comprising: a body defining a fluid flow path; and at least one port in the body designed to accept an insertable valve, the body of the manifold further defining a cavity within which the insertable valve can be mounted.
85. The manifold of claim 84 further comprising an insertable valve mounted within the manifold.
86. The manifold of claim 84 wherein the insertable valve is a flow control valve comprising: an inside ramp mounted for rotation; and an axially-reciprocable shaft having a member in contact with the inside ramp such that the shaft will be displaced as the ramp is rotated.
87. The manifold of claim 86 wherein the insertable valve includes a motor positioned outside the manifold.
88. The manifold of claim 84 further comprising a throttle valve mounted within the manifold.
89. The manifold of claim 84 further comprising a shut-off valve mounted within the manifold.
90. The manifold of claim 84 wherein the manifold is an otherwise-solid block.
91. The manifold of claim 90 wherein the block is formed of aluminum.
92. The manifold of claim 90 wherein the block is formed of stainless steel.
93. The manifold of claim 84 wherein the manifold includes a solid shell filled with particles.
94. The manifold of claim 93 wherein the manifold and particles are formed of aluminum.
95. A method for regulating fluid flow comprising the steps of: providing a manifold that defines a fluid flow passage and includes at least one port in which an insertable valve can be mounted; inserting an insertable valve into the port; flowing a fluid having a temperature of about 300°C or higher through the passage; and regulating the flow of the fluid with the insertable valve.
96. The method of claim 95 wherein the insertable valve is a flow control valve comprising: an inside ramp mounted for rotation; and an axially-reciprocable shaft having a member in contact with the inside ramp such that the shaft will be displaced as the ramp is rotated.
PCT/US2000/028998 1999-10-20 2000-10-20 Fluid processing system WO2001029282A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU12184/01A AU1218401A (en) 1999-10-20 2000-10-20 Fluid processing system

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US42182899A 1999-10-20 1999-10-20
US09/421,828 1999-10-20
US09/421,823 1999-10-20
US09/421,823 US6296711B1 (en) 1998-04-14 1999-10-20 Film processing system
US67846000A 2000-10-03 2000-10-03
US09/678,460 2000-10-03

Publications (2)

Publication Number Publication Date
WO2001029282A2 true WO2001029282A2 (en) 2001-04-26
WO2001029282A3 WO2001029282A3 (en) 2001-11-22

Family

ID=27411324

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/028998 WO2001029282A2 (en) 1999-10-20 2000-10-20 Fluid processing system

Country Status (2)

Country Link
AU (1) AU1218401A (en)
WO (1) WO2001029282A2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005028704A1 (en) * 2003-09-19 2005-03-31 Akzo Nobel N.V. Metallization of substrate (s) by a liquid/vapor deposition process
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
EP2027395A2 (en) * 2006-06-12 2009-02-25 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizers for use in such system
US8343281B2 (en) 2009-09-22 2013-01-01 Samsung Display Co., Ltd. Source gas supply unit, and deposition apparatus and method using the same
WO2015127413A1 (en) * 2014-02-24 2015-08-27 Mocon, Inc. Rugged target-analyte permeation testing instrument employing a consolidating block manifold
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
CN110088885A (en) * 2016-12-14 2019-08-02 朗姆研究公司 Downstream free radical and precursor gases are transmitted to realize the integrated spray head for having thermal control of remote plasma film deposition in room
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US20220302193A1 (en) * 2019-11-01 2022-09-22 Hangzhou Mdk Opto Electronics Co.,Ltd Cvd preparation method for minimizing camera module dot defects and product thereof
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3243314A (en) * 1962-09-14 1966-03-29 Ibm Silicon oxide film formation
US3556841A (en) * 1967-04-11 1971-01-19 Matsushita Electronics Corp Process for forming silicon dioxide films
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
US4780334A (en) * 1987-03-13 1988-10-25 General Electric Company Method and composition for depositing silicon dioxide layers
US4925259A (en) * 1988-10-20 1990-05-15 The United States Of America As Represented By The United States Department Of Energy Multilayer optical dielectric coating
US5100599A (en) * 1989-06-15 1992-03-31 The Boeing Company Method for producing thin film particulates of controlled shape
US5224202A (en) * 1991-07-19 1993-06-29 Leybold Aktiengesellschaft Apparatus for the evaporation of liquids
US5322710A (en) * 1990-10-05 1994-06-21 U.S. Philips Corporation Method of providing a substrate with a surface layer from a vapor
US5480488A (en) * 1992-10-28 1996-01-02 Schott Glaswerke Apparatus for supplying CVD coating devices
US5630878A (en) * 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
US5843518A (en) * 1995-01-27 1998-12-01 Osram Sylvania Inc. Method for making a tantala/silica interference using heat treatment
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
WO1999034424A1 (en) * 1997-12-30 1999-07-08 Applied Materials, Inc. Precleaning step prior to metallization for sub-quarter micron application
US5966499A (en) * 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
WO1999053117A2 (en) * 1998-04-14 1999-10-21 Cvd Systems, Inc. Film deposition system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6089575A (en) * 1983-10-21 1985-05-20 Seiko Epson Corp Production of silicon nitride film
JPS6422050A (en) * 1987-07-17 1989-01-25 Matsushita Electric Ind Co Ltd Method for filling groove
JPH01300528A (en) * 1988-05-30 1989-12-05 Fujitsu Ltd Thin-film forming method
JPH07254590A (en) * 1994-03-15 1995-10-03 Fujitsu Ltd Manufacture of semiconductor device
US6074691A (en) * 1997-06-24 2000-06-13 Balzers Aktiengesellschaft Method for monitoring the flow of a gas into a vacuum reactor

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3243314A (en) * 1962-09-14 1966-03-29 Ibm Silicon oxide film formation
US3556841A (en) * 1967-04-11 1971-01-19 Matsushita Electronics Corp Process for forming silicon dioxide films
US4640221A (en) * 1985-10-30 1987-02-03 International Business Machines Corporation Vacuum deposition system with improved mass flow control
US4780334A (en) * 1987-03-13 1988-10-25 General Electric Company Method and composition for depositing silicon dioxide layers
US4925259A (en) * 1988-10-20 1990-05-15 The United States Of America As Represented By The United States Department Of Energy Multilayer optical dielectric coating
US5100599A (en) * 1989-06-15 1992-03-31 The Boeing Company Method for producing thin film particulates of controlled shape
US5322710A (en) * 1990-10-05 1994-06-21 U.S. Philips Corporation Method of providing a substrate with a surface layer from a vapor
US5224202A (en) * 1991-07-19 1993-06-29 Leybold Aktiengesellschaft Apparatus for the evaporation of liquids
US5480488A (en) * 1992-10-28 1996-01-02 Schott Glaswerke Apparatus for supplying CVD coating devices
US5630878A (en) * 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
US5843518A (en) * 1995-01-27 1998-12-01 Osram Sylvania Inc. Method for making a tantala/silica interference using heat treatment
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
US5966499A (en) * 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
WO1999034424A1 (en) * 1997-12-30 1999-07-08 Applied Materials, Inc. Precleaning step prior to metallization for sub-quarter micron application
WO1999053117A2 (en) * 1998-04-14 1999-10-21 Cvd Systems, Inc. Film deposition system

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
GILMER D C ET AL: "LOW TEMPERATURE CVD OF CRYSTALLINE TITANIUM DIOXIDE FILMS USING TETRANITRATOTITANIUM(IV)" CHEMICAL VAPOR DEPOSITION,DE,VCH PUBLISHERS, WEINHEIM, vol. 4, no. 1, 1998, pages 9-11, XP000727836 ISSN: 0948-1907 *
PATENT ABSTRACTS OF JAPAN vol. 009, no. 233 (C-304), 19 September 1985 (1985-09-19) & JP 60 089575 A (SUWA SEIKOSHA KK), 20 May 1985 (1985-05-20) *
PATENT ABSTRACTS OF JAPAN vol. 013, no. 201 (E-757), 12 May 1989 (1989-05-12) & JP 01 022050 A (MATSUSHITA ELECTRIC IND CO LTD), 25 January 1989 (1989-01-25) *
PATENT ABSTRACTS OF JAPAN vol. 014, no. 095 (E-0892), 21 February 1990 (1990-02-21) & JP 01 300528 A (FUJITSU LTD), 5 December 1989 (1989-12-05) *
PATENT ABSTRACTS OF JAPAN vol. 1996, no. 02, 29 February 1996 (1996-02-29) & JP 07 254590 A (FUJITSU LTD), 3 October 1995 (1995-10-03) *
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 09, 30 July 1999 (1999-07-30) & JP 11 094603 A (BALZERS AG), 9 April 1999 (1999-04-09) & US 6 074 691 A (SCHMITT J) 13 June 2000 (2000-06-13) *

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005028704A1 (en) * 2003-09-19 2005-03-31 Akzo Nobel N.V. Metallization of substrate (s) by a liquid/vapor deposition process
US7387815B2 (en) 2003-09-19 2008-06-17 Akzo Nobel N.V. Metallization of substrate(s) by a liquid/vapor deposition process
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
WO2007084493A3 (en) * 2006-01-19 2007-10-04 Asm Inc High temperature ald inlet manifold
US7918938B2 (en) 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US8372201B2 (en) 2006-01-19 2013-02-12 Asm America, Inc. High temperature ALD inlet manifold
EP2027395A2 (en) * 2006-06-12 2009-02-25 Semequip, Inc. Vapor delivery system useful with ion sources and vaporizers for use in such system
EP2027395A4 (en) * 2006-06-12 2011-09-07 Semequip Inc Vapor delivery system useful with ion sources and vaporizers for use in such system
US8110815B2 (en) 2006-06-12 2012-02-07 Semequip, Inc. Vapor delivery to devices under vacuum
US8343281B2 (en) 2009-09-22 2013-01-01 Samsung Display Co., Ltd. Source gas supply unit, and deposition apparatus and method using the same
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US20170121818A1 (en) 2011-10-28 2017-05-04 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10370761B2 (en) 2011-10-28 2019-08-06 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US11208722B2 (en) 2011-12-27 2021-12-28 Asm Ip Holding B.V. Vapor flow control apparatus for atomic layer deposition
WO2015127413A1 (en) * 2014-02-24 2015-08-27 Mocon, Inc. Rugged target-analyte permeation testing instrument employing a consolidating block manifold
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11377737B2 (en) 2016-06-01 2022-07-05 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN110088885A (en) * 2016-12-14 2019-08-02 朗姆研究公司 Downstream free radical and precursor gases are transmitted to realize the integrated spray head for having thermal control of remote plasma film deposition in room
CN110088885B (en) * 2016-12-14 2023-10-13 朗姆研究公司 Thermally controlled integrated showerhead delivering radicals and precursor gases to downstream chamber for remote plasma film deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11814727B2 (en) 2017-10-16 2023-11-14 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US20220302193A1 (en) * 2019-11-01 2022-09-22 Hangzhou Mdk Opto Electronics Co.,Ltd Cvd preparation method for minimizing camera module dot defects and product thereof

Also Published As

Publication number Publication date
AU1218401A (en) 2001-04-30
WO2001029282A3 (en) 2001-11-22

Similar Documents

Publication Publication Date Title
US6296711B1 (en) Film processing system
US6663716B2 (en) Film processing system
US6136725A (en) Method for chemical vapor deposition of a material on a substrate
US10490413B2 (en) Selective growth of silicon nitride
WO2001029282A2 (en) Fluid processing system
US20190157076A1 (en) Selective growth of sio2 on dielectric surfaces in the presence of copper
TWI682054B (en) Method to tune tiox stoichiometry using atomic layer deposited ti film to minimize contact resistance for tiox/ti based mis contact scheme for cmos
KR20160028360A (en) Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
TW201348502A (en) Precursors for plasma activated conformal film deposition
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
US20190085448A1 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
TWI798371B (en) Selective deposition using hydrolysis
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR20220002748A (en) High Selectivity, Low Stress, and Low Hydrogen Diamond-Like Carbon Hard Masks with High Power Pulsed Low Frequency RF
US20220267898A1 (en) Precursor delivery system and method for high speed cyclic deposition
US20220251704A1 (en) Precursor delivery system and method for cyclic deposition
KR20230043796A (en) Low Resistance Gate Oxide Metallization Liner
CN113302716A (en) Nitride films with improved etch selectivity for 3D NAND integration
US20230326761A1 (en) Etch selectivity control in atomic layer etching
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
JP2024514605A (en) Molybdenum Deposition
TW202338134A (en) Gradient liner in metal fill

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US US US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US US US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP