WO2001071765A3 - Plasma reactor with overhead rf electrode tuned to the plasma - Google Patents
Plasma reactor with overhead rf electrode tuned to the plasma Download PDFInfo
- Publication number
- WO2001071765A3 WO2001071765A3 PCT/US2001/008882 US0108882W WO0171765A3 WO 2001071765 A3 WO2001071765 A3 WO 2001071765A3 US 0108882 W US0108882 W US 0108882W WO 0171765 A3 WO0171765 A3 WO 0171765A3
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- plasma
- electrode
- overhead
- reactor
- electrode tuned
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
- H01J37/32183—Matching circuits
Abstract
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2001569847A JP2003528457A (en) | 2000-03-17 | 2001-03-19 | Plasma reactor with overhead RF electrode tuned to plasma |
EP01918853A EP1269511B1 (en) | 2000-03-17 | 2001-03-19 | Plasma reactor with overhead rf electrode tuned to the plasma |
DE60136692T DE60136692D1 (en) | 2000-03-17 | 2001-03-19 | EN, UPPER RF ELECTRODE |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/527,342 | 2000-03-17 | ||
US09/527,342 US6528751B1 (en) | 2000-03-17 | 2000-03-17 | Plasma reactor with overhead RF electrode tuned to the plasma |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2001071765A2 WO2001071765A2 (en) | 2001-09-27 |
WO2001071765A3 true WO2001071765A3 (en) | 2002-01-31 |
Family
ID=24101080
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2001/008882 WO2001071765A2 (en) | 2000-03-17 | 2001-03-19 | Plasma reactor with overhead rf electrode tuned to the plasma |
Country Status (6)
Country | Link |
---|---|
US (2) | US6528751B1 (en) |
EP (1) | EP1269511B1 (en) |
JP (1) | JP2003528457A (en) |
KR (1) | KR100797926B1 (en) |
DE (1) | DE60136692D1 (en) |
WO (1) | WO2001071765A2 (en) |
Families Citing this family (180)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6900596B2 (en) * | 2002-07-09 | 2005-05-31 | Applied Materials, Inc. | Capacitively coupled plasma reactor with uniform radial distribution of plasma |
US7030335B2 (en) * | 2000-03-17 | 2006-04-18 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US6853141B2 (en) | 2002-05-22 | 2005-02-08 | Daniel J. Hoffman | Capacitively coupled plasma reactor with magnetic plasma control |
US7141757B2 (en) * | 2000-03-17 | 2006-11-28 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent |
US6894245B2 (en) * | 2000-03-17 | 2005-05-17 | Applied Materials, Inc. | Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
US8617351B2 (en) * | 2002-07-09 | 2013-12-31 | Applied Materials, Inc. | Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction |
US8048806B2 (en) * | 2000-03-17 | 2011-11-01 | Applied Materials, Inc. | Methods to avoid unstable plasma states during a process transition |
JP4009087B2 (en) * | 2001-07-06 | 2007-11-14 | アプライド マテリアルズ インコーポレイテッド | Magnetic generator in semiconductor manufacturing apparatus, semiconductor manufacturing apparatus, and magnetic field intensity control method |
US7374636B2 (en) * | 2001-07-06 | 2008-05-20 | Applied Materials, Inc. | Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor |
US6879870B2 (en) * | 2002-04-16 | 2005-04-12 | Steven C. Shannon | Method and apparatus for routing harmonics in a plasma to ground within a plasma enhanced semiconductor wafer processing chamber |
US6960263B2 (en) * | 2002-04-25 | 2005-11-01 | Applied Materials, Inc. | Shadow frame with cross beam for semiconductor equipment |
US20040040664A1 (en) * | 2002-06-03 | 2004-03-04 | Yang Jang Gyoo | Cathode pedestal for a plasma etch reactor |
US20050139321A1 (en) * | 2002-07-03 | 2005-06-30 | Tokyo Electron Limited | Plasma processing apparatus |
TWI283899B (en) * | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US6896765B2 (en) * | 2002-09-18 | 2005-05-24 | Lam Research Corporation | Method and apparatus for the compensation of edge ring wear in a plasma processing chamber |
US7458335B1 (en) | 2002-10-10 | 2008-12-02 | Applied Materials, Inc. | Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils |
JP4584565B2 (en) * | 2002-11-26 | 2010-11-24 | 東京エレクトロン株式会社 | Plasma processing apparatus and plasma processing method |
JP4388287B2 (en) * | 2003-02-12 | 2009-12-24 | 東京エレクトロン株式会社 | Plasma processing apparatus and high-frequency power supply apparatus |
US7422654B2 (en) * | 2003-02-14 | 2008-09-09 | Applied Materials, Inc. | Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor |
US7795153B2 (en) * | 2003-05-16 | 2010-09-14 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters |
US7901952B2 (en) * | 2003-05-16 | 2011-03-08 | Applied Materials, Inc. | Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters |
US7470626B2 (en) * | 2003-05-16 | 2008-12-30 | Applied Materials, Inc. | Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7247218B2 (en) * | 2003-05-16 | 2007-07-24 | Applied Materials, Inc. | Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power |
US7452824B2 (en) * | 2003-05-16 | 2008-11-18 | Applied Materials, Inc. | Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters |
US7910013B2 (en) | 2003-05-16 | 2011-03-22 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7075771B2 (en) * | 2003-05-21 | 2006-07-11 | Tokyo Electron Limited | Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system |
US20050106873A1 (en) * | 2003-08-15 | 2005-05-19 | Hoffman Daniel J. | Plasma chamber having multiple RF source frequencies |
US7405521B2 (en) * | 2003-08-22 | 2008-07-29 | Lam Research Corporation | Multiple frequency plasma processor method and apparatus |
US7158221B2 (en) * | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
US20050241762A1 (en) * | 2004-04-30 | 2005-11-03 | Applied Materials, Inc. | Alternating asymmetrical plasma generation in a process chamber |
US7501161B2 (en) * | 2004-06-01 | 2009-03-10 | Applied Materials, Inc. | Methods and apparatus for reducing arcing during plasma processing |
CN100570445C (en) * | 2004-06-03 | 2009-12-16 | 分子制模股份有限公司 | Be used for fluid distribution and drop-on-demand distribution that nanometer scale is made |
US20060027329A1 (en) * | 2004-08-09 | 2006-02-09 | Sinha Ashok K | Multi-frequency plasma enhanced process chamber having a torroidal plasma source |
US20060172542A1 (en) * | 2005-01-28 | 2006-08-03 | Applied Materials, Inc. | Method and apparatus to confine plasma and to enhance flow conductance |
US7359177B2 (en) * | 2005-05-10 | 2008-04-15 | Applied Materials, Inc. | Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output |
US20070029283A1 (en) * | 2005-08-02 | 2007-02-08 | Micron Technology, Inc. | Etching processes and methods of forming semiconductor constructions |
US7432210B2 (en) * | 2005-10-05 | 2008-10-07 | Applied Materials, Inc. | Process to open carbon based hardmask |
US7244313B1 (en) | 2006-03-24 | 2007-07-17 | Applied Materials, Inc. | Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps |
US7510976B2 (en) * | 2006-04-21 | 2009-03-31 | Applied Materials, Inc. | Dielectric plasma etch process with in-situ amorphous carbon mask with improved critical dimension and etch selectivity |
US7854820B2 (en) * | 2006-10-16 | 2010-12-21 | Lam Research Corporation | Upper electrode backing member with particle reducing features |
US7758763B2 (en) | 2006-10-31 | 2010-07-20 | Applied Materials, Inc. | Plasma for resist removal and facet control of underlying features |
US7780866B2 (en) * | 2006-11-15 | 2010-08-24 | Applied Materials, Inc. | Method of plasma confinement for enhancing magnetic control of plasma radial distribution |
US20080110567A1 (en) * | 2006-11-15 | 2008-05-15 | Miller Matthew L | Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution |
US8083963B2 (en) | 2007-02-08 | 2011-12-27 | Applied Materials, Inc. | Removal of process residues on the backside of a substrate |
US8053699B2 (en) * | 2007-11-27 | 2011-11-08 | General Electric Company | Electrical pulse circuit |
KR101004927B1 (en) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Showerhead and Chemical Vapor Deposition Apparatus Having the Same |
US8382939B2 (en) * | 2009-07-13 | 2013-02-26 | Applied Materials, Inc. | Plasma processing chamber with enhanced gas delivery |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US8920597B2 (en) * | 2010-08-20 | 2014-12-30 | Applied Materials, Inc. | Symmetric VHF source for a plasma reactor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
TW202230814A (en) | 2011-05-05 | 2022-08-01 | 日商半導體能源研究所股份有限公司 | Semiconductor device and method for manufacturing the same |
US8933335B2 (en) * | 2011-10-14 | 2015-01-13 | Varian Semiconductor Equipment Associates, Inc. | Current lead with a configuration to reduce heat load transfer in an alternating electrical current environment |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
CN104024477B (en) * | 2011-11-23 | 2016-05-18 | 朗姆研究公司 | Multizone gas inject upper electrode system |
SG11201402447TA (en) | 2011-11-24 | 2014-06-27 | Lam Res Corp | Plasma processing chamber with flexible symmetric rf return strap |
US9171699B2 (en) | 2012-02-22 | 2015-10-27 | Lam Research Corporation | Impedance-based adjustment of power and frequency |
US9295148B2 (en) | 2012-12-14 | 2016-03-22 | Lam Research Corporation | Computation of statistics for statistical data decimation |
US9320126B2 (en) | 2012-12-17 | 2016-04-19 | Lam Research Corporation | Determining a value of a variable on an RF transmission model |
US10325759B2 (en) | 2012-02-22 | 2019-06-18 | Lam Research Corporation | Multiple control modes |
US9842725B2 (en) | 2013-01-31 | 2017-12-12 | Lam Research Corporation | Using modeling to determine ion energy associated with a plasma system |
US9197196B2 (en) | 2012-02-22 | 2015-11-24 | Lam Research Corporation | State-based adjustment of power and frequency |
US9390893B2 (en) | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
US9462672B2 (en) | 2012-02-22 | 2016-10-04 | Lam Research Corporation | Adjustment of power and frequency based on three or more states |
US9530620B2 (en) | 2013-03-15 | 2016-12-27 | Lam Research Corporation | Dual control modes |
US9502216B2 (en) | 2013-01-31 | 2016-11-22 | Lam Research Corporation | Using modeling to determine wafer bias associated with a plasma system |
US9114666B2 (en) | 2012-02-22 | 2015-08-25 | Lam Research Corporation | Methods and apparatus for controlling plasma in a plasma processing system |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9408288B2 (en) | 2012-09-14 | 2016-08-02 | Lam Research Corporation | Edge ramping |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9043525B2 (en) | 2012-12-14 | 2015-05-26 | Lam Research Corporation | Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool |
US9779196B2 (en) | 2013-01-31 | 2017-10-03 | Lam Research Corporation | Segmenting a model within a plasma system |
US9620337B2 (en) | 2013-01-31 | 2017-04-11 | Lam Research Corporation | Determining a malfunctioning device in a plasma system |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9107284B2 (en) | 2013-03-13 | 2015-08-11 | Lam Research Corporation | Chamber matching using voltage control mode |
US9119283B2 (en) | 2013-03-14 | 2015-08-25 | Lam Research Corporation | Chamber matching for power control mode |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9720022B2 (en) | 2015-05-19 | 2017-08-01 | Lam Research Corporation | Systems and methods for providing characteristics of an impedance matching model for use with matching networks |
US9502221B2 (en) | 2013-07-26 | 2016-11-22 | Lam Research Corporation | Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
TWI668725B (en) * | 2013-10-01 | 2019-08-11 | 美商蘭姆研究公司 | Control of etch rate using modeling, feedback and impedance match |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9594105B2 (en) | 2014-01-10 | 2017-03-14 | Lam Research Corporation | Cable power loss determination for virtual metrology |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) * | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9536749B2 (en) | 2014-12-15 | 2017-01-03 | Lam Research Corporation | Ion energy control by RF pulse shape |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
JP2019145397A (en) | 2018-02-22 | 2019-08-29 | 東芝メモリ株式会社 | Semiconductor manufacturing device, and method of manufacturing semiconductor device |
TWI766433B (en) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
CN108990250B (en) * | 2018-09-20 | 2024-03-12 | 烟台海灵健康科技有限公司 | Concentration-adjustable arc plasma gas generator |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN114446748A (en) * | 2020-10-30 | 2022-05-06 | 中微半导体设备(上海)股份有限公司 | Plasma processing device and working method thereof |
CN116145248B (en) * | 2023-04-24 | 2023-07-25 | 苏州长光华芯光电技术股份有限公司 | Semiconductor epitaxial method |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5077499A (en) * | 1990-04-18 | 1991-12-31 | Mitsubishi Denki Kabushiki Kaisha | High-frequency feeding method for use in plasma apparatus and device for carrying out the method |
US5705019A (en) * | 1994-10-26 | 1998-01-06 | Sumitomo Metal Industries, Ltd. | Plasma processing apparatus |
WO1999028524A1 (en) * | 1997-12-01 | 1999-06-10 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
Family Cites Families (75)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4859908A (en) | 1986-09-24 | 1989-08-22 | Matsushita Electric Industrial Co., Ltd. | Plasma processing apparatus for large area ion irradiation |
US5006760A (en) | 1987-01-09 | 1991-04-09 | Motorola, Inc. | Capacitive feed for plasma reactor |
DE3708716C2 (en) | 1987-03-18 | 1993-11-04 | Hans Prof Dr Rer Nat Oechsner | HIGH FREQUENCY ION SOURCE |
US4973883A (en) | 1987-05-01 | 1990-11-27 | Semiconductor Energy Laborator Co., Ltd. | Plasma processing apparatus with a lisitano coil |
US5115167A (en) | 1988-04-05 | 1992-05-19 | Mitsubishi Denki Kabushiki Kaisha | Plasma processor |
US5055853A (en) | 1988-10-03 | 1991-10-08 | Garnier Robert C | Magnetic frill generator |
US5089083A (en) | 1989-04-25 | 1992-02-18 | Tokyo Electron Limited | Plasma etching method |
JPH02298024A (en) | 1989-05-12 | 1990-12-10 | Tadahiro Omi | Reactive ion etching apparatus |
US5122251A (en) | 1989-06-13 | 1992-06-16 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
US4990229A (en) | 1989-06-13 | 1991-02-05 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
DE3923661A1 (en) | 1989-07-18 | 1991-01-24 | Leybold Ag | CIRCUIT ARRANGEMENT FOR ADJUSTING THE IMPEDANCE OF A PLASMA LINE TO A HIGH FREQUENCY GENERATOR |
US5312778A (en) | 1989-10-03 | 1994-05-17 | Applied Materials, Inc. | Method for plasma processing using magnetically enhanced plasma chemical vapor deposition |
US5032202A (en) | 1989-10-03 | 1991-07-16 | Martin Marietta Energy Systems, Inc. | Plasma generating apparatus for large area plasma processing |
US5223457A (en) | 1989-10-03 | 1993-06-29 | Applied Materials, Inc. | High-frequency semiconductor wafer processing method using a negative self-bias |
US5300460A (en) | 1989-10-03 | 1994-04-05 | Applied Materials, Inc. | UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers |
US5210466A (en) | 1989-10-03 | 1993-05-11 | Applied Materials, Inc. | VHF/UHF reactor system |
US5707486A (en) | 1990-07-31 | 1998-01-13 | Applied Materials, Inc. | Plasma reactor using UHF/VHF and RF triode source, and process |
US5274306A (en) | 1990-08-31 | 1993-12-28 | Kaufman & Robinson, Inc. | Capacitively coupled radiofrequency plasma source |
US5376211A (en) | 1990-09-29 | 1994-12-27 | Tokyo Electron Limited | Magnetron plasma processing apparatus and processing method |
JP2501948B2 (en) | 1990-10-26 | 1996-05-29 | 三菱電機株式会社 | Plasma processing method and plasma processing apparatus |
US5246532A (en) | 1990-10-26 | 1993-09-21 | Mitsubishi Denki Kabushiki Kaisha | Plasma processing apparatus |
US5195045A (en) * | 1991-02-27 | 1993-03-16 | Astec America, Inc. | Automatic impedance matching apparatus and method |
DE69204670T2 (en) | 1991-05-21 | 1996-04-18 | Materials Research Corp | GASKET UNIT FOR MODULAR PROCESSING PLANTS AND ECR PLASMA GENERATOR FOR SUCH A UNIT. |
US5198725A (en) | 1991-07-12 | 1993-03-30 | Lam Research Corporation | Method of producing flat ecr layer in microwave plasma device and apparatus therefor |
US5849136A (en) | 1991-10-11 | 1998-12-15 | Applied Materials, Inc. | High frequency semiconductor wafer processing apparatus and method |
US5279669A (en) | 1991-12-13 | 1994-01-18 | International Business Machines Corporation | Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions |
JP2972477B2 (en) | 1993-01-27 | 1999-11-08 | 日本電気株式会社 | RF / ECR plasma etching equipment |
TW249313B (en) | 1993-03-06 | 1995-06-11 | Tokyo Electron Co | |
US5537004A (en) | 1993-03-06 | 1996-07-16 | Tokyo Electron Limited | Low frequency electron cyclotron resonance plasma processor |
JP3236111B2 (en) * | 1993-03-31 | 2001-12-10 | キヤノン株式会社 | Plasma processing apparatus and processing method |
US5662770A (en) | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP3222620B2 (en) * | 1993-05-28 | 2001-10-29 | 株式会社東芝 | Discharge treatment equipment |
EP0634778A1 (en) | 1993-07-12 | 1995-01-18 | The Boc Group, Inc. | Hollow cathode array |
WO1995008182A1 (en) | 1993-09-17 | 1995-03-23 | Isis Innovation Limited | Rf plasma reactor |
US5849372A (en) | 1993-09-17 | 1998-12-15 | Isis Innovation Limited | RF plasma reactor and methods of generating RF plasma |
US5463525A (en) | 1993-12-20 | 1995-10-31 | International Business Machines Corporation | Guard ring electrostatic chuck |
JP3279038B2 (en) | 1994-01-31 | 2002-04-30 | ソニー株式会社 | Plasma apparatus and plasma processing method using the same |
JP3365067B2 (en) | 1994-02-10 | 2003-01-08 | ソニー株式会社 | Plasma apparatus and plasma processing method using the same |
US5512130A (en) | 1994-03-09 | 1996-04-30 | Texas Instruments Incorporated | Method and apparatus of etching a clean trench in a semiconductor material |
US5900103A (en) | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US5685914A (en) | 1994-04-05 | 1997-11-11 | Applied Materials, Inc. | Focus ring for semiconductor wafer processing in a plasma reactor |
WO1995034916A1 (en) | 1994-06-15 | 1995-12-21 | Seiko Epson Corporation | Manufacture of thin film semiconductor device, thin film semiconductor device, liquid crystal display device, and electronic device |
US5587038A (en) | 1994-06-16 | 1996-12-24 | Princeton University | Apparatus and process for producing high density axially extending plasmas |
AU2003195A (en) * | 1994-06-21 | 1996-01-04 | Boc Group, Inc., The | Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines |
IT1269413B (en) | 1994-10-21 | 1997-04-01 | Proel Tecnologie Spa | RADIOFREQUENCY PLASMA SOURCE |
DE69509046T2 (en) * | 1994-11-30 | 1999-10-21 | Applied Materials Inc | Plasma reactors for the treatment of semiconductor wafers |
US5605637A (en) | 1994-12-15 | 1997-02-25 | Applied Materials Inc. | Adjustable dc bias control in a plasma reactor |
US5792376A (en) | 1995-01-06 | 1998-08-11 | Kabushiki Kaisha Toshiba | Plasma processing apparatus and plasma processing method |
JP3778299B2 (en) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | Plasma etching method |
US5674321A (en) | 1995-04-28 | 1997-10-07 | Applied Materials, Inc. | Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor |
US5710486A (en) | 1995-05-08 | 1998-01-20 | Applied Materials, Inc. | Inductively and multi-capacitively coupled plasma reactor |
JP3169337B2 (en) | 1995-05-30 | 2001-05-21 | キヤノン株式会社 | Photovoltaic element and method for manufacturing the same |
US5997962A (en) | 1995-06-30 | 1999-12-07 | Tokyo Electron Limited | Plasma process utilizing an electrostatic chuck |
KR100226366B1 (en) | 1995-08-23 | 1999-10-15 | 아끼구사 나오유끼 | Plasma equipment and plasma processing method |
JPH09106898A (en) | 1995-10-09 | 1997-04-22 | Anelva Corp | Plasma cvd device, plasma processor, and plasma cvd method |
JP3238082B2 (en) | 1996-05-16 | 2001-12-10 | シャープ株式会社 | Electronic device manufacturing equipment |
US5863376A (en) | 1996-06-05 | 1999-01-26 | Lam Research Corporation | Temperature controlling method and apparatus for a plasma processing chamber |
JPH10134996A (en) * | 1996-10-31 | 1998-05-22 | Nec Corp | Plasma treatment equipment |
US6113731A (en) | 1997-01-02 | 2000-09-05 | Applied Materials, Inc. | Magnetically-enhanced plasma chamber with non-uniform magnetic field |
US6152071A (en) * | 1996-12-11 | 2000-11-28 | Canon Kabushiki Kaisha | High-frequency introducing means, plasma treatment apparatus, and plasma treatment method |
JP3650248B2 (en) | 1997-03-19 | 2005-05-18 | 東京エレクトロン株式会社 | Plasma processing equipment |
JP3582287B2 (en) | 1997-03-26 | 2004-10-27 | 株式会社日立製作所 | Etching equipment |
TW376547B (en) * | 1997-03-27 | 1999-12-11 | Matsushita Electric Ind Co Ltd | Method and apparatus for plasma processing |
US6155200A (en) | 1997-07-08 | 2000-12-05 | Tokyo Electron Limited | ECR plasma generator and an ECR system using the generator |
US6110395A (en) | 1997-08-26 | 2000-08-29 | Trikon Technologies, Inc. | Method and structure for controlling plasma uniformity |
JP2929284B2 (en) * | 1997-09-10 | 1999-08-03 | 株式会社アドテック | Impedance matching and power control system for high frequency plasma processing equipment |
US6251216B1 (en) | 1997-12-17 | 2001-06-26 | Matsushita Electronics Corporation | Apparatus and method for plasma processing |
JP3818561B2 (en) | 1998-10-29 | 2006-09-06 | エルジー フィリップス エルシーディー カンパニー リミテッド | Method for forming silicon oxide film and method for manufacturing thin film transistor |
US6188564B1 (en) | 1999-03-31 | 2001-02-13 | Lam Research Corporation | Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber |
JP3374796B2 (en) | 1999-08-06 | 2003-02-10 | 松下電器産業株式会社 | Plasma processing method and apparatus |
US6262538B1 (en) | 1999-08-26 | 2001-07-17 | International Business Machines Corporation | High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking |
US6451703B1 (en) | 2000-03-10 | 2002-09-17 | Applied Materials, Inc. | Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
-
2000
- 2000-03-17 US US09/527,342 patent/US6528751B1/en not_active Expired - Lifetime
-
2001
- 2001-03-19 DE DE60136692T patent/DE60136692D1/en not_active Expired - Lifetime
- 2001-03-19 WO PCT/US2001/008882 patent/WO2001071765A2/en active Application Filing
- 2001-03-19 KR KR1020027012240A patent/KR100797926B1/en not_active IP Right Cessation
- 2001-03-19 JP JP2001569847A patent/JP2003528457A/en active Pending
- 2001-03-19 EP EP01918853A patent/EP1269511B1/en not_active Expired - Lifetime
-
2002
- 2002-11-05 US US10/288,890 patent/US6838635B2/en not_active Expired - Lifetime
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5077499A (en) * | 1990-04-18 | 1991-12-31 | Mitsubishi Denki Kabushiki Kaisha | High-frequency feeding method for use in plasma apparatus and device for carrying out the method |
US5705019A (en) * | 1994-10-26 | 1998-01-06 | Sumitomo Metal Industries, Ltd. | Plasma processing apparatus |
WO1999028524A1 (en) * | 1997-12-01 | 1999-06-10 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
US6162709A (en) * | 1997-12-01 | 2000-12-19 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
Also Published As
Publication number | Publication date |
---|---|
WO2001071765A2 (en) | 2001-09-27 |
US20030062344A1 (en) | 2003-04-03 |
DE60136692D1 (en) | 2009-01-08 |
US6528751B1 (en) | 2003-03-04 |
JP2003528457A (en) | 2003-09-24 |
KR20020081461A (en) | 2002-10-26 |
EP1269511B1 (en) | 2008-11-26 |
KR100797926B1 (en) | 2008-01-24 |
US6838635B2 (en) | 2005-01-04 |
EP1269511A2 (en) | 2003-01-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2001071765A3 (en) | Plasma reactor with overhead rf electrode tuned to the plasma | |
WO2010117970A3 (en) | Multifrequency capacitively coupled plasma etch chamber | |
CA2444766A1 (en) | Method and apparatus for sequential plasma treatment | |
WO2004095626A3 (en) | Plasma production device and method and rf driver circuit with adjustable duty cycle | |
WO2009006072A3 (en) | Methods and arrangements for plasma processing system with tunable capacitance | |
WO2007095388A3 (en) | Plasma processing reactor with multiple capacitive and inductive power sources | |
SG91920A1 (en) | Multiple frequency plasma chamber with grounding capacitor at cathode | |
AU2003242891A1 (en) | System and method for determining the resonant frequency of an oscillating appliance, in particular a power toothbrush | |
ATE470949T1 (en) | HF PULSE OF A CAPACITIVE COUPLED NARROW-GAP REACTOR | |
EP2012342A3 (en) | Hybrid etch chamber with decoupled plasma controls | |
WO2001045134A3 (en) | Method and apparatus for producing uniform process rates | |
WO2004003963A3 (en) | Plasma processor with electrode simultaneously responsive to plural frequencies | |
TWI256373B (en) | Micromachine and method of fabricating the same | |
WO2005104348A3 (en) | Electromagnetic resonant sensor | |
WO2004012235A3 (en) | Atmospheric pressure plasma processing reactor | |
TW200509194A (en) | Plasma chamber having multiple RF source frequencies | |
DE60135982D1 (en) | SPEAKER WITH ACOUSTIC PANEL AND ELECTRIC DRIVER | |
ATE271717T1 (en) | DEVICE FOR GENERATING POWERFUL MICROWAVE PLASMS | |
WO2004038902A3 (en) | Capacitively coupled power supply | |
WO2007050965A3 (en) | Plasma lamp with dielectric waveguide | |
AU2003294085A1 (en) | Method and device for microwave plasma deposition of a coating on a thermoplastic container surface | |
AU2846600A (en) | Transparent electrostatic electrodes with radio frequency identification, and method of using transparent electrostatic electrodes | |
SG112094A1 (en) | Microwave-excited plasma processing apparatus | |
TW200505786A (en) | Micromachine and method of producing the same | |
AU2001263072A1 (en) | High frequency large volume resonator |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A2 Designated state(s): JP KR SG |
|
AL | Designated countries for regional patents |
Kind code of ref document: A2 Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR |
|
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
AK | Designated states |
Kind code of ref document: A3 Designated state(s): JP KR SG |
|
AL | Designated countries for regional patents |
Kind code of ref document: A3 Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR |
|
ENP | Entry into the national phase |
Ref country code: JP Ref document number: 2001 569847 Kind code of ref document: A Format of ref document f/p: F |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020027012240 Country of ref document: KR |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2001918853 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 1020027012240 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 2001918853 Country of ref document: EP |