WO2002006902A3 - Method and system of automatic fluid dispensing for imprint lithography processes - Google Patents

Method and system of automatic fluid dispensing for imprint lithography processes Download PDF

Info

Publication number
WO2002006902A3
WO2002006902A3 PCT/US2001/022536 US0122536W WO0206902A3 WO 2002006902 A3 WO2002006902 A3 WO 2002006902A3 US 0122536 W US0122536 W US 0122536W WO 0206902 A3 WO0206902 A3 WO 0206902A3
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
imprint lithography
lithography processes
fluid dispensing
automatic fluid
Prior art date
Application number
PCT/US2001/022536
Other languages
French (fr)
Other versions
WO2002006902A2 (en
Inventor
Byung Jin Choi
Matthew Colburn
S V Sreenivasan
Todd Bailey
C Grant Willson
John Eckerdt
Original Assignee
Univ Texas
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Texas filed Critical Univ Texas
Priority to JP2002512749A priority Critical patent/JP4740518B2/en
Priority to AU2001277907A priority patent/AU2001277907A1/en
Priority to EP01955853.5A priority patent/EP1303793B1/en
Priority to KR1020037000634A priority patent/KR100827741B1/en
Publication of WO2002006902A2 publication Critical patent/WO2002006902A2/en
Publication of WO2002006902A3 publication Critical patent/WO2002006902A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/2901Shape
    • H01L2224/29012Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/3001Structure
    • H01L2224/3003Layer connectors having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/301Disposition
    • H01L2224/3012Layout
    • H01L2224/3016Random layout, i.e. layout with no symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01067Holmium [Ho]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Abstract

Disclosed herein is an automatic fluid dispensing method and system for dispensing fluid on the surface of a plate-like material, or substrate, including a semiconductor wafer for imprint lithography processes. The dispensing method uses fluid dispenser and a substrate stage that may generate relative lateral motions between a fluid dispenser tip a substrate. Also described herein are methods and devices for creating a planar surface on a substrate using a substantially unpatterned planar template.
PCT/US2001/022536 2000-07-17 2001-07-17 Method and system of automatic fluid dispensing for imprint lithography processes WO2002006902A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2002512749A JP4740518B2 (en) 2000-07-17 2001-07-17 Automated liquid dispensing method and system for transfer lithography process
AU2001277907A AU2001277907A1 (en) 2000-07-17 2001-07-17 Method and system of automatic fluid dispensing for imprint lithography processes
EP01955853.5A EP1303793B1 (en) 2000-07-17 2001-07-17 Method and system of automatic fluid dispensing for imprint lithography processes
KR1020037000634A KR100827741B1 (en) 2000-07-17 2001-07-17 Method and system of automatic fluid dispensing for imprint lithography processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21875400P 2000-07-17 2000-07-17
US60/218,754 2000-07-17

Publications (2)

Publication Number Publication Date
WO2002006902A2 WO2002006902A2 (en) 2002-01-24
WO2002006902A3 true WO2002006902A3 (en) 2002-10-03

Family

ID=22816382

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/022536 WO2002006902A2 (en) 2000-07-17 2001-07-17 Method and system of automatic fluid dispensing for imprint lithography processes

Country Status (7)

Country Link
US (2) US20020094496A1 (en)
EP (2) EP2270592B1 (en)
JP (2) JP4740518B2 (en)
KR (1) KR100827741B1 (en)
CN (1) CN1262883C (en)
AU (1) AU2001277907A1 (en)
WO (1) WO2002006902A2 (en)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
JP2003218658A (en) * 2002-01-17 2003-07-31 Nec Corp Method for manufacturing surface acoustic wave element and semiconductor device
US6743368B2 (en) * 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
JP4411575B2 (en) 2002-04-25 2010-02-10 セイコーエプソン株式会社 Electronic device manufacturing equipment
GB0213722D0 (en) 2002-06-14 2002-07-24 Suisse Electronique Microtech Micro electrical mechanical systems
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
MY164487A (en) * 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
JP4563181B2 (en) 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド Magnification correction using substrate surface curvature
JP4340086B2 (en) 2003-03-20 2009-10-07 株式会社日立製作所 Nanoprinting stamper and fine structure transfer method
EP1606834B1 (en) * 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US20050257709A1 (en) * 2003-08-28 2005-11-24 Tony Mule Systems and methods for three-dimensional lithography and nano-indentation
JP2005101201A (en) * 2003-09-24 2005-04-14 Canon Inc Nano-imprint system
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20080210158A1 (en) * 2004-02-13 2008-09-04 Adolf Munzer Device for Applying a Liquid Dopant Solution on a Wafer
KR100585951B1 (en) * 2004-02-18 2006-06-01 한국기계연구원 A construction/separation type individually actuating imprinting apparatus
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
JP4393244B2 (en) * 2004-03-29 2010-01-06 キヤノン株式会社 Imprint device
JP4481698B2 (en) 2004-03-29 2010-06-16 キヤノン株式会社 Processing equipment
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
WO2005120834A2 (en) 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
KR101031693B1 (en) * 2004-06-18 2011-04-29 엘지디스플레이 주식회사 Resist for forming pattern and method for fabricating pattern using the same
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US20060027036A1 (en) * 2004-08-05 2006-02-09 Biggs Todd L Methods and apparatuses for imprinting substrates
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) * 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US7676088B2 (en) 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
JP4617917B2 (en) * 2005-02-21 2011-01-26 セイコーエプソン株式会社 Film pattern forming method and surface acoustic wave device manufacturing method
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
EP1891662A4 (en) * 2005-06-13 2008-12-10 Korea Mach & Materials Inst Imprinting apparatus for forming pattern at uniform contact by additional constant pressure
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4827513B2 (en) * 2005-12-09 2011-11-30 キヤノン株式会社 Processing method
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
KR100808969B1 (en) 2005-12-29 2008-03-04 서울시립대학교 산학협력단 Fabrication Method of SAW Filter
KR101197061B1 (en) * 2006-03-27 2012-11-06 삼성디스플레이 주식회사 Mold for manufacturing display device and manufacturing method of display device using the same
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
KR20090003153A (en) * 2006-04-03 2009-01-09 몰레큘러 임프린츠 인코퍼레이티드 Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
JP4795300B2 (en) * 2006-04-18 2011-10-19 キヤノン株式会社 Alignment method, imprint method, alignment apparatus, imprint apparatus, and position measurement method
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7931460B2 (en) * 2006-05-03 2011-04-26 3D Systems, Inc. Material delivery system for use in solid imaging
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
JP4819577B2 (en) * 2006-05-31 2011-11-24 キヤノン株式会社 Pattern transfer method and pattern transfer apparatus
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US20080102380A1 (en) * 2006-10-30 2008-05-01 Mangat Pawitter S High density lithographic process
TW200842934A (en) * 2006-12-29 2008-11-01 Molecular Imprints Inc Imprint fluid control
KR100814264B1 (en) * 2007-05-18 2008-03-18 주식회사 아바코 Separation method for stamp and imprinted substrate lithography process
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
JP2010080630A (en) * 2008-09-25 2010-04-08 Canon Inc Stamping device and method of manufacturing article
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
JP2011066238A (en) * 2009-09-17 2011-03-31 Toshiba Corp Method of preparing pattern-forming template
JP5774598B2 (en) 2009-11-24 2015-09-09 エーエスエムエル ネザーランズ ビー.ブイ. Alignment and imprint lithography
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
SG172492A1 (en) * 2009-12-16 2011-07-28 Choong Whye Kwok Method and apparatus for making polymeric resin-based optical components via ultra-violet radiation
JP5033867B2 (en) * 2009-12-28 2012-09-26 株式会社日立ハイテクノロジーズ Fine structure, method for producing fine structure, and polymerizable resin composition for producing fine structure
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
JP2012169537A (en) * 2011-02-16 2012-09-06 Toshiba Corp Imprint apparatus, imprint method, and process condition selection method
JP5932286B2 (en) * 2011-10-14 2016-06-08 キヤノン株式会社 Imprint apparatus and article manufacturing method using the same
JP5930699B2 (en) * 2011-12-20 2016-06-08 キヤノン株式会社 Imprint apparatus, imprint method, and device manufacturing method
JP5942551B2 (en) * 2012-04-03 2016-06-29 大日本印刷株式会社 Manufacturing method of master template and replica template for nanoimprint
JP5907788B2 (en) * 2012-04-11 2016-04-26 株式会社図研 Information processing apparatus, information processing method, program, and board manufacturing system
JP6120678B2 (en) * 2013-05-27 2017-04-26 キヤノン株式会社 Imprint method, imprint apparatus and device manufacturing method
US10488753B2 (en) * 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP6141500B2 (en) * 2015-09-08 2017-06-07 キヤノン株式会社 Substrate pretreatment to reduce filling time in nanoimprint lithography
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
WO2017176538A1 (en) * 2016-04-06 2017-10-12 The Procter & Gamble Company Method of making a patterned flexographic printing plate
JP6281592B2 (en) * 2016-04-06 2018-02-21 大日本印刷株式会社 Manufacturing method of replica template
US11131922B2 (en) * 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
KR20180023102A (en) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 Wire grid pattern and method for fabricating the same
JP6924828B2 (en) 2016-10-18 2021-08-25 モレキュラー インプリンツ, インコーポレイテッドMolecular Imprints,Inc. Structural microlithography
US10258741B2 (en) 2016-12-28 2019-04-16 Cequr Sa Microfluidic flow restrictor and system
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7425602B2 (en) 2017-03-08 2024-01-31 キヤノン株式会社 Pattern forming method, method for manufacturing processed substrates, optical components and quartz mold replicas, imprint pre-treatment coating material and set thereof with imprint resist
WO2018164017A1 (en) 2017-03-08 2018-09-13 キヤノン株式会社 Production method for cured product pattern, production method for optical component, circuit board and quartz mold replica, and imprint pretreatment coating material and cured product thereof
CA3054965A1 (en) * 2017-03-16 2018-09-20 Molecular Imprints, Inc. Optical polymer films and methods for casting the same
JP6875233B2 (en) * 2017-09-12 2021-05-19 キオクシア株式会社 Template substrate, template substrate manufacturing method, and semiconductor device manufacturing method
KR102527262B1 (en) 2017-10-17 2023-04-28 매직 립, 인코포레이티드 Methods and Apparatuses for Casting Polymer Products
WO2019160058A1 (en) * 2018-02-19 2019-08-22 Scivax株式会社 Mold formation method and mold
US11927883B2 (en) 2018-03-30 2024-03-12 Canon Kabushiki Kaisha Method and apparatus to reduce variation of physical attribute of droplets using performance characteristic of dispensers
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
WO2020081697A1 (en) 2018-10-16 2020-04-23 Magic Leap, Inc. Methods and apparatuses for casting polymer products
CN111825311A (en) * 2019-04-17 2020-10-27 中国兵器工业第五九研究所 Micro-nano hot-press molding process for optical glass array lens
JP7441037B2 (en) * 2019-12-13 2024-02-29 キヤノン株式会社 Imprint device, information processing device, imprint method, and article manufacturing method
US11656546B2 (en) 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same
US11443940B2 (en) * 2020-06-24 2022-09-13 Canon Kabushiki Kaisha Apparatus for uniform light intensity and methods of using the same
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology
KR102474000B1 (en) 2022-03-07 2022-12-05 주식회사피에스디이 Dispenser for nano-impriting and manufacturing method thereof
KR102454787B1 (en) 2022-05-06 2022-10-14 주식회사피에스디이 Automatic mold replacement type nano-impriniting lithography apparatus and method therefor
CN115513072B (en) * 2022-11-08 2023-03-24 四川遂宁市利普芯微电子有限公司 Microelectronic device packaging method based on fluid dispensing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1987002935A1 (en) * 1985-11-18 1987-05-21 Eastman Kodak Company Process for making optical recording media
JPH02192045A (en) * 1989-01-20 1990-07-27 Fujitsu Ltd Production of optical disk substrate
WO2001033300A2 (en) * 1999-10-29 2001-05-10 The Board Of Regents High precision orientation alignment and gap control stages for imprint lithography processes

Family Cites Families (492)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1236304A (en) 1917-02-03 1917-08-07 Riley L Howell Cushioned hand-stamp.
GB494877A (en) 1938-03-01 1938-11-02 Johnson Bros Hanley Ltd Improvements in or relating to pottery ware and the manufacture thereof
US3574485A (en) 1958-11-28 1971-04-13 Broido Louis Method and apparatus for movement of liquids by electromagnetic means
GB1139808A (en) 1965-05-11 1969-01-15 Hayes Engineers Leeds Ltd Improvements in or relating to tracer valve control units
GB1146618A (en) 1965-10-11 1969-03-26 Harry Frank Gipe Method for preparing photo-lithographic plates
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3577593A (en) 1968-10-29 1971-05-04 Bird & Son Apparatus for heat and vacuum-pressure machine molding
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
JPS4826845B1 (en) 1970-11-25 1973-08-16
US3807027A (en) * 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) * 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
FR2325018A1 (en) * 1975-06-23 1977-04-15 Ibm INTERVAL MEASURING DEVICE FOR DEFINING THE DISTANCE BETWEEN TWO OR MORE FACES
JPS5226171A (en) * 1975-08-22 1977-02-26 Nippon Telegr & Teleph Corp <Ntt> Mask creation method
IT1068535B (en) 1975-11-03 1985-03-21 Ibm APPARATUS AND GRAPHIC ELECTROLYTE PROCESS
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (en) 1977-01-07 1978-07-13 Instruments Sa Mass prodn. method for grids, graticules etc. - using revolving drum, belt carrying resin and UV light source for polymerisation process
GB1578259A (en) 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
JPS577931Y2 (en) 1977-06-03 1982-02-16
NL7710555A (en) 1977-09-28 1979-03-30 Philips Nv METHOD AND DEVICE FOR MANUFACTURING INFORMATION CONTAINING PLATES.
US4155169A (en) * 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
SE412303B (en) * 1978-06-09 1980-02-25 Ericsson Telefon Ab L M TELEPHONE DEVICE WITH STORAGE BAGS
JPS6053675B2 (en) * 1978-09-20 1985-11-27 富士写真フイルム株式会社 Spin coating method
US4202107A (en) * 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
JPS605935Y2 (en) 1978-12-05 1985-02-25 アイダエンジニアリング株式会社 feeder moving device
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
JPS5820046U (en) 1981-07-31 1983-02-07 東陶機器株式会社 Mounting device between ceiling wall and side wall in sanitary equipment room
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
JPS5882726A (en) * 1981-11-12 1983-05-18 Toppan Printing Co Ltd Manufacture of high-density information carrier
DE3208081A1 (en) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt METHOD FOR PRODUCING A SHEET-LIKE SHEAR FILM FOR AN ELECTRICALLY OPERATED DRY SHAVER WITH Raises On Its Face Facing The Skin
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) * 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4614300A (en) 1982-04-19 1986-09-30 E. I. Du Pont De Nemours And Company Computerized spray machine
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (en) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd Positioning table
US4451507A (en) * 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4512386A (en) * 1982-11-12 1985-04-23 Swiss Aluminium Ltd. Adjustable mold for electromagnetic casting
FR2538923A1 (en) 1982-12-30 1984-07-06 Thomson Csf METHOD AND DEVICE FOR OPTICALLY ALIGNING PATTERNS IN TWO PLANS RECONCILED IN AN EXPOSURE APPARATUS COMPRISING A DIVERGENT RADIATION SOURCE
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
JPS6011905U (en) 1983-07-01 1985-01-26 ダイハツ興産株式会社 Joint structure between two walls
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4559717A (en) 1984-02-21 1985-12-24 The United States Of America As Represented By The Secretary Of Commerce Flexure hinge
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5571471A (en) 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (en) 1984-11-09 1986-06-03 Mitsubishi Electric Corp Photomask material
JPH0740378B2 (en) * 1984-12-07 1995-05-01 株式会社日立製作所 Method and device for duplicating information record carrier
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
JPH0770780B2 (en) * 1986-02-07 1995-07-31 株式会社日立製作所 Semiconductor laser
NL8600809A (en) 1986-03-28 1987-10-16 Philips Nv METHOD OF FILLING A DIE WITH A LOOSE LAYER.
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
JPS62183582U (en) * 1986-05-09 1987-11-21
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (en) 1986-06-11 1990-06-18 가부시기가이샤 도시바 Method and device for positioing 1st body and 2nd body
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3622540A1 (en) 1986-07-04 1988-01-07 Bayer Ag METHOD FOR PRODUCING LOW-STRESS MOLDED PARTS
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6334108A (en) 1986-07-30 1988-02-13 Hitachi Ltd Manufacture of substrate for optical disc and device therefor
JPS6376330A (en) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd Manufacture of semiconductor device
FR2604553A1 (en) 1986-09-29 1988-04-01 Rhone Poulenc Chimie RIGID POLYMER SUBSTRATE FOR OPTICAL DISC AND OPTICAL DISCS OBTAINED FROM THE SUBSTRATE
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (en) 1986-11-10 1994-12-21 松下電器産業株式会社 Printing method
JPS63162132A (en) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xy table
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
JPS63138730U (en) 1987-03-03 1988-09-13
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
JPS63194956U (en) 1987-05-29 1988-12-15
JPS6414752A (en) * 1987-07-09 1989-01-18 Seiko Epson Corp Production of optical recording medium
US5132069A (en) 1987-07-10 1992-07-21 Newton John R Method of injection molding composite articles
US5028361A (en) 1987-11-09 1991-07-02 Takeo Fujimoto Method for molding a photosensitive composition
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (en) 1988-01-30 1989-08-08 Hoya Corp Manufacture of substrate for optical information recording medium
JPH01206007A (en) * 1988-02-12 1989-08-18 Canon Inc Manufacture of board for information recording medium
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (en) 1988-02-24 1989-09-07 Teldix Gmbh TURNING VIBRATION DRIVE
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (en) 1988-07-28 1990-03-08 Siemens Ag Method of making resin structure on semiconductor material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JPH0822526B2 (en) 1988-08-31 1996-03-06 三菱電機株式会社 Method for manufacturing hollow ceramic shell
JP2546350B2 (en) 1988-09-09 1996-10-23 キヤノン株式会社 Alignment device
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US4982796A (en) * 1988-10-18 1991-01-08 Arch Development Corp. Electromagnetic confinement for vertical casting or containing molten metal
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH0292603U (en) 1989-01-09 1990-07-23
JPH02289311A (en) * 1989-01-25 1990-11-29 Hoya Corp Manufacture of stamper and board for information recording medium for which stamper is used
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
JPH0781024B2 (en) 1989-03-22 1995-08-30 旭硝子株式会社 Water repellency. Antifouling transparent base material and structure equipped with the same
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (en) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト Dimensionally stable structure transfer method in two-layer method
DE59010728D1 (en) 1989-04-24 1997-07-31 Siemens Ag Process for producing etch-resistant structures
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (en) 1989-07-18 1998-01-26 キヤノン株式会社 Position detection device
JP2906472B2 (en) 1989-09-01 1999-06-21 旭硝子株式会社 Transparent molded body
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP2811337B2 (en) * 1989-12-07 1998-10-15 日化エンジニアリング株式会社 Disc manufacturing method
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (en) * 1990-03-05 2001-08-13 株式会社東芝 Interval setting method and interval setting device
JPH03283435A (en) * 1990-03-30 1991-12-13 Toshiba Corp Resin discharge device
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP3039788B2 (en) * 1990-05-18 2000-05-08 ティーディーケイ株式会社 Method of manufacturing optical disk substrate and optical disk
JP2586692B2 (en) 1990-05-24 1997-03-05 松下電器産業株式会社 Pattern forming material and pattern forming method
US5016691A (en) 1990-06-19 1991-05-21 Lucien Bernier Apparatus for centering template guide on router
JP2524436B2 (en) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション Surface treatment method
DE4029912A1 (en) 1990-09-21 1992-03-26 Philips Patentverwaltung METHOD FOR FORMING AT LEAST ONE TRENCH IN A SUBSTRATE LAYER
US5331371A (en) 1990-09-26 1994-07-19 Canon Kabushiki Kaisha Alignment and exposure method
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
JPH04252040A (en) * 1991-01-11 1992-09-08 Kawasaki Steel Corp Adhesive coating apparatus and die bonding method
JP2796899B2 (en) 1991-02-16 1998-09-10 住友重機械工業株式会社 Illumination method for band light and multicolor light in a chromatic aberration double focus device
SE9100904L (en) 1991-03-26 1992-08-24 Lennart Olsson SET AND DEVICE FOR CHANGING THE ANGLE SPEED OF A DRIVED ROTATING MEDIA BODY
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
JPH04327939A (en) * 1991-04-26 1992-11-17 Matsushita Electric Ind Co Ltd Resin intaglio plate and its manufacture
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
JPH0521584A (en) 1991-07-16 1993-01-29 Nikon Corp Retaining equipment
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0547051A (en) * 1991-08-19 1993-02-26 Sony Corp Production of optical disk
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (en) 1991-08-22 1993-03-05 Nec Corp Production of phase shift reticle
JPH0555654A (en) 1991-08-26 1993-03-05 Nec Corp Piezoelectric element displacement magnification mechanism
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (en) 1991-09-24 1993-04-02 Hitachi Ltd Production of thin film pattern
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (en) 1992-01-31 2000-08-07 キヤノン株式会社 Position shift correction method
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
JPH06244269A (en) 1992-09-07 1994-09-02 Mitsubishi Electric Corp Semiconductor manufacturing apparatus, wafer vacuum chuck device thereof, and gas cleaning and nitride film formation therefor
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
US5543228A (en) * 1992-11-10 1996-08-06 Dai Nippon Printing Co., Ltd. Molded relief hologram
TW227628B (en) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (en) 1992-12-18 1998-11-05 松下電器産業株式会社 Gap control device and gap control method
JPH06183561A (en) 1992-12-18 1994-07-05 Canon Inc Moving stage device
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (en) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Method and device for producing a structured relief image from cross-linked photoresist on a flat substrate surface
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5594042A (en) 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (en) * 1993-06-04 1998-12-14 シャープ株式会社 Method of forming resist pattern
US6279474B1 (en) 1993-08-13 2001-08-28 Heidelberger Druckmaschinen Ag Method and device for transferring ink in a printing unit of an offset printing press
US5900160A (en) * 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (en) * 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membrane for microfiltration, ultrafiltration, gas separation and catalysis, method for manufacturing such a membrane, mold for manufacturing such a membrane, as well as various separation systems comprising such a membrane.
KR970009858B1 (en) 1994-01-12 1997-06-18 엘지반도체 주식회사 Multi-layer photoresist patterning method
JPH07221006A (en) * 1994-01-28 1995-08-18 Sony Corp Method and equipment for forming flattened film
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5573877A (en) 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
JPH07335532A (en) * 1994-06-13 1995-12-22 Fujitsu Ltd Method for spin coating of resin
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) * 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
US5563684A (en) 1994-11-30 1996-10-08 Sgs-Thomson Microelectronics, Inc. Adaptive wafer modulator for placing a selected pattern on a semiconductor wafer
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
JPH08171744A (en) * 1994-12-16 1996-07-02 Canon Inc Production of master stamper disk for optical recording medium
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
JPH08241899A (en) * 1995-03-06 1996-09-17 Matsushita Electric Ind Co Ltd Bonding of chip
DE19509452A1 (en) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Tool with demolding device for molding micro-structured components
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (en) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 Manufacturing method of semiconductor laser device
US5804017A (en) 1995-07-27 1998-09-08 Imation Corp. Method and apparatus for making an optical information record
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
JP3372258B2 (en) * 1995-08-04 2003-01-27 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Stamps for lithography processes
US6518168B1 (en) 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
JPH0963134A (en) * 1995-08-24 1997-03-07 Sony Corp Production of optical recording medium
JPH09128820A (en) * 1995-08-25 1997-05-16 Sony Corp Production of optical recording medium and apparatus for production therefor
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
JPH0991771A (en) * 1995-09-27 1997-04-04 Sony Corp Production of optical recording medium
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5545570A (en) * 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
SE508373C2 (en) 1995-10-30 1998-09-28 Obducat Ab Krypto system for optical storage media
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5747102A (en) * 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
US5923408A (en) 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
JP2842362B2 (en) * 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
US5817376A (en) 1996-03-26 1998-10-06 Minnesota Mining And Manufacturing Company Free-radically polymerizable compositions capable of being coated by electrostatic assistance
JP3832891B2 (en) 1996-03-28 2006-10-11 日本トムソン株式会社 XY table using linear electromagnetic actuator
JPH09283621A (en) 1996-04-10 1997-10-31 Murata Mfg Co Ltd Formation of t-type gate electrode of semiconductor device and structure thereof
JPH09278490A (en) 1996-04-11 1997-10-28 Matsushita Electric Ind Co Ltd Water repellent glass coat and ita production
US5853446A (en) 1996-04-16 1998-12-29 Corning Incorporated Method for forming glass rib structures
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6753131B1 (en) 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JP2002513445A (en) 1996-09-06 2002-05-08 オブデュキャット、アクチボラグ Method for anisotropically etching structures in conductive materials
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
DE19648844C1 (en) 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Forming microstructured components for embossing tool and formable material between chamber walls
FR2756400B1 (en) 1996-11-28 1999-12-03 Bornes De Distribution Sofabod MEMORY CARD AUTHORIZATION AND CONTROL METHOD AND DEVICE FOR THE DISTRIBUTION AND COUNTING OF CONSUMPTION OF AT LEAST ONE FLUID OR ENERGY
JPH10172897A (en) 1996-12-05 1998-06-26 Nikon Corp Substrate adaptor, substrate holder and method for holding substrate
US5885514A (en) 1996-12-09 1999-03-23 Dana Corporation Ambient UVL-curable elastomer mold apparatus
US6048623A (en) 1996-12-18 2000-04-11 Kimberly-Clark Worldwide, Inc. Method of contact printing on gold coated films
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
DE19710420C2 (en) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Method and device for measuring the thicknesses of thin layers by means of X-ray fluorescence
US6051179A (en) 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
JP3296239B2 (en) 1997-03-27 2002-06-24 ウシオ電機株式会社 Proximity exposure apparatus with gap setting mechanism
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US5996415A (en) 1997-04-30 1999-12-07 Sensys Instruments Corporation Apparatus and method for characterizing semiconductor wafers during processing
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
IT1294942B1 (en) 1997-08-01 1999-04-23 Sacmi PROCESS OF PRESSING CERAMIC POWDERS AND EQUIPMENT FOR IMPLEMENTING THE SAME.
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6019166A (en) 1997-12-30 2000-02-01 Intel Corporation Pickup chuck with an integral heatsink
US6539286B1 (en) 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
EP1060299A1 (en) 1998-03-05 2000-12-20 Obducat AB Method of etching
SE511682C2 (en) 1998-03-05 1999-11-08 Etchtech Sweden Ab Resistance in electrical conductors on or in circuit boards, substrates and semiconductor trays
JPH11251337A (en) * 1998-03-06 1999-09-17 Hitachi Chem Co Ltd Semiconductor device and manufacture thereof
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP2000039702A (en) * 1998-04-30 2000-02-08 Ebara Corp Transfer and processing method of fine pattern
JP3780700B2 (en) 1998-05-26 2006-05-31 セイコーエプソン株式会社 Pattern forming method, pattern forming apparatus, pattern forming plate, pattern forming plate manufacturing method, color filter manufacturing method, conductive film manufacturing method, and liquid crystal panel manufacturing method
US6239590B1 (en) 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6027595A (en) 1998-07-02 2000-02-22 Samsung Electronics Co., Ltd. Method of making optical replicas by stamping in photoresist and replicas formed thereby
DE19832414C2 (en) 1998-07-18 2000-07-20 Rob Borgmann Screen printing method for printing flat objects, in particular glass panes, with a decor and device for carrying out the method
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6726195B1 (en) 1998-10-13 2004-04-27 Dek International Gmbh Method for ensuring planarity when using a flexible, self conforming, workpiece support system
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6437891B1 (en) 1998-10-27 2002-08-20 Agere Systems Guardian Corp. Integrated dual-wavelength transceiver
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
JP2000194142A (en) * 1998-12-25 2000-07-14 Fujitsu Ltd Pattern forming method and production of semiconductor device
US6251207B1 (en) 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US20020122873A1 (en) 2000-01-05 2002-09-05 Mirkin Chad A. Nanolithography methods and products therefor and produced thereby
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6160430A (en) 1999-03-22 2000-12-12 Ati International Srl Powerup sequence artificial voltage supply circuit
DE19913076A1 (en) 1999-03-23 2000-10-19 Hahn Schickard Ges Device and method for applying microdroplets to a substrate
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4286374B2 (en) 1999-03-30 2009-06-24 新日鐵化学株式会社 Silicone resin and photosensitive resin composition containing the same
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
JP4151151B2 (en) 1999-04-06 2008-09-17 松下電器産業株式会社 Paste coating apparatus and paste coating method for die bonding
JP2000298352A (en) * 1999-04-14 2000-10-24 Jsr Corp Material for electronic parts and method for using same
KR100335070B1 (en) * 1999-04-21 2002-05-03 백승준 Method for forming micro pattern on substrate by using compression patterning technique
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP2000323461A (en) * 1999-05-11 2000-11-24 Nec Corp Fine pattern forming device, its manufacture, and method of forming the same
JP3939048B2 (en) 1999-05-17 2007-06-27 セイコーインスツル株式会社 Piezoelectric actuator
BR0010743A (en) 1999-05-20 2002-04-16 Lancer Partnership Ltd Beverage Dispenser, processes for designing a beverage dispenser or reconfiguring an existing beverage dispenser, to receive user input into a beverage dispenser, to send information from a beverage dispenser to a user, to dispense a beverage from a beverage dispenser, external communication for a beverage dispenser, and external communication for a beverage dispenser, system for monitoring frozen refrigerant, process for controlling a refrigeration unit of a beverage dispenser, system for level monitoring liquid, and, process to control a carbonation system of a drink dispenser
US6522411B1 (en) 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6306467B1 (en) 1999-06-14 2001-10-23 Ford Global Technologies, Inc. Method of solid free form fabrication of objects
US6188150B1 (en) 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
EP1065567A3 (en) * 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
US6220561B1 (en) 1999-06-30 2001-04-24 Sandia Corporation Compound floating pivot micromechanisms
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6780001B2 (en) 1999-07-30 2004-08-24 Formfactor, Inc. Forming tool for forming a contoured microelectronic spring mold
US6809802B1 (en) 1999-08-19 2004-10-26 Canon Kabushiki Kaisha Substrate attracting and holding system for use in exposure apparatus
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6512401B2 (en) 1999-09-10 2003-01-28 Intel Corporation Output buffer for high and low voltage bus
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6377868B1 (en) 1999-10-28 2002-04-23 Ecolab Inc. Data processing system for managing chemical product usage
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
DE19958966A1 (en) * 1999-12-07 2001-06-13 Infineon Technologies Ag Generation of resist structures
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6165911A (en) 1999-12-29 2000-12-26 Calveley; Peter Braden Method of patterning a metal layer
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
AU2001228987A1 (en) 2000-01-21 2001-07-31 Obducat Aktiebolag A mold for nano imprinting
SE515785C2 (en) 2000-02-23 2001-10-08 Obducat Ab Apparatus for homogeneous heating of an object and use of the apparatus
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6337262B1 (en) 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
SE515962C2 (en) 2000-03-15 2001-11-05 Obducat Ab Device for transferring patterns to objects
JP2001277594A (en) 2000-03-31 2001-10-09 Nec Corp Apparatus and method for forming image
US6313567B1 (en) 2000-04-10 2001-11-06 Motorola, Inc. Lithography chuck having piezoelectric elements, and method
SE0001369L (en) 2000-04-13 2001-10-14 Obducat Ab Method and apparatus for processing substrates
SE0001368L (en) 2000-04-13 2001-10-14 Obducat Ab Apparatus and method for electrochemical processing of substrates
SE0001367L (en) 2000-04-13 2001-10-14 Obducat Ab Apparatus and method for electrochemical processing of substrates
SE516194C2 (en) 2000-04-18 2001-12-03 Obducat Ab Substrate for and process of fabrication of structures
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
SE516414C2 (en) 2000-05-24 2002-01-15 Obducat Ab Method of producing a template, as well as the template made from it
JP2001358056A (en) 2000-06-15 2001-12-26 Canon Inc Exposure apparatus
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
DE50104178D1 (en) 2000-06-22 2004-11-25 Unaxis Balzers Ag Coating system for disc-shaped workpieces
US20020159918A1 (en) 2000-06-25 2002-10-31 Fan-Gang Tseng Micro-fabricated stamp array for depositing biologic diagnostic testing samples on bio-bindable surface
CN100504598C (en) 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 High-resolution overlay alignment methods and systems for imprint lithography
US6696220B2 (en) 2000-10-12 2004-02-24 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro-and nano-imprint lithography
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050160011A1 (en) 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7322287B2 (en) 2000-07-18 2008-01-29 Nanonex Corporation Apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
AU2001280980A1 (en) 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6443571B1 (en) 2000-08-03 2002-09-03 Creo Srl Self-registering fluid droplet transfer method
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
AU2001286573A1 (en) 2000-08-21 2002-03-04 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
WO2002022916A1 (en) 2000-09-18 2002-03-21 Obducat Aktiebolag Method of etching, as well as frame element, mask and prefabricated substrate element for use in such etching
CN1243849C (en) 2000-09-20 2006-03-01 奥博杜卡特股份公司 A method for wet etching
US6790763B2 (en) 2000-12-04 2004-09-14 Ebara Corporation Substrate processing method
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
JP2002299226A (en) 2001-04-03 2002-10-11 Nikon Corp Electron beam exposing stencil mask and manufacturing method therefor
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6943036B2 (en) 2001-04-30 2005-09-13 Agilent Technologies, Inc. Error detection in chemical array fabrication
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
JP2002353102A (en) 2001-05-23 2002-12-06 Hitachi Ltd Method of manufacturing semiconductor device
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
JP2003084123A (en) 2001-06-29 2003-03-19 Seiko Epson Corp Color filter substrate, method for manufacturing color filter substrate, liquid crystal display device, electrooptical device, method for manufacturing electrooptical device and electronic apparatus
US20050064344A1 (en) 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
CN100347608C (en) 2001-09-25 2007-11-07 米卢塔技术株式会社 Method for forming a micro-pattern on a substrate by using capillary force
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6771372B1 (en) 2001-11-01 2004-08-03 Therma-Wave, Inc. Rotational stage with vertical axis adjustment
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6743368B2 (en) 2002-01-31 2004-06-01 Hewlett-Packard Development Company, L.P. Nano-size imprinting stamp using spacer technique
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
US7309560B2 (en) 2002-02-19 2007-12-18 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating
DE10307518B4 (en) 2002-02-22 2011-04-14 Hoya Corp. Halftone phase shift mask blank, halftone phase shift mask and method of making the same
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
TW594431B (en) 2002-03-01 2004-06-21 Asml Netherlands Bv Calibration methods, calibration substrates, lithographic apparatus and device manufacturing methods
US6716754B2 (en) 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
US6881366B2 (en) 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6852454B2 (en) 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
GB2391385A (en) 2002-07-26 2004-02-04 Seiko Epson Corp Patterning method by forming indent region to control spreading of liquid material deposited onto substrate
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US7029529B2 (en) 2002-09-19 2006-04-18 Applied Materials, Inc. Method and apparatus for metallization of large area substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
JP4563181B2 (en) 2002-12-13 2010-10-13 モレキュラー・インプリンツ・インコーポレーテッド Magnification correction using substrate surface curvature
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
EP1460738A3 (en) 2003-03-21 2004-09-29 Avalon Photonics AG Wafer-scale replication-technique for opto-mechanical structures on opto-electronic devices
EP1606834B1 (en) 2003-03-27 2013-06-05 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7070406B2 (en) 2003-04-29 2006-07-04 Hewlett-Packard Development Company, L.P. Apparatus for embossing a flexible substrate with a pattern carried by an optically transparent compliant media
US6808646B1 (en) 2003-04-29 2004-10-26 Hewlett-Packard Development Company, L.P. Method of replicating a high resolution three-dimensional imprint pattern on a compliant media of arbitrary size
US6860956B2 (en) 2003-05-23 2005-03-01 Agency For Science, Technology & Research Methods of creating patterns on substrates and articles of manufacture resulting therefrom
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20040261703A1 (en) 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US6879191B2 (en) 2003-08-26 2005-04-12 Intel Corporation Voltage mismatch tolerant input/output buffer
US6852358B1 (en) 2003-08-28 2005-02-08 Chang Chun Plastics Co., Ltd. Process for preparing an optical waveguide component from acrylate/titanium alkoxide composite material and the prepared optical waveguide component
US7136150B2 (en) 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US20050106321A1 (en) 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050170670A1 (en) 2003-11-17 2005-08-04 King William P. Patterning of sacrificial materials
US20050253137A1 (en) 2003-11-20 2005-11-17 President And Fellows Of Harvard College Nanoscale arrays, robust nanostructures, and related devices
US7023238B1 (en) 2004-01-07 2006-04-04 Altera Corporation Input buffer with selectable threshold and hysteresis option
US20050151283A1 (en) 2004-01-08 2005-07-14 Bajorek Christopher H. Method and apparatus for making a stamper for patterning CDs and DVDs
US20050156353A1 (en) 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
KR100566700B1 (en) 2004-01-15 2006-04-03 삼성전자주식회사 Method for forming mask pattern, template for forming mask pattern and method for forming template
KR100558754B1 (en) 2004-02-24 2006-03-10 한국기계연구원 Uv nanoimprint lithography process and apparatus for performing the same
US20050189676A1 (en) 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
JP2005267738A (en) 2004-03-18 2005-09-29 Meiki Co Ltd Metal mold device for forming optical disk substrate
US20050230882A1 (en) 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050253307A1 (en) 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
WO2005119802A2 (en) 2004-05-28 2005-12-15 Board Of Regents, The University Of Texas System Adaptive shape substrate support system and method
US7259833B2 (en) 2004-05-28 2007-08-21 Board Of Regents, The Universtiy Of Texas System Substrate support method
US20050276919A1 (en) 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
WO2005120834A2 (en) 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US7785526B2 (en) 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
US20060177532A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US7798801B2 (en) 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7636999B2 (en) 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
JP2006315207A (en) 2005-05-10 2006-11-24 Pital Internatl Machinery Co Ltd Common extrusion manufacturing method of hollow profile template having internally filled foam and product manufactured thereby
US20060266916A1 (en) 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
DE102008051973A1 (en) 2008-10-16 2010-04-22 Vmi - Az Extrusion Gmbh Extrusion conveyor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1987002935A1 (en) * 1985-11-18 1987-05-21 Eastman Kodak Company Process for making optical recording media
JPH02192045A (en) * 1989-01-20 1990-07-27 Fujitsu Ltd Production of optical disk substrate
WO2001033300A2 (en) * 1999-10-29 2001-05-10 The Board Of Regents High precision orientation alignment and gap control stages for imprint lithography processes

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
COLBURN M ET AL: "Step and flash imprint lithography: a new approach to high-resolution patterning", EMERGING LITHOGRAPHIC TECHNOLOGIES III, SANTA CLARA, CA, USA, 15-17 MARCH 1999, vol. 3676, pt.1-2, Proceedings of the SPIE - The International Society for Optical Engineering, 1999, SPIE-Int. Soc. Opt. Eng, USA, pages 379 - 389, XP002126733, ISSN: 0277-786X *
HAISMA J ET AL: "MOLD-ASSISTED NANOLITHOGRAPHY: A PROCESS FOR RELIABLE PATTERN REPLICATION", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 14, no. 6, 1 November 1996 (1996-11-01), pages 4124 - 4128, XP000721137, ISSN: 0734-211X *

Also Published As

Publication number Publication date
EP2270592B1 (en) 2015-09-02
WO2002006902A2 (en) 2002-01-24
KR20030079910A (en) 2003-10-10
EP2270592A3 (en) 2011-11-30
US9223202B2 (en) 2015-12-29
EP2270592A2 (en) 2011-01-05
US20020094496A1 (en) 2002-07-18
JP2011176321A (en) 2011-09-08
JP2004504714A (en) 2004-02-12
US20080199816A1 (en) 2008-08-21
AU2001277907A1 (en) 2002-01-30
CN1455888A (en) 2003-11-12
JP4740518B2 (en) 2011-08-03
KR100827741B1 (en) 2008-05-07
EP1303793B1 (en) 2015-01-28
CN1262883C (en) 2006-07-05
JP5325914B2 (en) 2013-10-23
EP1303793A2 (en) 2003-04-23

Similar Documents

Publication Publication Date Title
WO2002006902A3 (en) Method and system of automatic fluid dispensing for imprint lithography processes
AU2001249659A1 (en) Method of forming vias in silicon carbide and resulting devices and circuits
WO2000045421A3 (en) Wafer edge engineering method and device
WO2002079876A3 (en) Lithographic template
WO2000000560A3 (en) Chemical mechanical polishing slurry and method for using same
WO2002062527A8 (en) Abrasive article suitable for modifying a semiconductor wafer
WO2004013693A3 (en) Scatterometry alignment for imprint lithography
WO2004051708A3 (en) Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
TW200707632A (en) Semiconductor device and forming method thereof
WO2005064405A3 (en) Lithographic apparatus and device manufacturing method
WO2004097518A3 (en) A method of forming stepped structures employing imprint lithography
EP1174910A3 (en) Method and apparatus for dechucking a substrate
EP1111662A3 (en) Apparatus and method for aligning semiconductor die to interconnect metal on flex substrate and product therefrom
EP0877413A3 (en) Method and apparatus for selectively marking a semiconductor wafer
WO2008048491A3 (en) Contact lithography apparatus, system and method
NL1012430A1 (en) A method of manufacturing semiconductor units, an etching composition for manufacturing semiconductor units, and semiconductor units obtained therewith.
ID17230A (en) METHOD OF PROCESSING LAYERS DOWN
WO2003038888A3 (en) Method and apparatus for cascade control using integrated metrology
ZA200306360B (en) Method and device for producing thin wafers from a film of active ingredients.
AU2001230409A1 (en) A method and apparatus for implanting semiconductor wafer substrates
EP0779654A3 (en) Process for forming openings within a passivation layer of a semiconductor device
EP0887846A3 (en) Method of reducing the formation of watermarks on semiconductor wafers
EP1400853A4 (en) Positive resist composition of chemical amplification type, resist coated material, method of forming resist pattern, and process for producing semiconductor device
EP1193744A4 (en) Reinforcement material for silicon wafer and method of manufacturing ic chip using the reinforcement material
MY135679A (en) Method and system of automatic fluid dispensing for imprint lithography processes

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 1020037000634

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2001955853

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 01815560X

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2001955853

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1020037000634

Country of ref document: KR