WO2002009147A3 - High pressure processing chamber for semiconductor substrate - Google Patents

High pressure processing chamber for semiconductor substrate Download PDF

Info

Publication number
WO2002009147A3
WO2002009147A3 PCT/IB2001/001761 IB0101761W WO0209147A3 WO 2002009147 A3 WO2002009147 A3 WO 2002009147A3 IB 0101761 W IB0101761 W IB 0101761W WO 0209147 A3 WO0209147 A3 WO 0209147A3
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor substrate
high pressure
platen
chamber housing
processing chamber
Prior art date
Application number
PCT/IB2001/001761
Other languages
French (fr)
Other versions
WO2002009147A2 (en
Inventor
Maximilian Albert Biberger
Frederick Paul Layman
Thomas Robert Sutton
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to AU2001290171A priority Critical patent/AU2001290171A1/en
Priority to KR1020037001002A priority patent/KR100750018B1/en
Priority to JP2002514758A priority patent/JP4724353B2/en
Priority to EP01970053A priority patent/EP1303870A2/en
Publication of WO2002009147A2 publication Critical patent/WO2002009147A2/en
Publication of WO2002009147A3 publication Critical patent/WO2002009147A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A high pressure chamber comprises a chamber housing, a platen, and a mechanical drive mechanism. The chamber housing comprises a first sealing surface. The platen comprises a region for holding the semiconductor substrate and a second sealing surface. The mechanical drive mechanism couples the platen to the chamber housing. In operation, the mechanical drive mechanism separates the platen from the chamber housing for loading of the semiconductor substrate. In further operation, the mechanical drive mechanism causes the second sealing surface of the platen and the first sealing surface of the chamber housing to form a high pressure processing chamber around the semiconductor substrate.
PCT/IB2001/001761 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate WO2002009147A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2001290171A AU2001290171A1 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate
KR1020037001002A KR100750018B1 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate
JP2002514758A JP4724353B2 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrates
EP01970053A EP1303870A2 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US22088300P 2000-07-26 2000-07-26
US60/220,883 2000-07-26
US28313201P 2001-04-10 2001-04-10
US60/283,132 2001-04-10

Publications (2)

Publication Number Publication Date
WO2002009147A2 WO2002009147A2 (en) 2002-01-31
WO2002009147A3 true WO2002009147A3 (en) 2002-07-18

Family

ID=26915269

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2001/001761 WO2002009147A2 (en) 2000-07-26 2001-07-24 High pressure processing chamber for semiconductor substrate

Country Status (7)

Country Link
US (2) US6921456B2 (en)
EP (1) EP1303870A2 (en)
JP (1) JP4724353B2 (en)
KR (1) KR100750018B1 (en)
AU (1) AU2001290171A1 (en)
TW (1) TW505963B (en)
WO (1) WO2002009147A2 (en)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2387373A1 (en) * 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
US20040003831A1 (en) * 2000-04-18 2004-01-08 Mount David J. Supercritical fluid cleaning process for precision surfaces
KR100777892B1 (en) * 2001-04-10 2007-11-21 동경 엘렉트론 주식회사 High pressure processing chamber for semiconductor substrate including flow enhancing features
JP3511514B2 (en) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 Substrate purification processing apparatus, dispenser, substrate holding mechanism, substrate purification processing chamber, and substrate purification method using these
US6951765B1 (en) 2001-12-12 2005-10-04 Novellus Systems, Inc. Method and apparatus for introduction of solid precursors and reactants into a supercritical fluid reactor
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6905556B1 (en) 2002-07-23 2005-06-14 Novellus Systems, Inc. Method and apparatus for using surfactants in supercritical fluid processing of wafers
US6884737B1 (en) 2002-08-30 2005-04-26 Novellus Systems, Inc. Method and apparatus for precursor delivery utilizing the melting point depression of solid deposition precursors in the presence of supercritical fluids
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
DE10255231B4 (en) * 2002-11-26 2006-02-02 Uhde High Pressure Technologies Gmbh High pressure device for closing a pressure vessel in the clean room
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7392815B2 (en) * 2003-03-31 2008-07-01 Lam Research Corporation Chamber for wafer cleaning and method for making the same
EP1609174B1 (en) * 2003-03-31 2011-11-30 Lam Research Corporation Chamber and method for wafer processing
US7357115B2 (en) * 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7153388B2 (en) * 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7311779B2 (en) * 2003-10-06 2007-12-25 Applied Materials, Inc. Heating apparatus to heat wafers using water and plate with turbolators
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
JP2008511138A (en) 2004-08-18 2008-04-10 ニュー ウエイ マシーン コンポーネント インコーポレイティッド Moving vacuum chamber stage with air bearing and step pump groove
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US7445015B2 (en) * 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
KR100829923B1 (en) * 2006-08-30 2008-05-16 세메스 주식회사 Spin head and method using the same for treating substrate
JP5144069B2 (en) * 2006-12-26 2013-02-13 オルガノ株式会社 Supercritical fluid processing equipment
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
US7665917B2 (en) * 2007-03-30 2010-02-23 Tokyo Electron Limited Heat treatment apparatus and methods for thermally processing a substrate using a pressurized gaseous environment
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
CN102116835B (en) * 2009-11-06 2014-12-03 东京毅力科创株式会社 Probe apparatus and substrate transfer method
KR101049771B1 (en) * 2010-02-26 2011-07-19 서강대학교산학협력단 High pressure container having sealed structure
DE102010056021B3 (en) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Nozzle assembly useful in a chemical vapor deposition reactor, comprises a nozzle body having an inlet, an outlet and a flow space between the inlet and outlet, and a control unit having an adjusting member and a fixing part
US20130133701A1 (en) * 2011-11-28 2013-05-30 Intermolecular, Inc. Method and apparatus for dispensing an inert gas
KR102064552B1 (en) 2013-03-26 2020-01-10 삼성전자주식회사 Substrate treating apparatus
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
CN105336723B (en) 2014-07-28 2018-09-14 通用电气公司 Semiconductor module, semiconductor module block assembly and semiconductor device
US9920425B2 (en) * 2014-08-13 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US10711348B2 (en) * 2015-03-07 2020-07-14 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US9624430B2 (en) * 2015-05-14 2017-04-18 The Boeing Company Methods and apparatuses for selective chemical etching
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR102358561B1 (en) * 2017-06-08 2022-02-04 삼성전자주식회사 Substrate processing apparatus and apparatus for manufacturing integrated circuit device
US20190352774A1 (en) * 2018-05-21 2019-11-21 Applied Materials, Inc. Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods
KR102452714B1 (en) 2021-12-23 2022-10-07 주식회사 에이치피에스피 Chamber apparatus for both high pressure and vacuum process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314574A (en) * 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
JP2000106358A (en) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp Semiconductor manufacturing apparatus and method for processing semiconductor substrate
WO2001010733A1 (en) * 1999-08-05 2001-02-15 S. C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces

Family Cites Families (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3681171A (en) 1968-08-23 1972-08-01 Hitachi Ltd Apparatus for producing a multilayer printed circuit plate assembly
US3623627A (en) 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) * 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
US4145161A (en) 1977-08-10 1979-03-20 Standard Oil Company (Indiana) Speed control
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
DE3110341C2 (en) 1980-03-19 1983-11-17 Hitachi, Ltd., Tokyo Method and apparatus for aligning a thin substrate in the image plane of a copier
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (en) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf PNEUMATIC DIAPHRAGM PUMP
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (en) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Process for removing peelable layers of material from coated objects,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (en) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad COOKING VESSEL FROM COOKER AND LID, ESPECIALLY STEAM PRESSURE COOKER
FR2536433A1 (en) * 1982-11-19 1984-05-25 Privat Michel METHOD AND APPARATUS FOR CLEANING AND DECONTAMINATING PARTICULARLY CLOTHING, ESPECIALLY CLOTHES CONTAMINATED WITH RADIOACTIVE PARTICLES
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (en) * 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (en) * 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) * 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (en) 1988-02-29 1997-10-15 勝 西川 Method of forming resist pattern
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
DE3914065A1 (en) 1989-04-28 1990-10-31 Leybold Ag DEVICE FOR CARRYING OUT PLASMA ETCHING PROCESSES
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4952306A (en) * 1989-09-22 1990-08-28 Exxon Research And Engineering Company Slurry hydroprocessing process
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) * 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE69133413D1 (en) 1990-05-07 2004-10-21 Canon Kk Vacuum type substrate support
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (en) * 1990-06-08 1991-12-12 Ott Kg Lewa DIAPHRAGM FOR A HYDRAULICALLY DRIVED DIAPHRAGM PUMP
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) * 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (en) * 1990-10-08 1992-04-09 Dirk Dipl Ing Budde DOUBLE DIAPHRAGM PUMP
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (en) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Device for sliding and pivoting of a container-closure.
US5259731A (en) 1991-04-23 1993-11-09 Dhindsa Jasbir S Multiple reciprocating pump system
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5242641A (en) * 1991-07-15 1993-09-07 Pacific Trinetics Corporation Method for forming filled holes in multi-layer integrated circuit packages
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (en) 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
DE9112761U1 (en) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
KR930019861A (en) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 Coating method using dense gas
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) * 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5252041A (en) 1992-04-30 1993-10-12 Dorr-Oliver Incorporated Automatic control system for diaphragm pumps
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
KR100304127B1 (en) * 1992-07-29 2001-11-30 이노마다 시게오 Electronic-substrate treatment system using portable sealed container and apparatus thereof
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5339844A (en) * 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
KR100251873B1 (en) 1993-01-21 2000-04-15 마쓰바 구니유키 Vertical type heat treating apparatus
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5434107A (en) * 1994-01-28 1995-07-18 Texas Instruments Incorporated Method for planarization
DE69523208T2 (en) 1994-04-08 2002-06-27 Texas Instruments Inc Process for cleaning semiconductor wafers using liquefied gases
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
EP0791093B1 (en) * 1994-11-09 2001-04-11 R.R. STREET & CO., INC. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (en) * 1994-12-08 1996-06-20 Abel Gmbh & Co Double diaphragm pump
JPH08186140A (en) * 1994-12-27 1996-07-16 Toshiba Corp Method and apparatus for manufacturing resin-sealed type semiconductor device
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08330266A (en) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> Method of cleansing and processing surface of semiconductor device or the like
AU6541596A (en) * 1995-06-16 1997-01-15 University Of Washington Microfabricated differential extraction device and method
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US6062853A (en) * 1996-02-29 2000-05-16 Tokyo Electron Limited Heat-treating boat for semiconductor wafers
JP3955340B2 (en) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 High-temperature and high-pressure gas processing equipment
DK9600149U3 (en) * 1996-05-01 1997-09-12 Moerch & Soenner A S cover assembly
JPH09303557A (en) * 1996-05-21 1997-11-25 Kobe Steel Ltd Sealing device for high-pressure vessel
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (en) * 1996-08-01 1998-06-05 윌리엄 비.켐플러 I / O port and RAM memory addressing technology
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
KR19980015757A (en) * 1996-08-23 1998-05-25 김광호 Method of filling a contact hole in a semiconductor device
JP3176294B2 (en) * 1996-08-26 2001-06-11 日本電気株式会社 Carrier for semiconductor wafer
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (en) * 1997-02-26 2003-08-18 富士通株式会社 manufacturing device
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (en) * 1997-03-18 1998-09-29 Furontetsuku:Kk Production system for semiconductor and the like
JP4246804B2 (en) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 Heating / pressurizing equipment
JPH10288158A (en) * 1997-04-10 1998-10-27 Kobe Steel Ltd Piston gas compressor and gas compression equipment
JP3764247B2 (en) * 1997-05-27 2006-04-05 株式会社神戸製鋼所 Pressurizing device for plate
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
JP3194036B2 (en) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 Drying treatment apparatus and drying treatment method
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6103638A (en) * 1997-11-07 2000-08-15 Micron Technology, Inc. Formation of planar dielectric layers using liquid interfaces
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
KR100524204B1 (en) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6642140B1 (en) * 1998-09-03 2003-11-04 Micron Technology, Inc. System for filling openings in semiconductor products
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
IL154749A0 (en) * 2000-09-07 2003-10-31 Cmb Ind Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314574A (en) * 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
JP2000106358A (en) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp Semiconductor manufacturing apparatus and method for processing semiconductor substrate
WO2001010733A1 (en) * 1999-08-05 2001-02-15 S. C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 07 29 September 2000 (2000-09-29) *

Also Published As

Publication number Publication date
KR20030017648A (en) 2003-03-03
TW505963B (en) 2002-10-11
US6921456B2 (en) 2005-07-26
US20050000651A1 (en) 2005-01-06
AU2001290171A1 (en) 2002-02-05
WO2002009147A2 (en) 2002-01-31
JP4724353B2 (en) 2011-07-13
KR100750018B1 (en) 2007-08-16
JP2004508699A (en) 2004-03-18
US20020046707A1 (en) 2002-04-25
US7255772B2 (en) 2007-08-14
EP1303870A2 (en) 2003-04-23

Similar Documents

Publication Publication Date Title
WO2002009147A3 (en) High pressure processing chamber for semiconductor substrate
AU2003291698A1 (en) High pressure compatible vacuum check for semiconductor wafer including lift mechanism
WO2003017354A1 (en) Semiconductor processing system
CN105047586B (en) Adhesive tape attaching device and adhesive tape attaching method
EP1316626A3 (en) Transparent conductive layer forming method, transparent conductive layer formed by the method, and material comprising the layer
TW200714951A (en) Substrate assembly apparatus and method
TW358221B (en) Etching apparatus for manufacturing semiconductor devices
CA2326677A1 (en) A method of making a wafer-pair having sealed chambers
TW200514919A (en) Evacuation apparatus
CA2326455A1 (en) Wafer-pair having deposited layer sealed chambers
HK1044504A1 (en) Method of modifying a surface of a structured wafer.
WO2005067047A3 (en) An integral topside vaccum package
WO2004073036A3 (en) High-pressure processing chamber for a semiconductor wafer
GB2344356A (en) Two-stage sealing system for thermally conductive chuck
WO2003030238A1 (en) Processing method
WO2003030219A3 (en) High pressure processing chamber for multiple semiconductor substrates
WO2004073029A3 (en) Vacuum chuck utilizing sintered material and method of providing
AU2002252637A1 (en) High pressure processing chamber for semiconductor substrate including flow enhancing features
WO2003021680A3 (en) Power semiconductor module
TW200607874A (en) Sputtering device with gas injection assembly
WO2005033358A3 (en) Method for depositing a conductive material on a substrate, and semiconductor contact device
WO2001074980A3 (en) Enzyme tablets for cleaning improvement
WO2007038710A3 (en) Intra-cavity gettering of nitrogen in sic crystal growth
DE10345824A1 (en) Arrangement for depositing atomic layers onto substrates used in the production of semiconductors comprises a source for trimethylaluminum vapor and a source for water connected together
WO2005091283A8 (en) Method and apparatus for separating disc-shaped substrates

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWE Wipo information: entry into national phase

Ref document number: 018131875

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2001970053

Country of ref document: EP

Ref document number: 1020037001002

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020037001002

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001970053

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2001970053

Country of ref document: EP