WO2002019395A1 - Ion-ion plasma processing with bias modulation sychronized to time-modulated discharges - Google Patents

Ion-ion plasma processing with bias modulation sychronized to time-modulated discharges Download PDF

Info

Publication number
WO2002019395A1
WO2002019395A1 PCT/US2001/026344 US0126344W WO0219395A1 WO 2002019395 A1 WO2002019395 A1 WO 2002019395A1 US 0126344 W US0126344 W US 0126344W WO 0219395 A1 WO0219395 A1 WO 0219395A1
Authority
WO
WIPO (PCT)
Prior art keywords
ion
bias
power
plasma
substrate
Prior art date
Application number
PCT/US2001/026344
Other languages
French (fr)
Inventor
Sivananda K. Kanakasabapathy
Lawrence J. Overzet
Original Assignee
Board Of Regents
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Board Of Regents filed Critical Board Of Regents
Priority to AU2001288362A priority Critical patent/AU2001288362A1/en
Publication of WO2002019395A1 publication Critical patent/WO2002019395A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Definitions

  • the present invention relates to plasma processing methods and systems, and particularly to processing with electron-free ion-ion plasmas in proximity to a microelectronic wafer surface.
  • Plasma is a state of matter that includes a significant number of free charges (ions and/or electrons). Useful plasmas are often gaseous, but the presence of free charge causes plasmas to behave very differently from neutral gases.
  • Plasma processing is one of the core technologies of the microelectronics industry. It is used for several functions, e.g. to deposit materials onto substrates, etch material from substrates, and to clean and/or chemically change a surface. Such plasmas are usually plasmas of the "glow discharge" type. (There are many other types of plasmas, ranging over a vast range of density and temperature, which are not relevant to such glow discharge plasmas.)
  • the plasma is usually formed by applying electromagnetic power to a neutral gas near the material surface (substrate) to be processed.
  • a neutral gas near the material surface (substrate) to be processed.
  • Such a plasma will include free electrons, positive ions, and possibly also (depending on the gases used) a significant fraction of negative ions.
  • the ions and neutral gas molecules can contribute to processing the surface.
  • the potential of the plasma center is ordinarily more positive than that on any surface (or walls) in contact with the plasma.
  • This potential difference between the plasma center and any surface helps prevent further electron escape and promotes positive ion escape.
  • the potential difference is concentrated in a "sheath" region near all surfaces (and walls). Any positive ions that enter the "sheath” region are accelerated directly into the surface with a velocity that is largely perpendicular to the surface. By contrast, the electrons that enter the sheath region are repelled, and the few that make it to the surface will have an isotropic velocity distribution there. This results in a phenomenon commonly called "electron shading.”
  • Electron shading is caused by the different behavior of electrons and ions crossing the sheath.
  • Positive ions obtain a directed motion during their traverse of the sheath, and so relatively more of their positive charge is deposited at the bottom of high aspect ratio features; but electrons retain their isotropic velocity distribution, and so relatively more of their negative charge is deposited near the top of high aspect ratio features.
  • the resulting charge separation is believed to modify the trajectories of subsequent ions, and to cause significant problems such as: lateral etching (notching), trenching, bowing, and dielectric breakdown.
  • DC bias forms, on surfaces driven with AC voltage, to ensure equal time-averaged positive and negative charge currents. (That is to say, to ensure that no net charging of the surface occurs during an RF period in quasi-steady state.) It is well known to those skilled in the art and often used to accelerate positive ions into a processing surface. It also repels negative ions and electrons from the processing surface. It is difficult to quickly change the DC-bias once it has formed and consequently, the DC-bias on a wafer surface can often remain for extended periods after the plasma has been extinguished.
  • Ion-ion plasmas can be biased to extract either positive or negative ions.
  • the nearly equal masses of positive and negative ions makes for a nearly symmetric current voltage characteristic of this plasma, and easily allows one to invert the ordinary sheath fields thereby accelerating either positive or negative ions into a processing surface. If an alternating current (AC) bias is applied, both positive and negative ions can be accelerated into the surface in an alternating fashion.
  • AC alternating current
  • Both positive and negative ions can be accelerated into the surface in an alternating fashion.
  • the result is a process whereby the charge to the surface balances (balanced charge processing) and as a result, the surface is not significantly charged by the impinging ions. This is evidenced by the fact that virtually no DC-bias forms when processing using ion-ion plasma even in highly asymmetric reactors.
  • a DC Bias forms when using his method that very effectively prevents negative ions from reaching the processing substrate.
  • the present invention describes a method that prevents a DC Bias from forming and that ensures alternating positive and negative ion bombardment of the substrate with full control over the ion energies.
  • Some plasma processing units have used "downstream" configurations, in which a plasma discharge is physically separated from the substrate being treated.
  • the gas flow can be given an "afterglow” like condition, i.e. can contain a significant population of negative ions.
  • the electron population can be very low at the point where the gas flow encounters the substrate.
  • these techniques are different from the ion-ion plasma techniques used in the present application, in that the reduction in electron density is largely due to diffusion rather than attachment. This leads to reduced density of ions at the wafer surface as ions are lost to diffusion as well, which may be undesirable.
  • pulsed-plasma afterglow techniques can provide a much higher density of ionized species in proximity to the wafer surface.
  • Modulated bias voltage is synchronized with pulsed plasma generation, with a time delay during which the electron population falls to an insignificant level. This permits processing with a pure ion-ion plasma.
  • the disclosed ion-ion systems and methods can produce alternating bombardment of a processing substrate by positive and negative ions, such that the charges of the ions balance and the substrate never endures any significant charge buildup.
  • Such methods in various embodiments, can be used to process materials with high aspect ratio features without the deleterious "electron shading" effects common in present technology. It can allow the user to vary the surface chemistry in novel and beneficial fashions. It can also provide significantly better control over ion energies.
  • Figure 1 illustrates a wafer processing system according to a first embodiment of the present invention
  • Figure 2 illustrates the timing relationships between the plasma source power-supply output, the substrate voltage waveform and the plasma parameters (electron and ion densities) according to the first embodiment
  • Figure 3 illustrates the timing relationships for an alternate substrate voltage waveform at a much lower frequency
  • Figure 4 illustrates the timing relationships for an alternate substrate voltage waveform that only attracts negative ions
  • Figure 5 illustrates the timing relationships for an alternate substrate voltage waveform that attracts positive and negative ions at nearly constant energies
  • Figure 6 illustrates the timing relationships for an alternate substrate voltage waveform that accelerates negative ions to much larger energies than positive ions and positive ions for a longer period of time than negative ions;
  • Figure 7 illustrates the timing relationships for an alternate substrate voltage waveform that has a triangle wave amplitude modulation during the ion-ion plasma
  • Figure 8 illustrates the timing relationships for an alternate substrate ..voltage waveform that attracts negative ions during one ion-ion plasma and positive ions during the next ion-ion plasma period;
  • Figure 9 illustrates the timing relationships for an alternate embodiment in which the plasma power is ramped;
  • Figure 10 illustrates test results from a specific embodiment of the invention showing alternating fluxes of positive and negative ions
  • Figure 11 illustrates test results from a specific embodiment of the invention showing how the asynchronous biasing causes a DC bias and prevents negative ions from being used in processing.
  • Figure 12 shows a simplified example of a two-substrate ion-ion plasma processing system.
  • Figure 13 is a block diagram overview of a plasma diagnostics system.
  • Figure 14 is a flowchart of a process according to a sample embodiment.
  • aspects of the present invention provide an improved plasma processing method that, in various embodiments, provide one or more of the following advantages: elimination of substrate charging during processing; improved control over ion energies; the capability to alternate positive-ion and negative-ion bombardment of a substrate; the capability to control surface chemistry through the selection of the positive and negative ion bombardment ratios; and the capability to maximize processing rate while minimizing electron bombardment.
  • the present application discloses a method of processing using ion-ion plasma. Pulsing the power supply used to generate plasma (the plasma source) between high power and low power cycles allows ion-ion plasma to form during the low power cycles. Usually, the low power cycles must involve little or nominally zero power to the plasma source for ion-ion plasma to form.
  • the electrons in the plasma attach to electronegative molecules and form negative, ions, producing plasma with ion densities at least 200 times greater than the electron density. Because ofthe small electron density, ions become the primary current carrying particles during the ion-ion plasma. Because positive and negative ions have similar masses, the sheath in front of a processing substrate can be controlled in a fashion not possible while electrons have a larger fractional density in the plasma. In particular, the sheath potential can be made either positive or negative, and can therefore accelerate either negative-ions or positive-ions into the processing substrate with nearly equal efficiency.
  • this sheath potential can be controlled without forming a DC bias, and can be as high as several hundred volts (positive or negative) without destroying the ion-ion plasma through creating electrons. While electrons are present, the sheath will only have a positive potential that accelerates only positive ions into the processing substrate.
  • the power supply connected to the processing substrate produces a voltage on the substrate used to accelerate ions into the processing surface and not to generate plasma.
  • This power supply is called the substrate voltage supply and it is limited to several hundred volts peak before it begins to generate plasma in addition to accelerating ions.
  • the amplitude modulation envelope of the substrate voltage supply is synchronized to the amplitude modulation envelope of the plasma source and phase-locked to the ion-ion plasma portion of the afterglow.
  • the high power periods of the substrate voltage supply are limited to the period of time when ion-ion plasma exists by introducing a time delay between when the low power period of the plasma source begins and the high power period of the substrate voltage supply begins. This time delay must be determined for a given plasma condition and is inserted to allow the electron population to reduce to an insignificant level by attachment before the substrate voltage supply goes to high power. This permits processing with pure ion-ion plasma and prevents the formation of any DC bias voltage.
  • FIG. 1 shows a simple example of a plasma reactor 40 in which various disclosed methods can be implemented.
  • a first RF power supply 100 is connected, through matching network 2, to drive a coil 3.
  • the coil 3 is coupled through a window 5 (and optionally a Faraday shield, not shown) to the chamber 7.
  • Source gasses are fed through inlet 6, and exhausted past throttling valve 11 to manifold 12.
  • a second RF power supply, 90 is coupled, through its own matching network 8, to drive the wafer chuck 14, on which a substrate 15 can be positioned for processing.
  • Figure 2 shows timing relations in a sample embodiment.
  • a pulse of drive power is applied to the coil at time to, to ignite the plasma, and terminated at time tj.
  • a delay 22 then follows, from time tj to time t ⁇ , while the electron density 29 drops to less than 0.5% of the ion density. (During this time the negative ion density 30 increases, as a result of attachment.)
  • an AC bias voltage is applied to substrate 14, to induce ion bombardment and produce the desired surface modifications to the substrate.
  • the pulse period is 17, i.e. the time from to to to'.
  • the duty cycle ofthe power pulses is preferably less than 50%, i.e. off tim 19 is greater than on time 18.
  • the AC bias was at a lower frequency than the drive power;
  • Figure 3 shows an example where the frequency of AC bias is lower yet, to the point where only one full cycle of the AC bias signal 27 occurs during the high state of the control pulse 26.
  • Figure 4 shows yet another embodiment, where a DC bias signal
  • Figure 5 shows a different embodiment, where the control pulse 26 gates a square wave signal 27".
  • Figure 6 shows a different embodiment, where the control pulse
  • Figure 7 shows a different embodiment, where the control pulse 26 gates a ramped bias signal 27A.
  • the time constants are selected so that ramp-down occurs during the duration of the control pulse 26, but of course this can be varied.
  • Figure 8 shows an embodiment where the polarity of the bias voltage 27B changes with each pulse 26,
  • Figure 9 shows an embodiment where the power pulses 25 are ramped up and down at each pulse.
  • the present inventors have shown that temporally alternating fluxes of positive and negative ions can be brought to a substrate from ion-ion plasmas. This was achieved by applying a low-frequency bias voltage to the input aperture of a mass spectrometer during the afterglow of a Cl 2 discharge and observing the positive- and negative-ion signals.
  • the vacuum chamber consisted of a 10.2 cm (diameter) by 356 cm (length) Pyrex pipe with stainless-steel electrodes on each end.
  • the electrode in front of the mass spectrometer has a 100 micron aperture and is isolated from ground, while the electrode at the back end of the chamber is grounded.
  • a Faraday shielded, 11.4-cm-long, three-turn helical antenna excites a plasma midway between the electrodes.
  • the 13.56 MHz excitation is pulsed at 1 kHz and 50% duty ratio to provide a power of 300 W during the active glow. It produces “on” (also called “activeglow”) and “afterglow” times of 500 microseconds.
  • the antennae is connected through a matching networks to an ENI A500 power amplifier.
  • a TREK 601B-4 amplifier fills the 485 microsecond Ion-ion afterglow period with a sinusoidal voltage burst, of 9.5 cycles at 20 kHz and 225 V p , applied to the mass spectrometer aperture electrode. This voltage is lower than the approximately 400 V required to ignite DC discharges in this reactor. It is what produces the alternate fluxes of positive and negative ions to the aperture electrode. Similar results were obtained with much smaller amplitude bias voltages (5 V p ).
  • the plasmas were formed in Cl 2 at 1 mTorr and 5 seem, and were probed by a variety of diagnostics in addition to mass spectroscopy.
  • Two diagnostics of interest were a time-resolved Langmuir probe and a 8.6 GHz microwave interferometer placed adjacent to the aperture electrode.
  • the interferometer can detect to a density of 10 8 cm 3 .
  • a nickel-coated aluminum strap attached to the back electrode runs along the length of the tube to provide ground reference for the Langmuir-probe measurements. It stops 8.5 cm from the mass spectrometer.
  • the interferometer horns are positioned between the biased electrode and the ground strap to detect any electrons possibly generated by the low-frequency bias.
  • Figure 10 is a composite of several plots showing experimental results. Experimental evidence that temporally alternating fluxes of positive and negative ions can be brought to the mass spectrometer's aperture electrode is shown in parts (a) and (b). There, the signals of positive ions (Cl 2 + , Cl + ) and negative ions (Cl " ) measured using the mass spectrometer have been plotted as a function of time. Alternating signals of Cl 2 + and Cl " are observed during the afterglow corresponding to the appropriate phases of the aperture electrode bias.
  • the first spurt of Cl" negative ions is measured by the mass spectrometer.
  • nine spurts (labelled A-I) were observed, corresponding to the nine positive half cycles of bias.
  • Interlaced spurts of Cl 2 + one for each of the ten negative half cycles (1-10) are also observed.
  • the successive peaks of both polarity ions gently decay in time as the ions are lost to extraction by the applied 20 kHz bias, ion-ion mutual neutralization, recombination and diffusion.
  • a spurt of Cl 2 + ions due to the capacitive ignition is also present.
  • the time of flight (TOF) of the ions through the long quadrupole mass filter shifts the data by approximately 50 microseconds for both Cl + and Cl " .
  • the Cl 2 + spurts are shifted slightly more, due to the larger mass.
  • the present inventors have also explained elsewhere the appearance of Cl 2 + instead of Cl + due to charge exchange reactions.
  • Part (b) of Figure 10 shows the plasma potential as measured by the Langmuir probe.
  • a sinusoidal oscillation of the plasma potential can be seen during the ion-ion plasma phase. This sinusoidal oscillation is due to the applied bias and it allows for the plasma potential to acquire negative values. This means that when a negative voltage is applied to the biased electrode and consequently positive ions are attracted, the plasma in order to eject an equal amount of negative ions to the back electrode (that is at zero potential), acquires a negative value.
  • the substrate may be unsuited for applying a bias through (e.g. quartz photomasks), the substrate can simply be placed on a grounded electrode, and the plasma can be biased by using a suitable conducting probe electrode. The negative excursions of plasma potential due to the application of bias to such an electrode will then allow a flux of negative ions onto the unbiased substrate.
  • a bias through e.g. quartz photomasks
  • the voltage on the mass spectrometer aperture plate is plotted in part (c) of Figure 10.
  • the electron-density near the aperture electrode is plotted in part (e) of Figure 10. Both techniques indicate negligible electron density production due to the bias.
  • the product of the pinhole voltage and plasma current is less than 2 VA, ruling out any significant plasma generation by the bias (see part (d)).
  • the power is deposited into accelerating the ions instead.
  • An asynchronous bias charges the external capacitor negative by biasing during the electron-ion phase of the plasma.
  • This external capacitance is inherent to matching networks or even modern electrostatic chucks that prevent a DC grounding of the substrate. Dielectric buried layers in the substrate can also cause the substrate to be capacitively isolated from ground.
  • the negative charge up of the external capacitor persists during the ion-ion afterglow and repels away negative ions from the ion-ion plasma.
  • the ion-ion plasma is capable of providing equal fluxes of negative and positive ions, lack of phase-lock between the envelopes of modulation the plasma generation and bias power that makes the bias exclusive to the ion-ion plasma can lead to reduction in negative ion extraction efficiency.
  • the disclosed technique of ion-ion synchronous bias reduces the magnitude of negative charge build-up in the series capacitances by allowing the electron-ion phase of the plasma be unbiased (as shown in the bottom part of Figure 11). This minimizes the DC difference between the plasma and substrate potentials, thus allowing equal fluxes of negative and positive ions.
  • Figure 12 shows a simplified example of a two-substrate ion-ion plasma processing system.
  • the bias supply 90 is shown connected to two platens 14a/b through a single matching network 8, but of course the matching network 8 can be configured to provide a phase offset between the two platens if desire.
  • FIG 13 is a block diagram overview of a plasma diagnostic system, showing synchronization relations.
  • a modulation circuit 101 controls the RF power source 100, which is connected through matching network 4 to a drive coil.
  • a drive coil In this example a helical drive coil 3' is used, instead of the planar drive coil 3 of Figure 1.
  • the output of modulation circuit 101 is also used to gate an electron density detector 130, which (through delay circuit 132) activates the substrate bias modulator 91. This accordingly turns on bias power source 90 only when the electron density has become very small.
  • the synchronization relation 10 is achieved without wasting any possible etching time.
  • Figure 14 is a schematic flowchart of a process according to a sample embodiment.
  • the plasma drive power is applied, and at time t j (after the ON period 18) it is ended.
  • a delay 22 is then interposed to achieve an electron-free ion-ion plasma.
  • the substrate power/voltage drive i.e. bias
  • the substrate power/voltage drive is preferably low.
  • the substrate power/voltage drive is turned on, and at time to' (after bias, time period 20) it is turned off. The cycle then begins again as the plasma drive power is reapplied.
  • a method for plasma processing comprising the actions of: generating an electron-free ion-ion plasma in proximity to at least one substrate; and controlling bias to said substrate, at times when said ion-ion plasma is present, to induce bombardment of said substrate by ions of desired polarity and energy, with substantially no electron bombardment.
  • a method for plasma processing comprising the actions of: repeatedly applying power pulses to a chamber, to thereby increase the total ion density inside said chamber; and, AFTER one of said power pulses AND AFTER sufficient time for the free electron density to fall to less than 0.5% of the positive ion density inside said chamber, applying a bias signal to a substrate to induce bombardment of said substrate by negative ions; wherein said sufficient time substantially prevents bombardment of said substrate by free electrons.
  • a method for surface modification by negative ion bombardment comprising the actions of: generating a population of negative ions in proximity to a substrate; and applying a bias signal to induce bombardment of said substrate by said negative ions, but only at times when said negative ions outnumber free electrons by more than 200 to 1 ; whereby self-biasing of said substrate is prevented.
  • a method for plasma processing comprising the actions of: applying power pulses to a chamber, using an intensity modulation waveform, to thereby increase the total ion density in said chamber; and applying a bias signal, whose envelope is synchronized to said modulation waveform, to a substrate; wherein a delay is imposed, between at least some trailing edges of said modulation waveform and respective next leading edges of said bias signal envelope, which is sufficient for the free electron density to fall to less than 0.5% of the negative ion density inside said chamber.
  • a method for plasma processing comprising the actions of: applying power pulses to a chamber at a first frequency, to thereby increase the total ion density in said chamber; and repeatedly applying a bias signal, at a switching frequency which is integrally related to said first frequency, to at least one substrate; wherein a delay is imposed, between at least some trailing edges of said power pulses and respective next leading edges of said bias signal, which is sufficient for the free electron density to fall to less than 0.5 % of the positive ion density inside said chamber.
  • a method for plasma processing comprising the actions of: generating an electron-free ion-ion plasma in proximity to at least first and second substrates; and applying different respective bias signals to said substrates, at times when said ion-ion plasma is present, to induce bombardment of said first substrate by ions of desired chemistry and energy, with substantially no electron bombardment, and to regulate the voltage and/or composition of said plasma by ion bombardment of said second substrate.
  • An ion-ion-plasma processing system comprising: a chamber; circuitry which repeatedly applies power pulses to increase the total ion density of a glow discharge in said chamber; and circuitry which repeatedly applies a bias signal to a substrate, after said first circuitry has completed one of said power pulses and after sufficient time for attachment of free electrons in said glow discharge, to induce bombardment of said substrate by ions and not by electrons.
  • the bias voltage is ramped. Ramped voltage gives constant energy ions (through capacitor). Most semiconductor substrates are processed on a chuck that has voltage applied to it. This voltage is most often applied to the chuck through a capacitor. In fact, the recent use of electrostatic chucks ensures that even conducting substrates have a series capacitor between the bias voltage source and the surface of the wafer. Since the plasma acts somewhat resistive, the series circuit looks similar to a series RC circuit. In this case, the voltage across the sheath can be made approximately constant by appropriately ramping the voltage on the bias voltage source. A constant sheath potential will cause the ions to have a very well defined energy since they will all fall down the same potential hill while heading into the wafer.
  • IEDF Ion Energy Distribution Function
  • the negative ions might physically initiate etching because of their large kinetic energy while the positive ions come in with low kinetic energy and etch in a fashion that is less violent (i.e. more chemically and less physically damaging.)
  • an additional degree of control can be added by driving a second substrate (or electrode) within the chamber.
  • the second substrate can be a second workpiece, or can be a material surface which serves to control the plasma chemistry.
  • the silicon probe would be biased and cause ions to fall onto the processing substrate in addition to sinking many of the Si etching radicals and thereby increasing the oxide to Si selectivity on the substrate.
  • Figure 12 shows one example of such a configuration. (However, those of ordinary skill will recognize that Figure 12 is a very simplified example, which as shown would have poor uniformity.)
  • bias power is merely modulated between nonzero values, rather than being switched on and off.
  • the RF drive power is merely modulated between nonzero values, rather than being switched totally off.
  • bias power is modulated in a more complex waveform, which includes intermediate values as well as on and off.
  • bias power can include a DC component, as well as an AC component which is at a lower frequency than the RF drive.
  • the bias power modulation waveform can include an offset AC component in addition to positive- and negative- going pulses.
  • the bias power modulation waveform can be asymmetrical (although it is preferable to balance positive and negative charge transfer, so that no net charging-up occurs).
  • one contemplated class of embodiments uses phase-locked bias power modulation only during the overetch period of a plasma etching process. This is especially attractive when the layer being etched is conductive. Thus the etch rate can be maximized during the period when conductive material is present to prevent charge-up, while still preventing charge-up during the period when the process is most vulnerable to this.
  • the disclosed innovations are not limited to semiconductor processing, nor even to microfabrication.
  • the disclosed techniques can also be used for bulk plasma processes (e.g. application of optical or superhard or other sophisticated coatings).
  • additional delay can optionally be inserted between the drop in the electron population and the start of the bias pulse, although this will degrade the net efficiency.
  • additional delay can be inserted between the end of a bias pulse and the start of the following power pulse.
  • one contemplated class of embodiments uses synchronized bias power modulation for deposition.
  • one contemplated class of embodiments uses synchronized bias power modulation for plasma implantation.
  • one contemplated class of embodiments uses synchronized bias power modulation whose frequency is harmonically related to the pulse frequency. That is, even though wafer bias drive is preferably phase-locked to the inductively-coupled plasma drive (ICP drive), the frequencies do not have to be the same. For example, if the bias pulses are alternately positive- and negative- going, the frequency of the wafer drive waveform will be one-half that of the ICP drive.
  • ICP drive inductively-coupled plasma drive
  • Inductively-coupled RF drive is used for plasma generation in the presently preferred embodiment, but alternatively other techniques can be used for plasma ignition and generation, including e.g. other RF coupling configurations as well as microwave, optical, or other energy transfer mechanisms.
  • the substrate is preferably unbiased. That is, it can either be grounded or allowed to float.
  • electron attachment can be accelerated, with gas compositions which are not strongly electronegative, by admixing a small fraction of a highly electronegative gas - e.g. admixing 2% Cl 2 into an 0 2 source gas.
  • a highly electronegative gas e.g. admixing 2% Cl 2 into an 0 2 source gas.
  • This is just one of the ways to achieve a gas phase having a high net electron affinity; another technique is to use a gas mixture containing at least one gas which on decomposition produces products with high electron affinities.
  • Another way, of course, is to use a source gas, such as a halogen, which itself has a high electron affinity.
  • the use of an electronegative admixture is a very powerful technique, and offers a chemistry control which is not possible otherwise.
  • any chemistry can be modified by adding small doses of an attaching (electronegative) gas to accelerate attachment of the electrons, and get to the ion-ion phase while the negative ion density is still high.
  • an attaching (electronegative) gas to accelerate attachment of the electrons, and get to the ion-ion phase while the negative ion density is still high.
  • the positive and negative ion identities can be radically different in plasma.
  • the chemistries are not very different (Cl 2 + versus Cl ' ), but in an oxygen-chlorine mix, one might get 0 + or 0 2 + as the positive ions and Cl " as the negative ion.
  • the use of a Faraday Shielded ICP source is believed to combine synergistically with the ion-ion techniques described above.
  • the Faraday Shield helps reduce capacitive voltage coupling to the plasma and thereby causes the plasma potential to be lower on average and to have much smaller swings with respect to ground. This helps reduce the energy of ions bombarding a processing substrate during the active glow and therefore to make the processing during the ion-ion plasma more important to the overall process as well as controllable.
  • a particularly advantageous Faraday Shield design is described in a copending US patent application (docket number UTD-16) of Khater and Overzet, also assigned to the University of Texas at Dallas, and which is hereby incorporated by reference.
  • the synchronous bias power modulation is applied to the counter electrode, and the substrate of interest is allowed to receive ions as ejected by the oscillating plasma potential.
  • the disclosed innovations are particularly useful in connection with plasma processing operations in the semiconductor device manufacturing industry, such as etching, depositing or otherwise modifying (reacting, chemically changing, cleaning, activating etc.) a material surface in proximity with the novel "ion-ion plasma.”
  • This new type of plasma is also known as "electron-free” plasma and generally consists of positive ions and negative ions. (For a convenient quantitative distinction, the electron density is generally at least 200 times smaller than the positive ion density.) The proper use of ion-ion plasma can greatly improve processing results.
  • the RF power drive waveform is phase-locked to the bias waveform, but of course frequency-locking or other synchronization techniques can be used instead.
  • None of the description in the present application should be read as implying that any particular element, step, or function is an essential element which must be included in the claim scope: THE SCOPE OF PATENTED SUBJECT MATTER IS DEFINED ONLY BY THE ALLOWED CLAIMS. Moreover, none of these claims are intended to invoke paragraph six of 35 USC section 112 unless the exact words "means for" are followed by a participle.

Abstract

A system for plasma processing using electron-free ion-ion plasmas, wherein the substrate (14) bias waveform is synched to a pulsed RF drive. A delay (22) is included between the end of an RF drive pulse and the start of a bias pulse, to allow the electron population (29) to drop to approximately zero. By using a source gas mixture which has highly electronegative components, substrate bombardment with negative ions can be achieved.

Description

Ion-Ion Plasma Processing with Bias Modulation
Synchronized to Time-Modulated Discharges
Cross-Reference to Other Application This application claims priority from U.S. application
60/228,705, filed August 29 2000, which is hereby incorporated by reference.
Background and Summary of the Invention
The present invention relates to plasma processing methods and systems, and particularly to processing with electron-free ion-ion plasmas in proximity to a microelectronic wafer surface.
"Plasma" is a state of matter that includes a significant number of free charges (ions and/or electrons). Useful plasmas are often gaseous, but the presence of free charge causes plasmas to behave very differently from neutral gases.
Plasma processing is one of the core technologies of the microelectronics industry. It is used for several functions, e.g. to deposit materials onto substrates, etch material from substrates, and to clean and/or chemically change a surface. Such plasmas are usually plasmas of the "glow discharge" type. (There are many other types of plasmas, ranging over a vast range of density and temperature, which are not relevant to such glow discharge plasmas.)
The plasma is usually formed by applying electromagnetic power to a neutral gas near the material surface (substrate) to be processed. Such a plasma will include free electrons, positive ions, and possibly also (depending on the gases used) a significant fraction of negative ions. The ions and neutral gas molecules can contribute to processing the surface.
The behavior of free electrons in a plasma is very different from that of ions. The basic reason for this is that typical ions have more than 10,000 times as much mass as an electron, while having the same magnitude of charge. (The sign of the ion charge can be either positive or negative.)
One result of this is that the electromagnetic power input to form the plasma is mostly coupled to electrons. These then transfer the energy to ions and molecules in collisions. If the electron population becomes very low, it will become more difficult to couple power into the plasma. Thus, it is difficult to maintain plasma without electrons. Without electrons the positive and negative ions will generally be lost faster than they can be produced, and the plasma will decay (or "quench") to become simply a neutral gas. In practice, useful electron-free ion-ion plasmas cannot presently be generated directly, i.e. cannot be generated without use of an electron-ion plasma at some point. Ion-ion plasmas presently have to be produced as either a spatial or a temporal decay of electron-ion plasma.
Another difference between the behavior of ions and electrons in a plasma is that the velocity of electrons is typically very much larger. Thus, the electron current density is also very much larger.
A further consequence of this is that the potential of the plasma center is ordinarily more positive than that on any surface (or walls) in contact with the plasma. This potential difference between the plasma center and any surface helps prevent further electron escape and promotes positive ion escape. The potential difference is concentrated in a "sheath" region near all surfaces (and walls). Any positive ions that enter the "sheath" region are accelerated directly into the surface with a velocity that is largely perpendicular to the surface. By contrast, the electrons that enter the sheath region are repelled, and the few that make it to the surface will have an isotropic velocity distribution there. This results in a phenomenon commonly called "electron shading."
Electron shading is caused by the different behavior of electrons and ions crossing the sheath. Positive ions obtain a directed motion during their traverse of the sheath, and so relatively more of their positive charge is deposited at the bottom of high aspect ratio features; but electrons retain their isotropic velocity distribution, and so relatively more of their negative charge is deposited near the top of high aspect ratio features. The resulting charge separation is believed to modify the trajectories of subsequent ions, and to cause significant problems such as: lateral etching (notching), trenching, bowing, and dielectric breakdown.
A further consequence of the larger current carrying capability of electrons is the formation of a DC offset voltage on surfaces driven with AC voltages. This DC voltage is called the "DC bias" and forms, on surfaces driven with AC voltage, to ensure equal time-averaged positive and negative charge currents. (That is to say, to ensure that no net charging of the surface occurs during an RF period in quasi-steady state.) It is well known to those skilled in the art and often used to accelerate positive ions into a processing surface. It also repels negative ions and electrons from the processing surface. It is difficult to quickly change the DC-bias once it has formed and consequently, the DC-bias on a wafer surface can often remain for extended periods after the plasma has been extinguished.
Finding a method to bring electrons to the bottom of high-aspect-ratio features could remove this charge imbalance and improve plasma-etch performance. This has been tried with some success. For example, Hashimoto et al., 35 Jpn. J. Appl. Phys., Part 1, 3363 (1996) described a method of bringing cool electrons in the afterglow to a processing surface from an argon plasma. The idea was to allow electrons to cool in the afterglow of a plasma so that the sheath potential and size decreases, the plasma expands closer to the wafer surface and thus better neutralizes the accumulated surface charge from the active glow. Of course, electrons do not etch the surface so etch rates suffer some.
Ion-ion plasmas can be biased to extract either positive or negative ions. The nearly equal masses of positive and negative ions makes for a nearly symmetric current voltage characteristic of this plasma, and easily allows one to invert the ordinary sheath fields thereby accelerating either positive or negative ions into a processing surface. If an alternating current (AC) bias is applied, both positive and negative ions can be accelerated into the surface in an alternating fashion. The result is a process whereby the charge to the surface balances (balanced charge processing) and as a result, the surface is not significantly charged by the impinging ions. This is evidenced by the fact that virtually no DC-bias forms when processing using ion-ion plasma even in highly asymmetric reactors. Alternating fluxes of positive and negative ions is only possible from ion-ion plasma, at present, so biasing during the active glow (the electron-ion plasma phase) doesn't gain one a significant advantage in terms of balancing charge. Indeed, an AC bias on the surface during the electron-ion phase will form a DC bias that will act to prevent balanced charge processing during the ion-ion plasma. This is an important difference between the present invention and the disclosure of Savas described in U.S. Pat. No. 5,983,828. Savas describes using a continuous low frequency substrate bias to alternately accelerate positive and negative ions to the substrate. His description is flawed, however in that it never describes a synchronization of the substrate bias pulsing to the high and low power cycles of the plasma power. As a result, a DC Bias forms when using his method that very effectively prevents negative ions from reaching the processing substrate. The present invention describes a method that prevents a DC Bias from forming and that ensures alternating positive and negative ion bombardment of the substrate with full control over the ion energies.
Some plasma processing units have used "downstream" configurations, in which a plasma discharge is physically separated from the substrate being treated. By placing the substrate downstream from the plasma discharge, with a physical separation of e.g. several tens of centimeters, the gas flow can be given an "afterglow" like condition, i.e. can contain a significant population of negative ions. In such techniques the electron population can be very low at the point where the gas flow encounters the substrate. However, these techniques are different from the ion-ion plasma techniques used in the present application, in that the reduction in electron density is largely due to diffusion rather than attachment. This leads to reduced density of ions at the wafer surface as ions are lost to diffusion as well, which may be undesirable. By contrast, pulsed-plasma afterglow techniques can provide a much higher density of ionized species in proximity to the wafer surface.
Ion-Ion Plasma Processing with Bias Modulation Synchronized to Time-Modulated Discharges
The present application discloses a new approach to plasma processing. Modulated bias voltage is synchronized with pulsed plasma generation, with a time delay during which the electron population falls to an insignificant level. This permits processing with a pure ion-ion plasma.
The disclosed ion-ion systems and methods can produce alternating bombardment of a processing substrate by positive and negative ions, such that the charges of the ions balance and the substrate never endures any significant charge buildup. Such methods, in various embodiments, can be used to process materials with high aspect ratio features without the deleterious "electron shading" effects common in present technology. It can allow the user to vary the surface chemistry in novel and beneficial fashions. It can also provide significantly better control over ion energies.
The disclosed innovations, in various embodiments, provide one or more of at least the following advantages:
• complete control over ion bombardment energy; • reduced risk of charging up;
• maximal etch efficiency, especially in the fraction of available electron-free plasma time during which ion bombardment actually occurs;
• capability to combine positive-ion and negative-ion bombardment; and
• capability to combine maximal etch rate with minimal electron impingement. Brief Description of the Drawing
The disclosed inventions will be described with reference to the accompanying drawings, which show important sample embodiments of the invention and which are incorporated in the specification hereof by reference, wherein:
Figure 1 illustrates a wafer processing system according to a first embodiment of the present invention;
Figure 2 illustrates the timing relationships between the plasma source power-supply output, the substrate voltage waveform and the plasma parameters (electron and ion densities) according to the first embodiment;
Figure 3 illustrates the timing relationships for an alternate substrate voltage waveform at a much lower frequency;
Figure 4 illustrates the timing relationships for an alternate substrate voltage waveform that only attracts negative ions;
Figure 5 illustrates the timing relationships for an alternate substrate voltage waveform that attracts positive and negative ions at nearly constant energies;
Figure 6 illustrates the timing relationships for an alternate substrate voltage waveform that accelerates negative ions to much larger energies than positive ions and positive ions for a longer period of time than negative ions;
Figure 7 illustrates the timing relationships for an alternate substrate voltage waveform that has a triangle wave amplitude modulation during the ion-ion plasma;
Figure 8 illustrates the timing relationships for an alternate substrate ..voltage waveform that attracts negative ions during one ion-ion plasma and positive ions during the next ion-ion plasma period; Figure 9 illustrates the timing relationships for an alternate embodiment in which the plasma power is ramped;
Figure 10 illustrates test results from a specific embodiment of the invention showing alternating fluxes of positive and negative ions; Figure 11 illustrates test results from a specific embodiment of the invention showing how the asynchronous biasing causes a DC bias and prevents negative ions from being used in processing.
Figure 12 shows a simplified example of a two-substrate ion-ion plasma processing system. Figure 13 is a block diagram overview of a plasma diagnostics system.
Figure 14 is a flowchart of a process according to a sample embodiment.
Detailed Description of the Preferred Embodiments
The numerous innovative teachings ofthe present application will be described with particular reference to the presently preferred embodiment (by way of example, and not of limitation). The following description is intended to enable any person skilled in the art to make and use the invention. Any descriptions of specific applications are provided only as examples. Various modifications to the preferred embodiment will be readily apparent to those skilled in the art and the generic principles described herein may be applied to other embodiments and/or applications without departing from the scope of the invention.
Aspects of the present invention provide an improved plasma processing method that, in various embodiments, provide one or more of the following advantages: elimination of substrate charging during processing; improved control over ion energies; the capability to alternate positive-ion and negative-ion bombardment of a substrate; the capability to control surface chemistry through the selection of the positive and negative ion bombardment ratios; and the capability to maximize processing rate while minimizing electron bombardment. The present application discloses a method of processing using ion-ion plasma. Pulsing the power supply used to generate plasma (the plasma source) between high power and low power cycles allows ion-ion plasma to form during the low power cycles. Usually, the low power cycles must involve little or nominally zero power to the plasma source for ion-ion plasma to form. During the low power cycles, the electrons in the plasma attach to electronegative molecules and form negative, ions, producing plasma with ion densities at least 200 times greater than the electron density. Because ofthe small electron density, ions become the primary current carrying particles during the ion-ion plasma. Because positive and negative ions have similar masses, the sheath in front of a processing substrate can be controlled in a fashion not possible while electrons have a larger fractional density in the plasma. In particular, the sheath potential can be made either positive or negative, and can therefore accelerate either negative-ions or positive-ions into the processing substrate with nearly equal efficiency. Further, this sheath potential can be controlled without forming a DC bias, and can be as high as several hundred volts (positive or negative) without destroying the ion-ion plasma through creating electrons. While electrons are present, the sheath will only have a positive potential that accelerates only positive ions into the processing substrate.
The power supply connected to the processing substrate produces a voltage on the substrate used to accelerate ions into the processing surface and not to generate plasma. This power supply is called the substrate voltage supply and it is limited to several hundred volts peak before it begins to generate plasma in addition to accelerating ions. Like the plasma source, it is amplitude modulated to have high power and low power cycles. The amplitude modulation envelope of the substrate voltage supply is synchronized to the amplitude modulation envelope of the plasma source and phase-locked to the ion-ion plasma portion of the afterglow. The high power periods of the substrate voltage supply are limited to the period of time when ion-ion plasma exists by introducing a time delay between when the low power period of the plasma source begins and the high power period of the substrate voltage supply begins. This time delay must be determined for a given plasma condition and is inserted to allow the electron population to reduce to an insignificant level by attachment before the substrate voltage supply goes to high power. This permits processing with pure ion-ion plasma and prevents the formation of any DC bias voltage.
Figure 1 shows a simple example of a plasma reactor 40 in which various disclosed methods can be implemented. A first RF power supply 100 is connected, through matching network 2, to drive a coil 3. The coil 3 is coupled through a window 5 (and optionally a Faraday shield, not shown) to the chamber 7. Source gasses are fed through inlet 6, and exhausted past throttling valve 11 to manifold 12. A second RF power supply, 90 is coupled, through its own matching network 8, to drive the wafer chuck 14, on which a substrate 15 can be positioned for processing.
Figure 2 shows timing relations in a sample embodiment. A pulse of drive power is applied to the coil at time to, to ignite the plasma, and terminated at time tj. (The delay 18, from tO to tl, needs to be enough to achieve reliable ignition and stabilization of the plasma on every cycle.) A delay 22 then follows, from time tj to time t^, while the electron density 29 drops to less than 0.5% of the ion density. (During this time the negative ion density 30 increases, as a result of attachment.) At time t2 an AC bias voltage is applied to substrate 14, to induce ion bombardment and produce the desired surface modifications to the substrate. (Note that since the electron density is essentially zero during this time, the positive and negative ion charge densities will be equal.) Once the ion densities have declined to the point where the desired reaction (etching or other) has slowed or stopped, a new pulse of drive power is applied (at time ). Thus the pulse period is 17, i.e. the time from to to to'. Note also that the duty cycle ofthe power pulses is preferably less than 50%, i.e. off tim 19 is greater than on time 18.
In the example of Figure 2, the AC bias was at a lower frequency than the drive power; Figure 3 shows an example where the frequency of AC bias is lower yet, to the point where only one full cycle of the AC bias signal 27 occurs during the high state of the control pulse 26. Figure 4 shows yet another embodiment, where a DC bias signal
27' (still controlled by the control pulse 26) is used instead of the AC bias signal 27.
Figure 5 shows a different embodiment, where the control pulse 26 gates a square wave signal 27". Figure 6 shows a different embodiment, where the control pulse
26 gates an asymmetrical signal 27'".
Figure 7 shows a different embodiment, where the control pulse 26 gates a ramped bias signal 27A. In this embodiment the time constants are selected so that ramp-down occurs during the duration of the control pulse 26, but of course this can be varied.
Figure 8 shows an embodiment where the polarity of the bias voltage 27B changes with each pulse 26,
Figure 9 shows an embodiment where the power pulses 25 are ramped up and down at each pulse.
Experimental Results
The present inventors have shown that temporally alternating fluxes of positive and negative ions can be brought to a substrate from ion-ion plasmas. This was achieved by applying a low-frequency bias voltage to the input aperture of a mass spectrometer during the afterglow of a Cl2 discharge and observing the positive- and negative-ion signals.
The vacuum chamber consisted of a 10.2 cm (diameter) by 356 cm (length) Pyrex pipe with stainless-steel electrodes on each end. The electrode in front of the mass spectrometer has a 100 micron aperture and is isolated from ground, while the electrode at the back end of the chamber is grounded. A Faraday shielded, 11.4-cm-long, three-turn helical antenna excites a plasma midway between the electrodes. The 13.56 MHz excitation is pulsed at 1 kHz and 50% duty ratio to provide a power of 300 W during the active glow. It produces "on" (also called "activeglow") and "afterglow" times of 500 microseconds. The antennae is connected through a matching networks to an ENI A500 power amplifier. A TREK 601B-4 amplifier fills the 485 microsecond Ion-ion afterglow period with a sinusoidal voltage burst, of 9.5 cycles at 20 kHz and 225 Vp, applied to the mass spectrometer aperture electrode. This voltage is lower than the approximately 400 V required to ignite DC discharges in this reactor. It is what produces the alternate fluxes of positive and negative ions to the aperture electrode. Similar results were obtained with much smaller amplitude bias voltages (5 Vp).
The plasmas were formed in Cl2 at 1 mTorr and 5 seem, and were probed by a variety of diagnostics in addition to mass spectroscopy. Two diagnostics of interest were a time-resolved Langmuir probe and a 8.6 GHz microwave interferometer placed adjacent to the aperture electrode. The interferometer can detect
Figure imgf000015_0001
to a density of 108 cm3. A nickel-coated aluminum strap attached to the back electrode runs along the length of the tube to provide ground reference for the Langmuir-probe measurements. It stops 8.5 cm from the mass spectrometer. The interferometer horns are positioned between the biased electrode and the ground strap to detect any electrons possibly generated by the low-frequency bias.
Figure 10 is a composite of several plots showing experimental results. Experimental evidence that temporally alternating fluxes of positive and negative ions can be brought to the mass spectrometer's aperture electrode is shown in parts (a) and (b). There, the signals of positive ions (Cl2 +, Cl+) and negative ions (Cl") measured using the mass spectrometer have been plotted as a function of time. Alternating signals of Cl2 + and Cl" are observed during the afterglow corresponding to the appropriate phases of the aperture electrode bias.
After plasma turn off and a short delay, the first spurt of Cl" negative ions is measured by the mass spectrometer. In addition to the initial spike of Cl", nine spurts (labelled A-I) were observed, corresponding to the nine positive half cycles of bias. Interlaced spurts of Cl2 +, one for each of the ten negative half cycles (1-10) are also observed. The successive peaks of both polarity ions gently decay in time as the ions are lost to extraction by the applied 20 kHz bias, ion-ion mutual neutralization, recombination and diffusion. A spurt of Cl2 + ions due to the capacitive ignition is also present.
Note the two non-idealities in part (a) of Figure 10. First, the different transmission efficiencies for positive and negative ions of the mass spectrometer lead to a factor of 1000 difference in the Cl2 + and Cl" counts, even though equal positive- and negative-ion fluxes are expected. (The ion currents to the aperture electrode are equal and opposite.) Since the mass spectrometer is pumped to 10"8 Torr, this disparity does not seem attributable to a "lifetime" of positive ions during flight. It may be caused by differences in the ion lensing by the pinhole aperture, or in the channeltron sensitivity to the two ions. Second, the time of flight (TOF) of the ions through the long quadrupole mass filter shifts the data by approximately 50 microseconds for both Cl+ and Cl". The Cl2 + spurts are shifted slightly more, due to the larger mass. The present inventors have also explained elsewhere the appearance of Cl2 + instead of Cl+ due to charge exchange reactions. These instrumental limitations, however, have no bearing on the implementation and advantages of the disclosed innovations.
Part (b) of Figure 10 shows the plasma potential as measured by the Langmuir probe. Apart from the conventional positive plasma potential in the activeglow, a sinusoidal oscillation of the plasma potential can be seen during the ion-ion plasma phase. This sinusoidal oscillation is due to the applied bias and it allows for the plasma potential to acquire negative values. This means that when a negative voltage is applied to the biased electrode and consequently positive ions are attracted, the plasma in order to eject an equal amount of negative ions to the back electrode (that is at zero potential), acquires a negative value.
One application of this is to commercial applications where the substrate may be unsuited for applying a bias through (e.g. quartz photomasks), the substrate can simply be placed on a grounded electrode, and the plasma can be biased by using a suitable conducting probe electrode. The negative excursions of plasma potential due to the application of bias to such an electrode will then allow a flux of negative ions onto the unbiased substrate.
Because of concern over whether the applied bias might generate electrons, the voltage on the mass spectrometer aperture plate is plotted in part (c) of Figure 10. The electron-density near the aperture electrode (measured using the Langmuir probe and microwave interferometer) is plotted in part (e) of Figure 10. Both techniques indicate negligible electron density production due to the bias. Moreover, the product of the pinhole voltage and plasma current (difference between the currents measured with and without plasma) is less than 2 VA, ruling out any significant plasma generation by the bias (see part (d)). The power is deposited into accelerating the ions instead. Finally, the present inventors note that the generation of any electrons by the bias would have likely prevented the negative-ion signal as well. By phase-locking the envelopes of modulation for the plasma generation and bias application, the electron free ion-ion plasma is efficiently utilized. This is evidenced in the results of Figure 11. This figure compares the innovative use of synchronous bias with asynchronous-bias techniques. The top part of this Figure shows that, over a range of bias frequencies (with a constant amplitude of 50V sinusoidal bias), the synchronous mode of bias achieves fewer positive ions extracted to the substrate. (This is expected, since waveforms like those of Figure 2 apply bias over only a fraction of the pulse period.) However, the middle part of Figure 11 shows that the synchronous bias technique extracts negative ions more efficiently and over a broader band of frequencies. An asynchronous bias charges the external capacitor negative by biasing during the electron-ion phase of the plasma. This external capacitance is inherent to matching networks or even modern electrostatic chucks that prevent a DC grounding of the substrate. Dielectric buried layers in the substrate can also cause the substrate to be capacitively isolated from ground. The negative charge up of the external capacitor persists during the ion-ion afterglow and repels away negative ions from the ion-ion plasma. Hence although the ion-ion plasma is capable of providing equal fluxes of negative and positive ions, lack of phase-lock between the envelopes of modulation the plasma generation and bias power that makes the bias exclusive to the ion-ion plasma can lead to reduction in negative ion extraction efficiency. The disclosed technique of ion-ion synchronous bias reduces the magnitude of negative charge build-up in the series capacitances by allowing the electron-ion phase of the plasma be unbiased (as shown in the bottom part of Figure 11). This minimizes the DC difference between the plasma and substrate potentials, thus allowing equal fluxes of negative and positive ions.
Figure 12 shows a simplified example of a two-substrate ion-ion plasma processing system. In this example the bias supply 90 is shown connected to two platens 14a/b through a single matching network 8, but of course the matching network 8 can be configured to provide a phase offset between the two platens if desire.
Figure 13 is a block diagram overview of a plasma diagnostic system, showing synchronization relations. In this sample embodiment a modulation circuit 101 controls the RF power source 100, which is connected through matching network 4 to a drive coil. (In this example a helical drive coil 3' is used, instead of the planar drive coil 3 of Figure 1.) The output of modulation circuit 101 is also used to gate an electron density detector 130, which (through delay circuit 132) activates the substrate bias modulator 91. This accordingly turns on bias power source 90 only when the electron density has become very small. Thus the synchronization relation 10 is achieved without wasting any possible etching time. Figure 14 is a schematic flowchart of a process according to a sample embodiment. At time ^ the plasma drive power is applied, and at time tj (after the ON period 18) it is ended. A delay 22 is then interposed to achieve an electron-free ion-ion plasma. During all this time the substrate power/voltage drive (i.e. bias) is preferably low. At time X_ the substrate power/voltage drive is turned on, and at time to' (after bias, time period 20) it is turned off. The cycle then begins again as the plasma drive power is reapplied. According to a disclosed class of innovative embodiments, there is provided: A method for plasma processing, comprising the actions of: generating an electron-free ion-ion plasma in proximity to at least one substrate; and controlling bias to said substrate, at times when said ion-ion plasma is present, to induce bombardment of said substrate by ions of desired polarity and energy, with substantially no electron bombardment.
According to another disclosed class of innovative embodiments, there is provided: A method for plasma processing, comprising the actions of: repeatedly applying power pulses to a chamber, to thereby increase the total ion density inside said chamber; and, AFTER one of said power pulses AND AFTER sufficient time for the free electron density to fall to less than 0.5% of the positive ion density inside said chamber, applying a bias signal to a substrate to induce bombardment of said substrate by negative ions; wherein said sufficient time substantially prevents bombardment of said substrate by free electrons.
According to another disclosed class of innovative embodiments, there is provided: A method for surface modification by negative ion bombardment, comprising the actions of: generating a population of negative ions in proximity to a substrate; and applying a bias signal to induce bombardment of said substrate by said negative ions, but only at times when said negative ions outnumber free electrons by more than 200 to 1 ; whereby self-biasing of said substrate is prevented.
According to another disclosed class of innovative embodiments, there is provided: A method for plasma processing, comprising the actions of: applying power pulses to a chamber, using an intensity modulation waveform, to thereby increase the total ion density in said chamber; and applying a bias signal, whose envelope is synchronized to said modulation waveform, to a substrate; wherein a delay is imposed, between at least some trailing edges of said modulation waveform and respective next leading edges of said bias signal envelope, which is sufficient for the free electron density to fall to less than 0.5% of the negative ion density inside said chamber. According to another disclosed class of innovative embodiments, there is provided: A method for plasma processing, comprising the actions of: applying power pulses to a chamber at a first frequency, to thereby increase the total ion density in said chamber; and repeatedly applying a bias signal, at a switching frequency which is integrally related to said first frequency, to at least one substrate; wherein a delay is imposed, between at least some trailing edges of said power pulses and respective next leading edges of said bias signal, which is sufficient for the free electron density to fall to less than 0.5 % of the positive ion density inside said chamber. According to another disclosed class of innovative embodiments, there is provided: A method for plasma processing, comprising the actions of: generating an electron-free ion-ion plasma in proximity to at least first and second substrates; and applying different respective bias signals to said substrates, at times when said ion-ion plasma is present, to induce bombardment of said first substrate by ions of desired chemistry and energy, with substantially no electron bombardment, and to regulate the voltage and/or composition of said plasma by ion bombardment of said second substrate.
According to another disclosed class of innovative embodiments,
there is provided: An ion-ion-plasma processing system, comprising: a chamber; circuitry which repeatedly applies power pulses to increase the total ion density of a glow discharge in said chamber; and circuitry which repeatedly applies a bias signal to a substrate, after said first circuitry has completed one of said power pulses and after sufficient time for attachment of free electrons in said glow discharge, to induce bombardment of said substrate by ions and not by electrons.
Modifications and Variations
As will be recognized by those skilled in the art, the innovative concepts described in the present application can be modified and varied over a tremendous range of applications, and accordingly the scope of patented subject matter is not limited by any of the specific exemplary teachings given.
In one class of embodiments the bias voltage is ramped. Ramped voltage gives constant energy ions (through capacitor). Most semiconductor substrates are processed on a chuck that has voltage applied to it. This voltage is most often applied to the chuck through a capacitor. In fact, the recent use of electrostatic chucks ensures that even conducting substrates have a series capacitor between the bias voltage source and the surface of the wafer. Since the plasma acts somewhat resistive, the series circuit looks similar to a series RC circuit. In this case, the voltage across the sheath can be made approximately constant by appropriately ramping the voltage on the bias voltage source. A constant sheath potential will cause the ions to have a very well defined energy since they will all fall down the same potential hill while heading into the wafer.
A particular advantage of the disclosed innovations is IEDF (Ion Energy Distribution Function) control. It is possible with this technique to control the IEDF in ways that are difficult using ordinary plasma. One can, for example, modulate the amplitude of the RF bias voltage during the ion-ion plasma, to bring in ions with low energy at the start of the ion-ion plasma phase and with large energy later as shown in Figure 7. On the other hand, one can also bias the surface at a large positive voltage to bring in high kinetic energy negative ions and at a small negative voltage to bring in low energy positive ions as in Figure 6. Then the negative ions might physically initiate etching because of their large kinetic energy while the positive ions come in with low kinetic energy and etch in a fashion that is less violent (i.e. more chemically and less physically damaging.)
In a further contemplated class of embodiments, an additional degree of control can be added by driving a second substrate (or electrode) within the chamber. The second substrate can be a second workpiece, or can be a material surface which serves to control the plasma chemistry. (A particularly attractive example is a sacrificial silicon wafer, in combination with etching of Si02.) The silicon probe would be biased and cause ions to fall onto the processing substrate in addition to sinking many of the Si etching radicals and thereby increasing the oxide to Si selectivity on the substrate.) Figure 12 shows one example of such a configuration. (However, those of ordinary skill will recognize that Figure 12 is a very simplified example, which as shown would have poor uniformity.)
For example, in one contemplated class of embodiments, bias power is merely modulated between nonzero values, rather than being switched on and off.
For example, in an alternative and less preferably contemplated modification, the RF drive power is merely modulated between nonzero values, rather than being switched totally off. For example, in another contemplated class of embodiments, bias power is modulated in a more complex waveform, which includes intermediate values as well as on and off.
For another example, bias power can include a DC component, as well as an AC component which is at a lower frequency than the RF drive.
For another example, the bias power modulation waveform can include an offset AC component in addition to positive- and negative- going pulses. For another example, the bias power modulation waveform can be asymmetrical (although it is preferable to balance positive and negative charge transfer, so that no net charging-up occurs).
For another example, one contemplated class of embodiments uses phase-locked bias power modulation only during the overetch period of a plasma etching process.. This is especially attractive when the layer being etched is conductive. Thus the etch rate can be maximized during the period when conductive material is present to prevent charge-up, while still preventing charge-up during the period when the process is most vulnerable to this. Note that the disclosed innovations are not limited to semiconductor processing, nor even to microfabrication. The disclosed techniques can also be used for bulk plasma processes (e.g. application of optical or superhard or other sophisticated coatings).
In another alternative, additional delay can optionally be inserted between the drop in the electron population and the start of the bias pulse, although this will degrade the net efficiency. Similarly, additional delay can be inserted between the end of a bias pulse and the start of the following power pulse.
For another example, one contemplated class of embodiments uses synchronized bias power modulation for deposition.
For another example, one contemplated class of embodiments uses synchronized bias power modulation for plasma implantation.
For another example, one contemplated class of embodiments uses synchronized bias power modulation whose frequency is harmonically related to the pulse frequency. That is, even though wafer bias drive is preferably phase-locked to the inductively-coupled plasma drive (ICP drive), the frequencies do not have to be the same. For example, if the bias pulses are alternately positive- and negative- going, the frequency of the wafer drive waveform will be one-half that of the ICP drive.
Inductively-coupled RF drive is used for plasma generation in the presently preferred embodiment, but alternatively other techniques can be used for plasma ignition and generation, including e.g. other RF coupling configurations as well as microwave, optical, or other energy transfer mechanisms.
During the electron-ion plasma phase (during which bombardment is not particularly desirable, due to the problems discussed in the Background section), the substrate is preferably unbiased. That is, it can either be grounded or allowed to float.
In a further class of alternatives, electron attachment can be accelerated, with gas compositions which are not strongly electronegative, by admixing a small fraction of a highly electronegative gas - e.g. admixing 2% Cl2 into an 02 source gas. This is just one of the ways to achieve a gas phase having a high net electron affinity; another technique is to use a gas mixture containing at least one gas which on decomposition produces products with high electron affinities. Another way, of course, is to use a source gas, such as a halogen, which itself has a high electron affinity. The use of an electronegative admixture is a very powerful technique, and offers a chemistry control which is not possible otherwise. Almost any chemistry can be modified by adding small doses of an attaching (electronegative) gas to accelerate attachment of the electrons, and get to the ion-ion phase while the negative ion density is still high. Note particularly that the positive and negative ion identities can be radically different in plasma. In a Cl2-source plasma the chemistries are not very different (Cl2 + versus Cl'), but in an oxygen-chlorine mix, one might get 0+ or 02 + as the positive ions and Cl" as the negative ion. These very different chemistries can be used to adjust chemistry IN REAL TIME: by using asymmetrical voltages for the positive and negative bias pulses, one can decide almost on the fly whether it is time to oxidize the surface more or chlorinate it. This provides a huge new capability in plasma control, which cannot be changed otherwise than by gas flow changes conventionally. This can be particularly advantageous in combination with real-time and/or in- situ plasma monitoring techniques.
In another class of contemplated embodiments, the use of a Faraday Shielded ICP source is believed to combine synergistically with the ion-ion techniques described above. The Faraday Shield helps reduce capacitive voltage coupling to the plasma and thereby causes the plasma potential to be lower on average and to have much smaller swings with respect to ground. This helps reduce the energy of ions bombarding a processing substrate during the active glow and therefore to make the processing during the ion-ion plasma more important to the overall process as well as controllable. A particularly advantageous Faraday Shield design is described in a copending US patent application (docket number UTD-16) of Khater and Overzet, also assigned to the University of Texas at Dallas, and which is hereby incorporated by reference.
In another class of examples, the synchronous bias power modulation is applied to the counter electrode, and the substrate of interest is allowed to receive ions as ejected by the oscillating plasma potential.
Without limiting the scope of the invention, the disclosed innovations are particularly useful in connection with plasma processing operations in the semiconductor device manufacturing industry, such as etching, depositing or otherwise modifying (reacting, chemically changing, cleaning, activating etc.) a material surface in proximity with the novel "ion-ion plasma." This new type of plasma is also known as "electron-free" plasma and generally consists of positive ions and negative ions. (For a convenient quantitative distinction, the electron density is generally at least 200 times smaller than the positive ion density.) The proper use of ion-ion plasma can greatly improve processing results.
Preferably the RF power drive waveform is phase-locked to the bias waveform, but of course frequency-locking or other synchronization techniques can be used instead. None of the description in the present application should be read as implying that any particular element, step, or function is an essential element which must be included in the claim scope: THE SCOPE OF PATENTED SUBJECT MATTER IS DEFINED ONLY BY THE ALLOWED CLAIMS. Moreover, none of these claims are intended to invoke paragraph six of 35 USC section 112 unless the exact words "means for" are followed by a participle.

Claims

CLAIMSWhat is claimed is:
1. A method for plasma processing, comprising the actions of:
(a.) generating an electron-free ion-ion plasma in proximity to at least one substrate; and (b.) controlling bias to said substrate, at times when said ion-ion plasma is present, to induce bombardment of said substrate by ions of desired polarity and energy, with substantially no electron bombardment.
2. The method of Claim 1, wherein said chamber contains a gas phase having high net electron affinity.
3. The method of Claim 1, wherein said bias signal controls an AC bias.
4. A method for plasma processing, comprising the actions of:
(a.) repeatedly applying power pulses to a chamber, to thereby increase the total ion density inside said chamber;
(b.) and, AFTER one of said power pulses AND AFTER sufficient time for the electron density to drop to less than 1 /200th of the positive ion density, applying a bias signal to a substrate to induce ion bombardment of said substrate; wherein said sufficient time substantially prevents bombardment of said substrate by free electrons during said action (b.).
5. The method of Claim 4, wherein said chamber contains a gas phase having high net electron affinity.
6. The method of Claim 4, wherein said pulses oscillate between a maximum level of power which increases plasma density and a nonzero minimum level which allows electron attachment.
7. The method of Claim 4, wherein said bias signal is modulated at a frequency which is integrally related to the switching frequency of said power pulses.
8. The method of Claim 4, wherein said power pulses are pulses of RF power.
9. The method of Claim 4, wherein said bias voltage is applied with both positive and negative polarities, to induce bombardment at different times with both negative and positive ions.
10. The method of Claim 4, wherein said power pulses are pulses of
RF power.
11. The method of Claim 4, wherein said power pulses are pulses of
RF power, and wherein said bias signal controls AC bias at a frequency lower than said RF power in said power pulses.
12. The method of Claim 4, wherein said bias signal is phase-locked to said power pulses.
13. The method of Claim 4, wherein said bias signal controls an AC bias.
14. A method for surface modification by negative ion bombardment, comprising the actions of: (a. )_ generating a population of negative ions in proximity to a substrate; and (b.) applying a bias signal to induce bombardment of said substrate by said negative ions, but only at times when said negative ions outnumber free electrons by more than 200 to 1; whereby self-biasing of said substrate is prevented.
15. The method of Claim 14, wherein said chamber contains a gas phase having high net electron affinity.
16. The method of Claim 14, wherein said bias voltage is applied with both positive and negative polarities, to induce bombardment at different times with both negative and positive ions.
17. The method of Claim 14, wherein said power pulses are pulses of
RF power.
18. The method of Claim 14, wherein said bias signal controls an AC bias.
19. A method for plasma processing, comprising the actions of:
(a.) applying power pulses to a chamber, using an intensity modulation waveform, to thereby increase the total ion density in said chamber; (b.) and applying a bias signal, whose envelope is synchronized to said modulation waveform, to a substrate; wherein a delay is imposed, between at least some trailing edges of said modulation waveform and respective next leading edges of said bias signal envelope, which is sufficient for the free electron density to fall to less than 0.5% of the positive ion density inside said chamber.
20. The method of Claim 19, wherein said chamber contains a gas phase having high net electron affinity.
■21. The method of Claim 19, wherein said pulses oscillate between a maximum level of power which increases plasma density and a nonzero minimum level which allows electron attachment.
22. The method of Claim 19, wherein said bias signal is modulated at a frequency which is integrally related to the switching frequency of said power pulses.
23. The method of Claim 19, wherein said power pulses are pulses of
RF power.
24. The method of Claim 19, wherein said bias voltage is applied with both positive and negative polarities, to induce bombardment at different times with both negative and positive ions.
25. The method of Claim 19, wherein said power pulses are pulses of
RF power.
26. The method of Claim 19, wherein said power pulses are pulses of
RF power, and wherein said bias signal controls AC bias at a frequency lower than said RF power in said power pulses.
27. The method of Claim 19, wherein said bias signal is phase-locked to said power pulses.
28. The method of Claim 19, wherein said bias signal controls an AC bias.
29. A method for plasma processing, comprising the actions of:
(a.) applying power pulses to a chamber at a first frequency, to thereby increase the total ion density in said chamber;
(b.) and repeatedly applying a bias signal, at a switching frequency which is integrally related to said first frequency, to at least one substrate; wherein a delay is imposed, between at least some trailing edges of said power pulses and respective next leading edges of said bias signal, which is sufficient for the free electron density to fall to less than 0.5% of the positive ion density inside said chamber.
30. The method of Claim 29, wherein said chamber contains a gas phase having high net electron affinity.
31. A method for plasma processing, comprising the actions of:
(a.) generating an electron-free ion-ion plasma in proximity to at least first and second substrates; and (b.) applying different respective bias signals to said substrates, at times when said ion-ion plasma is present, to induce bombardment of said first substrate by ions of desired chemistry and energy, with substantially no electron bombardment, and to regulate the voltage and/or composition of said plasma by ion bombardment of said second substrate.
32. The method of Claim 31, wherein said chamber contains a gas phase having high net electron affinity.
33. An ion-ion-plasma processing system, comprising: a chamber; circuitry which repeatedly applies power pulses to increase the total ion density of a glow discharge in said chamber; and circuitry which repeatedly applies a bias signal to a substrate, after said first circuitry has completed one of said power pulses and after sufficient time for attachment of free electrons in said glow discharge, to induce bombardment of said substrate by ions and not by electrons.
PCT/US2001/026344 2000-08-29 2001-08-23 Ion-ion plasma processing with bias modulation sychronized to time-modulated discharges WO2002019395A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2001288362A AU2001288362A1 (en) 2000-08-29 2001-08-23 Ion-ion plasma processing with bias modulation sychronized to time-modulated discharges

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US22870500P 2000-08-29 2000-08-29
US60/228,705 2000-08-29
US09/820,244 US6875700B2 (en) 2000-08-29 2001-03-28 Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US09/820,244 2001-03-28

Publications (1)

Publication Number Publication Date
WO2002019395A1 true WO2002019395A1 (en) 2002-03-07

Family

ID=26922585

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/026344 WO2002019395A1 (en) 2000-08-29 2001-08-23 Ion-ion plasma processing with bias modulation sychronized to time-modulated discharges

Country Status (4)

Country Link
US (1) US6875700B2 (en)
AU (1) AU2001288362A1 (en)
TW (1) TW514967B (en)
WO (1) WO2002019395A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757771B (en) * 2019-06-26 2022-03-11 日商住友重機械工業股份有限公司 Negative ion irradiation device

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003166047A (en) * 2001-09-20 2003-06-13 Shin Meiwa Ind Co Ltd Method and apparatus for forming film of halogen compound, and magnesium fluoride film
USH2212H1 (en) * 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
US7078317B2 (en) * 2004-08-06 2006-07-18 Silicon Genesis Corporation Method and system for source switching and in-situ plasma bonding
US9997338B2 (en) * 2005-03-24 2018-06-12 Oerlikon Surface Solutions Ag, Pfäffikon Method for operating a pulsed arc source
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7842135B2 (en) * 2006-01-09 2010-11-30 Aixtron Ag Equipment innovations for nano-technology aquipment, especially for plasma growth chambers of carbon nanotube and nanowire
US20080087539A1 (en) * 2006-10-16 2008-04-17 Walton Scott G Apparatus and Method for Materials Processing with Ion-Ion Plasma
US8381677B2 (en) * 2006-12-20 2013-02-26 Applied Materials, Inc. Prevention of film deposition on PECVD process chamber wall
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) * 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) * 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US10566169B1 (en) * 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
JP5395491B2 (en) * 2009-03-31 2014-01-22 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP2011211168A (en) * 2010-03-09 2011-10-20 Toshiba Corp Method for manufacturing semiconductor device and semiconductor manufacturing apparatus
US8877654B2 (en) 2010-04-15 2014-11-04 Varian Semiconductor Equipment Associates, Inc. Pulsed plasma to affect conformal processing
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
KR102025540B1 (en) * 2012-08-28 2019-09-26 에이이에스 글로벌 홀딩스 피티이 리미티드 Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and pulsed bias supply; and a virtual front panel
CN103035470B (en) * 2012-12-14 2016-02-17 中微半导体设备(上海)有限公司 Semiconductor etching apparatus and semiconductor etching method
US9783884B2 (en) * 2013-03-14 2017-10-10 Varian Semiconductor Equipment Associates, Inc. Method for implementing low dose implant in a plasma system
JP2015032779A (en) * 2013-08-06 2015-02-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
US9734991B2 (en) * 2015-07-28 2017-08-15 Varian Semiconductor Equipment Associates, Inc. Negative ribbon ion beams from pulsed plasmas
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US11417501B2 (en) * 2015-09-29 2022-08-16 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
US10340123B2 (en) * 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
US10312048B2 (en) * 2016-12-12 2019-06-04 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP7210094B2 (en) * 2017-11-16 2023-01-23 東京エレクトロン株式会社 Signal-modulated synchronous plasma processing system
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN116844934A (en) 2019-02-05 2023-10-03 东京毅力科创株式会社 Plasma processing apparatus
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
JP7349910B2 (en) * 2019-12-27 2023-09-25 住友重機械工業株式会社 Negative ion generation device and negative ion generation method
US20220044930A1 (en) * 2020-08-06 2022-02-10 Applied Materials, Inc. Pulsed-plasma deposition of thin film layers
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5827435A (en) * 1994-10-27 1998-10-27 Nec Corporation Plasma processing method and equipment used therefor

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747922A (en) * 1986-03-25 1988-05-31 The United States Of America As Represented By The United States Department Of Energy Confined ion beam sputtering device and method
US4963239A (en) * 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US5022958A (en) * 1990-06-27 1991-06-11 At&T Bell Laboratories Method of etching for integrated circuits with planarized dielectric
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
EP0574100B1 (en) * 1992-04-16 1999-05-12 Mitsubishi Jukogyo Kabushiki Kaisha Plasma CVD method and apparatus therefor
JP2941572B2 (en) * 1992-08-11 1999-08-25 三菱電機株式会社 Plasma etching apparatus and method for manufacturing semiconductor device
US5580429A (en) * 1992-08-25 1996-12-03 Northeastern University Method for the deposition and modification of thin films using a combination of vacuum arcs and plasma immersion ion implantation
US5330800A (en) * 1992-11-04 1994-07-19 Hughes Aircraft Company High impedance plasma ion implantation method and apparatus
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5744011A (en) * 1993-03-18 1998-04-28 Kabushiki Kaisha Toshiba Sputtering apparatus and sputtering method
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5580419A (en) * 1994-03-23 1996-12-03 Trw Inc. Process of making semiconductor device using focused ion beam for resistless in situ etching, deposition, and nucleation
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5430328A (en) * 1994-05-31 1995-07-04 United Microelectronics Corporation Process for self-align contact
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP3483327B2 (en) * 1994-11-29 2004-01-06 アネルバ株式会社 Plasma processing method
US5554853A (en) * 1995-03-10 1996-09-10 Krytek Corporation Producing ion beams suitable for ion implantation and improved ion implantation apparatus and techniques
US5728261A (en) * 1995-05-26 1998-03-17 University Of Houston Magnetically enhanced radio frequency reactive ion etching method and apparatus
US5650032A (en) * 1995-06-06 1997-07-22 International Business Machines Corporation Apparatus for producing an inductive plasma for plasma processes
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5783102A (en) * 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5968377A (en) * 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5868897A (en) * 1996-07-31 1999-02-09 Toyo Technologies, Inc. Device and method for processing a plasma to alter the surface of a substrate using neutrals
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6335535B1 (en) * 1998-06-26 2002-01-01 Nissin Electric Co., Ltd Method for implanting negative hydrogen ion and implanting apparatus
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5827435A (en) * 1994-10-27 1998-10-27 Nec Corporation Plasma processing method and equipment used therefor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757771B (en) * 2019-06-26 2022-03-11 日商住友重機械工業股份有限公司 Negative ion irradiation device
US11694877B2 (en) 2019-06-26 2023-07-04 Sumitomo Heavy Industries, Ltd. Negative ion irradiation device

Also Published As

Publication number Publication date
AU2001288362A1 (en) 2002-03-13
US20020139658A1 (en) 2002-10-03
TW514967B (en) 2002-12-21
US6875700B2 (en) 2005-04-05

Similar Documents

Publication Publication Date Title
US6875700B2 (en) Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
TWI356452B (en) Plasma control using dual cathode frequency mixing
US5827435A (en) Plasma processing method and equipment used therefor
US9309594B2 (en) System, method and apparatus for controlling ion energy distribution of a projected plasma
US6849857B2 (en) Beam processing apparatus
US6805779B2 (en) Plasma generation using multi-step ionization
US6471821B2 (en) Plasma reactor and method
US6633017B1 (en) System for plasma ignition by fast voltage rise
US7029594B2 (en) Plasma processing method
Kanakasabapathy et al. Alternating fluxes of positive and negative ions from an ion–ion plasma
Maeshige et al. Functional design of a pulsed two-frequency capacitively coupled plasma in CF 4/Ar for SiO 2 etching
US20130001196A1 (en) Projected Plasma Source
WO2002078041A2 (en) Neutral particle beam processing apparatus
US20040094400A1 (en) Method of processing a surface of a workpiece
US7851368B2 (en) Methods and apparatus for igniting a low pressure plasma
JPH06267900A (en) Method and device for plasma etching
TW202143285A (en) Methods of plasma processing using a pulsed electron beam
Rossnagel Glow discharge plasmas and sources for etching and deposition
Dunaevsky et al. Ferroelectric plasma cathode with a control grid
US11942307B2 (en) Plasma processing with radio frequency (RF) source and bias signal waveforms
US11915910B2 (en) Fast neutral generation for plasma processing
JP4763236B2 (en) Method for etching a pattern in an etching body using plasma
JPH08241885A (en) Method and apparatus for surface treating
US20080087539A1 (en) Apparatus and Method for Materials Processing with Ion-Ion Plasma
Kroesen et al. Ion Energy Distributions

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP