WO2002101468A3 - Design and layout of phase shifting photolithographic masks - Google Patents

Design and layout of phase shifting photolithographic masks Download PDF

Info

Publication number
WO2002101468A3
WO2002101468A3 PCT/US2002/017668 US0217668W WO02101468A3 WO 2002101468 A3 WO2002101468 A3 WO 2002101468A3 US 0217668 W US0217668 W US 0217668W WO 02101468 A3 WO02101468 A3 WO 02101468A3
Authority
WO
WIPO (PCT)
Prior art keywords
phase
mask
layer
layout
phase shifting
Prior art date
Application number
PCT/US2002/017668
Other languages
French (fr)
Other versions
WO2002101468A2 (en
Inventor
Michel Luc Cote
Christophe Pierrat
Original Assignee
Numerical Tech Inc
Michel Luc Cote
Christophe Pierrat
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Numerical Tech Inc, Michel Luc Cote, Christophe Pierrat filed Critical Numerical Tech Inc
Priority to EP02778939.5A priority Critical patent/EP1393130B1/en
Priority to AU2002349082A priority patent/AU2002349082A1/en
Priority to JP2003504166A priority patent/JP4351906B2/en
Publication of WO2002101468A2 publication Critical patent/WO2002101468A2/en
Publication of WO2002101468A3 publication Critical patent/WO2002101468A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose

Abstract

A method for defining a full phase layout for defining a layer of material in an integrated circuit is described. The method can be used to define, arrange, and refine phase shifters to substantially define the layer using phase shifting. Through the process, computer readable definitions of an alternating aperture, dark field phase shift mask and of a complimentary mask are generated. Masks can be made from the definition and then used to fabricate a layer of material in an integrated circuit. The separations between phase shifters, or cuts, are designed for easy mask manufacturability while also maximizing the amount of each feature defined by the phase shifting mask. Cost functions are used to describe the relative quality of phase assignments and to select higher quality phase assignments and reduce phase conflicts.
PCT/US2002/017668 2001-06-08 2002-06-07 Design and layout of phase shifting photolithographic masks WO2002101468A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP02778939.5A EP1393130B1 (en) 2001-06-08 2002-06-07 Design and layout of phase shifting photolithographic masks
AU2002349082A AU2002349082A1 (en) 2001-06-08 2002-06-07 Design and layout of phase shifting photolithographic masks
JP2003504166A JP4351906B2 (en) 2001-06-08 2002-06-07 Phase shift photolithographic mask layout and design

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US29678801P 2001-06-08 2001-06-08
US60/296,788 2001-06-08
US30414201P 2001-07-10 2001-07-10
US60/304,142 2001-07-10
US32568901P 2001-09-28 2001-09-28
US60/325,689 2001-09-28
US10/085,759 US6787271B2 (en) 2000-07-05 2002-02-28 Design and layout of phase shifting photolithographic masks
US10/085,759 2002-02-28

Publications (2)

Publication Number Publication Date
WO2002101468A2 WO2002101468A2 (en) 2002-12-19
WO2002101468A3 true WO2002101468A3 (en) 2003-11-13

Family

ID=27491982

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/017668 WO2002101468A2 (en) 2001-06-08 2002-06-07 Design and layout of phase shifting photolithographic masks

Country Status (6)

Country Link
US (7) US6787271B2 (en)
EP (1) EP1393130B1 (en)
JP (1) JP4351906B2 (en)
CN (1) CN1524199A (en)
AU (1) AU2002349082A1 (en)
WO (1) WO2002101468A2 (en)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US7083879B2 (en) 2001-06-08 2006-08-01 Synopsys, Inc. Phase conflict resolution for photolithographic masks
US6866971B2 (en) 2000-09-26 2005-03-15 Synopsys, Inc. Full phase shifting mask in damascene process
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6852471B2 (en) 2001-06-08 2005-02-08 Numerical Technologies, Inc. Exposure control for phase shifting photolithographic masks
US7178128B2 (en) * 2001-07-13 2007-02-13 Synopsys Inc. Alternating phase shift mask design conflict resolution
US6664009B2 (en) 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges
US6738958B2 (en) 2001-09-10 2004-05-18 Numerical Technologies, Inc. Modifying a hierarchical representation of a circuit to process composite gates
US6698007B2 (en) 2001-10-09 2004-02-24 Numerical Technologies, Inc. Method and apparatus for resolving coloring conflicts between phase shifters
US7122281B2 (en) 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
US6605481B1 (en) 2002-03-08 2003-08-12 Numerical Technologies, Inc. Facilitating an adjustable level of phase shifting during an optical lithography process for manufacturing an integrated circuit
SG125911A1 (en) * 2002-03-25 2006-10-30 Asml Masktools Bv Method and apparatus for decomposing semiconductordevice patterns into phase and chrome regions for chromeless phase lithography
US6704921B2 (en) 2002-04-03 2004-03-09 Numerical Technologies, Inc. Automated flow in PSM phase assignment
US6875624B2 (en) * 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
DE10224953B4 (en) * 2002-06-05 2005-06-16 Infineon Technologies Ag Method for eliminating phase conflict centers in alternating phase masks and method for producing alternating phase masks
US6785879B2 (en) * 2002-06-11 2004-08-31 Numerical Technologies, Inc. Model-based data conversion
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004008245A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
WO2004008246A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US6821689B2 (en) 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
KR100462887B1 (en) * 2002-10-22 2004-12-17 삼성전자주식회사 A phase edge phase shift mask enforcing a field gate image and a fabrication method thereof
EP1439420A1 (en) 2003-01-14 2004-07-21 ASML Masktools B.V. Simulation based method of optical proximity correction design for contact hole mask
CN100468196C (en) * 2003-01-14 2009-03-11 Asml蒙片工具有限公司 Method and apparatus for providing optical proximity correction features to a reticle pattern for optical lithography
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
SG144723A1 (en) * 2003-06-30 2008-08-28 Asml Masktools Bv A method, program product and apparatus for generating assist features utilizing an image field map
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
SG109607A1 (en) * 2003-09-05 2005-03-30 Asml Masktools Bv Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
EP1528429A3 (en) * 2003-10-31 2006-04-12 ASML MaskTools B.V. Feature optimization of reticle structures using enhanced interference mapping
US7232630B2 (en) * 2003-12-11 2007-06-19 Synopsys, Inc Method for printability enhancement of complementary masks
US7506299B2 (en) 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
US7269804B2 (en) * 2004-04-02 2007-09-11 Advanced Micro Devices, Inc. System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7620930B2 (en) * 2004-08-24 2009-11-17 Asml Masktools B.V. Method, program product and apparatus for model based scattering bar placement for enhanced depth of focus in quarter-wavelength lithography
US7302651B2 (en) * 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7496883B2 (en) * 2005-03-07 2009-02-24 Synopsys, Inc. Method and apparatus for identifying and correcting phase conflicts
US7240322B2 (en) * 2005-04-04 2007-07-03 International Business Machines Corporation Method of adding fabrication monitors to integrated circuit chips
JP5405109B2 (en) * 2005-09-13 2014-02-05 ルミネセント テクノロジーズ インコーポレイテッド System, mask and method for photolithography
US7302673B2 (en) * 2005-09-15 2007-11-27 International Business Machines Corporation Method and system for performing shapes correction of a multi-cell reticle photomask design
US7921385B2 (en) * 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
US7788627B2 (en) * 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
WO2007041701A2 (en) * 2005-10-04 2007-04-12 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
DE102006025351B4 (en) * 2006-05-31 2013-04-04 Globalfoundries Inc. Test structure for monitoring leakage currents in a metallization layer and method
US8572523B2 (en) * 2006-07-21 2013-10-29 Synopsys, Inc. Lithography aware leakage analysis
US7709300B2 (en) * 2006-10-06 2010-05-04 International Business Machines Corporation Structure and method for partitioned dummy fill shapes for reduced mask bias with alternating phase shift masks
DE102006051489B4 (en) * 2006-10-31 2011-12-22 Advanced Micro Devices, Inc. Test structure for OPC-induced short circuits between lines in a semiconductor device and measurement method
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
KR20080062752A (en) * 2006-12-29 2008-07-03 주식회사 하이닉스반도체 Method for manufacturing psm
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8713483B2 (en) * 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US7818711B2 (en) * 2007-06-29 2010-10-19 Texas Instruments Incorporated System and method for making photomasks
CN101387825B (en) * 2007-09-10 2011-04-06 北京京东方光电科技有限公司 Compensation gray level mask plate structure
US7861208B2 (en) * 2007-10-16 2010-12-28 International Business Machines Corporation Structure for partitioned dummy fill shapes for reduced mask bias with alternating phase shift masks
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US8082524B2 (en) 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Mask patterns for use in multiple-exposure lithography
US8572517B2 (en) 2008-06-10 2013-10-29 Cadence Design Systems, Inc. System and method for modifying a data set of a photomask
KR101749351B1 (en) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
EP2207064A1 (en) * 2009-01-09 2010-07-14 Takumi Technology Corporation Method of selecting a set of illumination conditions of a lithographic apparatus for optimizing an integrated circuit physical layout
US8146025B2 (en) * 2009-07-30 2012-03-27 United Microelectronics Corp. Method for correcting layout pattern using rule checking rectangle
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9232670B2 (en) 2010-02-02 2016-01-05 Apple Inc. Protection and assembly of outer glass surfaces of an electronic device housing
US8691697B2 (en) 2010-11-11 2014-04-08 International Business Machines Corporation Self-aligned devices and methods of manufacture
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8656318B2 (en) * 2012-05-04 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for combined intraoverlay metrology and defect inspection
US9501601B2 (en) * 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US8898600B2 (en) * 2013-03-15 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization for integrated design
US9418196B2 (en) 2013-03-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization for integrated circuit design
US9494853B2 (en) 2013-12-18 2016-11-15 Cypress Semiconductor Corporation Increasing lithographic depth of focus window using wafer topography
US9477804B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit design method
CN110446980B (en) * 2017-03-21 2022-05-27 Asml荷兰有限公司 Object recognition and comparison
US10437951B2 (en) * 2017-08-23 2019-10-08 International Business Machines Corporation Care area generation by detection optimized methodology
CN117057308B (en) * 2023-10-10 2023-12-15 北京智芯仿真科技有限公司 Integrated circuit layout fusion and accurate diagnosis method and device for large-area superposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923562A (en) * 1996-10-18 1999-07-13 International Business Machines Corporation Method for automatically eliminating three way intersection design conflicts in phase edge, phase shift designs

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB233613A (en) 1924-11-20 1925-05-14 Carl Rettmeyer Lid-covered vessel with hygroscopic packing fixed to the lid of the vessel
GB1523165A (en) * 1974-08-03 1978-08-31 Matsushita Electric Ind Co Ltd Fourier-transform holography by pseudo-random phase shifting
US4456371A (en) * 1982-06-30 1984-06-26 International Business Machines Corporation Optical projection printing threshold leveling arrangement
JPH0690505B2 (en) 1985-09-20 1994-11-14 株式会社日立製作所 Photo mask
JP2650962B2 (en) 1988-05-11 1997-09-10 株式会社日立製作所 Exposure method, element forming method, and semiconductor element manufacturing method
JP2710967B2 (en) 1988-11-22 1998-02-10 株式会社日立製作所 Manufacturing method of integrated circuit device
DE69033996T2 (en) 1989-04-28 2002-12-05 Fujitsu Ltd Mask, method of making the mask and method of making a pattern with a mask
US5328807A (en) * 1990-06-11 1994-07-12 Hitichi, Ltd. Method of forming a pattern
EP0464492B1 (en) 1990-06-21 1999-08-04 Matsushita Electronics Corporation A photomask used by photolithography and a process of producing the same
JP3094439B2 (en) 1990-11-21 2000-10-03 株式会社ニコン Exposure method
JP2974821B2 (en) * 1991-06-19 1999-11-10 沖電気工業株式会社 Pattern formation method
KR100256619B1 (en) * 1991-07-12 2000-06-01 사와무라 시코 Method of forming resist pattern and photomask thereor
US5246800A (en) * 1991-09-12 1993-09-21 Etec Systems, Inc. Discrete phase shift mask writing
US5364716A (en) * 1991-09-27 1994-11-15 Fujitsu Limited Pattern exposing method using phase shift and mask used therefor
JPH05197128A (en) * 1991-10-01 1993-08-06 Oki Electric Ind Co Ltd Photo-mask and pattern forming method therewith
US5334542A (en) * 1991-11-27 1994-08-02 Oki Electric Industry Co., Ltd. Method of forming T-shaped electrode
JPH05165188A (en) * 1991-12-11 1993-06-29 Sharp Corp Method for checking design rule
JP3148770B2 (en) 1992-03-27 2001-03-26 日本電信電話株式会社 Photomask and mask pattern data processing method
JPH05275303A (en) 1992-03-30 1993-10-22 Hitachi Ltd Exposing method and photomask employed therein
US5308741A (en) * 1992-07-31 1994-05-03 Motorola, Inc. Lithographic method using double exposure techniques, mask position shifting and light phase shifting
US5302477A (en) * 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
EP0585872B1 (en) * 1992-09-01 2000-03-29 Dai Nippon Printing Co., Ltd. Process for fabricating a phase shift photomask or phase shift photomask blank
US5538815A (en) * 1992-09-14 1996-07-23 Kabushiki Kaisha Toshiba Method for designing phase-shifting masks with automatization capability
US5403682A (en) 1992-10-30 1995-04-04 International Business Machines Corporation Alternating rim phase-shifting mask
US5527645A (en) * 1993-04-21 1996-06-18 Pati; Yagyensh C. Systematic method for production of phase-shifting photolithographic masks
JPH07111528A (en) 1993-10-12 1995-04-25 Matsushita Electric Ind Co Ltd Automatic answering telephone system
US5424154A (en) * 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
JP3393926B2 (en) * 1993-12-28 2003-04-07 株式会社東芝 Photomask design method and apparatus
EP0674223B1 (en) * 1994-02-14 1997-05-02 International Business Machines Corporation An attenuating phase-shift mask structure and fabrication method
US5539567A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5573890A (en) * 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
US5538833A (en) 1994-08-03 1996-07-23 International Business Machines Corporation High resolution phase edge lithography without the need for a trim mask
US5537648A (en) * 1994-08-15 1996-07-16 International Business Machines Corporation Geometric autogeneration of "hard" phase-shift designs for VLSI
US5496666A (en) * 1994-10-27 1996-03-05 Chartered Semiconductor Manufacturing Pte Ltd. Contact hole mask for semiconductor fabrication
US5565286A (en) * 1994-11-17 1996-10-15 International Business Machines Corporation Combined attenuated-alternating phase shifting mask structure and fabrication methods therefor
US5472814A (en) * 1994-11-17 1995-12-05 International Business Machines Corporation Orthogonally separated phase shifted and unphase shifted mask patterns for image improvement
KR0158904B1 (en) 1994-12-02 1999-02-01 김주용 Contact mask
US5523186A (en) * 1994-12-16 1996-06-04 International Business Machines Corporation Split and cover technique for phase shifting photolithography
JP3273456B2 (en) 1995-02-24 2002-04-08 アルプス電気株式会社 Motor driven slide type variable resistor
US5532090A (en) * 1995-03-01 1996-07-02 Intel Corporation Method and apparatus for enhanced contact and via lithography
US5595843A (en) * 1995-03-30 1997-01-21 Intel Corporation Layout methodology, mask set, and patterning method for phase-shifting lithography
JP2638561B2 (en) 1995-05-10 1997-08-06 株式会社日立製作所 Mask formation method
JPH0950951A (en) 1995-08-04 1997-02-18 Nikon Corp Lithography method and lithography apparatus
KR0161879B1 (en) * 1995-09-25 1999-01-15 문정환 The structure of phase shift mask and manufacture therefrom
JP2917879B2 (en) * 1995-10-31 1999-07-12 日本電気株式会社 Photomask and manufacturing method thereof
US6185727B1 (en) * 1995-12-12 2001-02-06 International Business Machines Corporation Design verification for asymmetric phase shift mask layouts
US5885734A (en) * 1996-08-15 1999-03-23 Micron Technology, Inc. Process for modifying a hierarchical mask layout
US5994002A (en) * 1996-09-06 1999-11-30 Matsushita Electric Industrial Co., Ltd. Photo mask and pattern forming method
JP3518275B2 (en) 1996-09-06 2004-04-12 松下電器産業株式会社 Photomask and pattern forming method
ES2224270T3 (en) 1996-09-18 2005-03-01 Numerical Technologies, Inc. METHOD AND DEVICE OF PHASE DISPLACEMENT IN THE MANUFACTURE OF A CIRCUIT.
US6228539B1 (en) * 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5807649A (en) * 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
JPH10207038A (en) * 1997-01-28 1998-08-07 Matsushita Electric Ind Co Ltd Reticle and pattern forming method
US5883813A (en) * 1997-03-04 1999-03-16 International Business Machines Corporation Automatic generation of phase shift masks using net coloring
US5923566A (en) * 1997-03-25 1999-07-13 International Business Machines Corporation Phase shifted design verification routine
JP3474740B2 (en) 1997-03-25 2003-12-08 株式会社東芝 Photomask design method
US6057063A (en) * 1997-04-14 2000-05-02 International Business Machines Corporation Phase shifted mask design system, phase shifted mask and VLSI circuit devices manufactured therewith
US6400838B2 (en) * 1997-07-29 2002-06-04 Kabushiki Kaisha Toshiba Pattern inspection equipment, pattern inspection method, and storage medium storing pattern inspection program
US6040892A (en) 1997-08-19 2000-03-21 Micron Technology, Inc. Multiple image reticle for forming layers
JPH11111601A (en) 1997-10-06 1999-04-23 Nikon Corp Method and device for exposure
JP3101594B2 (en) 1997-11-06 2000-10-23 キヤノン株式会社 Exposure method and exposure apparatus
TW363147B (en) * 1997-11-22 1999-07-01 United Microelectronics Corp Phase shifting mask
TW378281B (en) * 1997-11-28 2000-01-01 United Microelectronics Corp Phase shift mask and method for manufacturing the same
US6077630A (en) * 1998-01-08 2000-06-20 Micron Technology, Inc. Subresolution grating for attenuated phase shifting mask fabrication
US6083275A (en) * 1998-01-09 2000-07-04 International Business Machines Corporation Optimized phase shift design migration
JP3307313B2 (en) 1998-01-23 2002-07-24 ソニー株式会社 Pattern generation method and apparatus
JP3535770B2 (en) 1998-06-29 2004-06-07 キヤノン株式会社 Exposure method and exposure apparatus
US6096458A (en) * 1998-08-05 2000-08-01 International Business Machines Corporation Methods for manufacturing photolithography masks utilizing interfering beams of radiation
KR100699941B1 (en) 1998-10-23 2007-03-26 가부시키가이샤 히타치세이사쿠쇼 Method for fabricating semiconductor device and method for forming mask suitable therefor
US6588005B1 (en) * 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
US6130012A (en) * 1999-01-13 2000-10-10 Advanced Micro Devices, Inc. Ion beam milling to generate custom reticles
JP4115615B2 (en) 1999-03-11 2008-07-09 株式会社東芝 Mask pattern design method
US6351304B1 (en) 1999-06-04 2002-02-26 Canon Kabushiki Kaisha Multiple exposure method
JP3335139B2 (en) 1999-06-04 2002-10-15 キヤノン株式会社 Exposure method, exposure apparatus, and device manufacturing method
US6139994A (en) * 1999-06-25 2000-10-31 Broeke; Doug Van Den Use of intersecting subresolution features for microlithography
US6251549B1 (en) * 1999-07-19 2001-06-26 Marc David Levenson Generic phase shift mask
US6335128B1 (en) * 1999-09-28 2002-01-01 Nicolas Bailey Cobb Method and apparatus for determining phase shifts and trim masks for an integrated circuit
US6416907B1 (en) * 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6338922B1 (en) * 2000-05-08 2002-01-15 International Business Machines Corporation Optimized alternating phase shifted mask design
US6978436B2 (en) * 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6681379B2 (en) * 2000-07-05 2004-01-20 Numerical Technologies, Inc. Phase shifting design and layout for static random access memory
US6787271B2 (en) * 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6733929B2 (en) * 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6503666B1 (en) 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
DE10042929A1 (en) 2000-08-31 2002-03-21 Infineon Technologies Ag OPC method for generating corrected patterns for a phase shift mask and its trimming mask, as well as the associated device and integrated circuit structure
US6901575B2 (en) * 2000-10-25 2005-05-31 Numerical Technologies, Inc. Resolving phase-shift conflicts in layouts using weighted links between phase shifters
US6728946B1 (en) * 2000-10-31 2004-04-27 Franklin M. Schellenberg Method and apparatus for creating photolithographic masks
JP2004529378A (en) 2001-03-08 2004-09-24 ニューメリカル テクノロジーズ インコーポレイテッド Alternating phase shift masking for multi-level masking resolution
US6635393B2 (en) * 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6566019B2 (en) * 2001-04-03 2003-05-20 Numerical Technologies, Inc. Using double exposure effects during phase shifting to control line end shortening
US6553560B2 (en) * 2001-04-03 2003-04-22 Numerical Technologies, Inc. Alleviating line end shortening in transistor endcaps by extending phase shifters
US6573010B2 (en) * 2001-04-03 2003-06-03 Numerical Technologies, Inc. Method and apparatus for reducing incidental exposure by using a phase shifter with a variable regulator
US6664009B2 (en) 2001-07-27 2003-12-16 Numerical Technologies, Inc. Method and apparatus for allowing phase conflicts in phase shifting mask and chromeless phase edges

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923562A (en) * 1996-10-18 1999-07-13 International Business Machines Corporation Method for automatically eliminating three way intersection design conflicts in phase edge, phase shift designs

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BERMAN P ET AL: "OPTIMAL PHASE CONFLICT REMOVAL FOR LAYOUT OF DARK FIELD ATERNATING PHASE SHIFTING MASKS", IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, IEEE INC. NEW YORK, US, vol. 19, no. 2, February 2000 (2000-02-01), pages 175 - 187, XP002935087, ISSN: 0278-0070 *
KAHNG A B ET AL: "New graph bipartizations for double-exposure, bright field alternating phase-shift mask layout", PROCEEDINGS OF THE ASP-DAC 2001. ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001 (CAT. NO.01EX455), PROCEEDINGS OF THE ASP-DAC 2001. ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001, YOKOHAMA, JAPAN, 30 JAN.-2 FEB. 2001, 2001, Piscataway, NJ, USA, IEEE, USA, pages 133 - 138, XP010537792, ISBN: 0-7803-6633-6 *

Also Published As

Publication number Publication date
US7312003B2 (en) 2007-12-25
US20020122994A1 (en) 2002-09-05
US20080076042A1 (en) 2008-03-27
US7348108B2 (en) 2008-03-25
US7739649B2 (en) 2010-06-15
US20100050149A1 (en) 2010-02-25
JP2005517200A (en) 2005-06-09
US20050031971A1 (en) 2005-02-10
EP1393130B1 (en) 2013-08-07
CN1524199A (en) 2004-08-25
US7435513B2 (en) 2008-10-14
US6861204B2 (en) 2005-03-01
US20050031972A1 (en) 2005-02-10
JP4351906B2 (en) 2009-10-28
US20040185351A1 (en) 2004-09-23
US8566757B2 (en) 2013-10-22
AU2002349082A1 (en) 2002-12-23
EP1393130A2 (en) 2004-03-03
US6787271B2 (en) 2004-09-07
US20040175634A1 (en) 2004-09-09
WO2002101468A2 (en) 2002-12-19

Similar Documents

Publication Publication Date Title
WO2002101468A3 (en) Design and layout of phase shifting photolithographic masks
KR960018758A (en) Combined Attenuation Phase Shift Mask Structure and Fabrication Method Therefor
EP1582920A3 (en) Halftone phase shifting mask blank, halftone phase shifting mask, and pattern transfer method
CN100585488C (en) Method for forming pattern
TW200702906A (en) Photomask structures providing improved photolithographic process windows and methods of manufacturing same
WO2002101466A3 (en) Exposure control for phase shifting photolithographic masks
JPH05165188A (en) Method for checking design rule
WO2006078908A3 (en) Differential alternating phase shift mask optimization
WO2002075454A3 (en) Self-aligned fabrication technique for tri-tone attenuated phase-shifting masks
KR970076061A (en) Phase Inversion Mask and Manufacturing Method Thereof
TW200515481A (en) Photo mask, pattern formation method using the same, and mask data generation method
TW200638164A (en) Chromeless phase shifting mask for equal line/space dense line patterns
KR100187664B1 (en) Method of manufacturing mask forming overlay pattern
EP1526406A4 (en) Photomask
TW200519528A (en) Chromeless phase shifting mask, method to fabricate the same and method to fabricate semiconductor devices using the same
US7445874B2 (en) Method to resolve line end distortion for alternating phase shift mask
US7914950B2 (en) Method and resulting structure for mosaic of multi-transmission rate optical mask structures
US6605393B2 (en) Alternative PSM with new phase conflict canceling method
CN208737211U (en) Phase-shift mask version and phase shifted mask lithography equipment
AU2001239698A1 (en) Phase shift masking for complex patterns
JP2003233164A (en) Photomask and method of manufacturing the same
KR20030089343A (en) Method for forming a reticle of semiconductor device
US7008734B2 (en) Phase shift mask
KR980005324A (en) Phase Inversion Mask and Manufacturing Method Thereof
TW372283B (en) Method for automatically generating annular phase shifting mask

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US US US US UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2002778939

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003504166

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 028115511

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2002778939

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642