WO2003055287A2 - Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression - Google Patents

Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression Download PDF

Info

Publication number
WO2003055287A2
WO2003055287A2 PCT/US2002/030407 US0230407W WO03055287A2 WO 2003055287 A2 WO2003055287 A2 WO 2003055287A2 US 0230407 W US0230407 W US 0230407W WO 03055287 A2 WO03055287 A2 WO 03055287A2
Authority
WO
WIPO (PCT)
Prior art keywords
reactor
plasma
electrode
overhead electrode
strip line
Prior art date
Application number
PCT/US2002/030407
Other languages
French (fr)
Other versions
WO2003055287A3 (en
Inventor
Daniel J. Hoffman
Gerald Zheyao Yin
Yan Ye
Dan Katz
Douglas A. Buchberger, Jr.
Xiaoye Zhao
Kang-Lie Chiang
Robert B. Hagen
Matthew L. Miller
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP02773574A priority Critical patent/EP1459351A2/en
Priority to JP2003555872A priority patent/JP4902941B2/en
Priority to KR1020047009697A priority patent/KR100903535B1/en
Publication of WO2003055287A2 publication Critical patent/WO2003055287A2/en
Publication of WO2003055287A3 publication Critical patent/WO2003055287A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Definitions

  • An RF plasma reactor is used to process semiconductor wafers to produce microelectronic circuits.
  • the reactor forms a plasma within a chamber containing the wafer to be processed.
  • the plasma is formed and maintained by application of RF plasma source power coupled either inductively or capacitively into the chamber.
  • RF plasma source power coupled either inductively or capacitively into the chamber.
  • an overhead electrode facing the wafer is powered by an RF source power generator.
  • the output impedance of the RF generator typically 50 Ohms, must be matched to the load impedance presented by the combination of the electrode and the plasma. Otherwise the amount of RF power delivered to the plasma chamber will fluctuate with fluctuations in the plasma load impedance so that certain process parameters such as plasma density cannot be held within the required limits.
  • the plasma load impedance fluctuates during processing because it depends upon conditions inside the reactor chamber which tend to change dynamically as processing progresses. At an optimum plasma density for dielectric or metal etch processes, the load impedance is very small compared to the output impedance of the RF generator and can vary significantly during the processing of the wafer.
  • an impedance match circuit must be employed to actively maintain an impedance match between the generator and the load.
  • Such active impedance matching uses either a variable reactance and/or a variable frequency.
  • One problem with such impedance match circuits is that they must be sufficiently agile to follow rapid changes in the plasma load impedance, and therefore are relatively expensive and can reduce system reliability due to their complexity.
  • the typical RF generator has a limited ability to maintain the forward power at a nearly constant level even as more RF power is reflected ' back to the generator as the plasma impedance fluctuates.
  • the generator servoing its forward power level, so that as an impedance mismatch increases (and therefore reflected power increases) , the generator increases its forward power level.
  • this ability is limited by the maximum forward power [of] which the generator is capable of producing.
  • the generator is capable of handling a maximum ratio of forward standing wave voltage to reflected wave voltage (i.e., the voltage standing wave ratio or VS R) of not more than 3:1. If the difference in impedances increases (e.g., due to plasma impedance fluctuations during processing) so that the VSWR exceeds 3:1, then the RF generator can no longer control the delivered power, and control over the plasma is lost. As a result, the process is likely to fail.
  • At least an approximate impedance match must be maintained between the RF generator and the load presented to it by the combination of the coil antenna and the chamber.
  • This approximate impedance match must be sufficient to keep the VSWR at the generator output within the 3:1 VSWR limit over the entire anticipated range of plasma impedance fluctuations.
  • the impedance match space is, typically, the range of load impedances for which the match circuit can maintain the VSWR at the generator output at or below 3:1.
  • a related problem is that the load impedance itself is highly sensitive to process parameters such as chamber pressure, plasma source power level, source power frequency and plasma density. This limits the range of such process parameters (the "process window") within which the plasma reactor must be operated to avoid an unacceptable impedance mismatch or avoid fluctuations that take load impedance outside of the match space. Likewise, it is difficult to provide a reactor which can be operated outside of a relatively narrow process window and process use, or one that can handle many process applications.
  • the load impedance is also affected by the configuration of the reactor itself, such as dimensions of certain mechanical features and the conductivity or dielectric constant of certain materials within the reactor. (Such configurational items affect reactor electrical characteristics, such as stray capacitance for example, that in turn affect the load impedance.) This makes it difficult to maintain uniformity among different reactors of the same design due to manufacturing tolerances and variations in materials. As a result, with a high system Q and correspondingly small impedance match space, it is difficult to produce any two reactors of the same design which exhibit the same process window or provide the same performance.
  • Plasma reactors are known to be inefficient, in that the amount of power delivered to the plasma tends to be significantly less than the power produced by the RF generator. As a result, an additional cost in generator capability and a trade-off against reliability must be incurred to produce power in excess of what is actually required to be delivered into the plasma.
  • This specification generally concerns a capacitively coupled reactor having an overhead electrode that is driven by a VHF plasma source power RF supply, rather than a HF power supply.
  • VHF plasma source power RF supply rather than a HF power supply.
  • a superior way to feed process gases into a capacitively coupled plasma reactor is to inject the process gases through the overhead ceiling.
  • the overhead ceiling is the source power electrode that is coupled to a VHF RF power generator through a coaxial stub or equivalent impedance match element.
  • the ceiling electrode also is a "showerhead", a conductive layer having a set of small gas injection ports passing through it for injecting the process gases.
  • plasma discharge or "arcing" tends to occur within the ceiling gas injection ports. This poses a risk of the plasma discharge removing material of the overhead electrode or showerhead from the interior surfaces of the gas injection ports.
  • the species, e.g. metallic species, thus introduced into the plasma can contaminate the surface of the wafer or workpiece and damage the microelectronic devices being fabricated thereon.
  • the overhead electrode may suffer wear from being in contact with plasma, particularly since it is both an anode for the bias power and a cathode for the source power and is therefore subject to RF and DC currents.
  • the cost of operating the reactor would be reduced if there could be found a way to avoid passing such currents directly through the overhead electrode or avoid direct contact of plasma with the electrode.
  • a problem often found in plasma reactors is the generation of second and third harmonics within the plasma sheath.
  • plasma VHF source power is applied by the overhead electrode
  • plasma bias power is applied by an HF signal on the wafer support pedestal.
  • a plasma sheath is a non-linear load and therefore creates second and/or third harmonics of the HF bias signal applied to the wafer support pedestal.
  • the presence of such harmonics changes plasma behavior in such a way that process performance is impaired in the presence of such harmonics. Specifically, process control to avoid etch stop or over- etching becomes more difficult, and the etch rate is reduced. It would be desirable to reduce the production of such harmonics affecting the plasma.
  • the coaxial tuning stub whose length is correlated to the wavelength of the VHF source power signal, can have a footprint larger than the remainder of the reactor. It would therefore be advantageous to be able to reduce this footprint without sacrificing any of the advantages of the coaxial tuning stub.
  • a plasma reactor for processing a semiconductor workpiece includes a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece, an overhead electrode overlying said workpiece support, the electrode comprising a portion of said chamber wall, an RF power generator for supplying power at a frequency of said generator to said overhead electrode and capable of maintaining a plasma within said chamber at a desired plasma ion density level.
  • the overhead electrode has a capacitance such that said overhead electrode and the plasma formed in said chamber at said desired plasma ion density resonate together at an electrode-plasma resonant frequency, said frequency of said generator being at least near said electrode-plasma resonant frequency.
  • the reactor further includes an insulating layer formed on a surface of said overhead electrode facing said workpiece support, a capacitive insulating layer between said RF power generator and said overhead electrode, and a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support.
  • the insulating layer provides a capacitance sufficient to suppress arcing within said gas injection ports, the capacitive insulating layer has a sufficient capacitance to block D.C. current from a plasma within said chamber from flowing through said overhead electrode, and the metal foam layer is of a sufficient thickness to suppress an axial electric field within said gas injection orifices .
  • FIG. 1 is a cut-away cross-sectional side view of a plasma reactor embodying the present invention.
  • FIGS. 2A and 2B are diagrams illustrating, respectively, the coaxial stub of FIG. 1 and the voltage and current standing wave amplitudes as a function of position along the coaxial stub.
  • FIG. 3 illustrates the subtraction of current at the input power tap point on the coaxial stub that occurs in response to high plasma load impedance to maintain a more constant delivered VHF power level in a larger match space.
  • FIG. 4 illustrates the addition of current at the input power tap point on the coaxial stub that occurs in response to low plasma load impedance to maintain a more constant delivered VHF power level in a larger match space.
  • FIG. 5 is a graph illustrating the low-Q reflection coefficient as a function of frequency of the embodiment of FIG. 1.
  • FIG. 6 is a graph illustrating the interaction of the current contribution at the input power tap point on the coaxial stub with the standing wave current and voltage along the stub length.
  • FIG. 7 illustrates an alternative embodiment of the coaxial stub of FIG. 1.
  • FIG. 8 depicts another embodiment in accordance with the present invention.
  • FIG. 9 is an enlarged view corresponding to FIG. 8.
  • FIG. 10 is an enlarged view of FIG. 9.
  • FIG. 11 is another enlarged view of FIG. 8.
  • FIG. 12 depicts yet another embodiment in accordance with the invention.
  • FIG. 13 is a top view corresponding to FIG. 12.
  • FIG. 14 is a top view corresponding to an alternate embodiment of the reactor of FIG. 13. DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • a plasma reactor includes a reactor chamber 100 with a wafer support 105 at the bottom of the chamber supporting a semiconductor wafer 110.
  • a semiconductor ring 115 surrounds the wafer 110.
  • the semiconductor ring 115 is supported on the grounded chamber body 127 by a dielectric (quartz) ring 120. In one embodiment, this is of a thickness of 10 mm and dielectric constant of 4.
  • the chamber 100 is bounded at the top by a disc shaped overhead aluminum electrode supported at a predetermined gap length above the wafer 110 on grounded chamber body 127 by a dielectric (quartz) seal.
  • the overhead electrode 125 also may be a metal (e.g., aluminum) which may be covered with a semi-metal material (e.g., Si or SiC) on its interior surface, or it may be itself a semi-metal material.
  • An RF generator 150 applies RF power to the electrode 125.
  • RF power from the generator 150 is coupled through a coaxial cable 162 matched to the generator 150 and into a coaxial stub 135 connected to the electrode 125.
  • the stub 135 has a characteristic impedance, resonance frequency, and provides an impedance match between the electrode 125 and the 50 Ohm coaxial cable 162 or the 50 Ohm output of the RF power generator 150, as will be more fully described below.
  • the chamber body is connected to the RF return (RF ground) of the RF generator 150.
  • the RF path from the overhead electrode 125 to RF ground is affected by the capacitance of the semiconductor ring 115, the dielectric ring 120 and the dielectric seal 130 .
  • the wafer support 105, the .wafer 110 and the semiconductor ring 115 provide the primary RF return path for RF power applied to the electrode 125.
  • the capacitance of the overhead electrode- assembly 126 can be 180 pico farads.
  • the electrode assembly capacitance is affected by the electrode area, the gap length (distance between wafer support and overhead electrode) , and by factors affecting stray capacitances, especially the dielectric values of the seal 130 and of the dielectric ring 120, which in turn are affected by the dielectric constants and thicknesses of the materials employed. More generally, the capacitance of the electrode assembly (an unsigned number or scalar) is equal or nearly equal in magnitude to the negative capacitance of the plasma (a complex number) at a particular source power frequency, plasma density and operating pressure, as will be discussed below.
  • the plasma capacitance is a function of the plasma density and the source power frequency
  • the electrode capacitance is a function of the wafer support-to- electrode gap (height) , electrode diameter, and dielectric values of the insulators of the assembly.
  • Plasma density, operating pressure, gap, and electrode diameter must satisfy the requirements of the plasma process to be performed by the reactor. In particular, the ion density must be within a certain range.
  • silicon and dielectric plasma etch processes generally require the plasma ion density to be within the range of 10 9 - 10 12 ions/cc.
  • the wafer electrode gap provides an optimum plasma ion distribution uniformity for 8 inch wafers, for example, if the gap is about 2 inches.
  • the electrode diameter can be at least as great as, if not greater than the diameter of the wafer. Operating pressures similarly have practical ranges for typical etch and other plasma processes.
  • the electrode capacitance can be matched to the magnitude of the negative capacitance of the plasma if the source power frequency is selected to be a VHF frequency, and if the dielectric values of the insulator components of electrode assembly 126 are selected properly. Such selection can achieve a match or near match between source power frequency and plasma-electrode resonance frequency.
  • the overhead electrode diameter is approximately 11 inches
  • the gap is about 2 inches
  • the plasma density and operating pressure is typical for etch processes as above-stated
  • the dielectric material for the seal 130 has a dielectric constant of 9 and a thickness of the order of 1 inch
  • the ring 115 has an inner diameter of slightly in excess of 10 inches and an outer diameter of about 13 inches
  • the ring 120 has a dielectric constant of 4 and a thickness of the order of 10mm
  • the VHF source power frequency is 210 MHz (although other VHF frequencies could be equally effective)
  • the source power frequency, the plasma electrode resonance frequency and the stub resonance frequency are all matched or nearly matched.
  • these three frequencies can be slightly offset from one another, with the source power frequency being 210 MHz, the electrode-plasma resonant frequency being approximately 200 MHz, and the stub frequency being about 220 MHz, in order to achieve a de-tuning effect which advantageously reduces the system Q.
  • the source power frequency being 210 MHz
  • the electrode-plasma resonant frequency being approximately 200 MHz
  • the stub frequency being about 220 MHz
  • the coaxial stub 135 is a specially configured design which further contributes to the overall system stability, its wide process window capabilities, as well as many other valuable advantages. It includes an inner cylindrical conductor 140 and an outer concentric cylindrical conductor 145. An insulator 147 (denoted by cross-hatching in FIG. 1) having, for example, a relative dielectric constant of 1 fills the space between the inner and outer conductors 140, 145.
  • the inner and outer conductors 140, 145 are formed of nickel-coated aluminum.
  • the outer conductor 145 can have a diameter of about 4 inches and the inner conductor 140 can have a diameter of about 1.5 inches.
  • the stub characteristic impedance is determined by the radii of the inner and outer conductors 140, 145 and the dielectric constant of the insulator 147.
  • the stub 135 of one embodiment has a characteristic impedance of 65 . More generally, the stub characteristic impedance exceeds the source power output impedance by about 20%-40% and by about 30%.
  • the stub 135 has an axial length of about 29 inches —a quarter wavelength at 220 MHz—in order to have a resonance in the vicinity of 220 MHz to generally match while being slightly offset from the VHF source power frequency of 210 MHz.
  • a tap 160 is provided at a particular point along the axial length of the stub 135 for applying RF power from the RF generator 150 to the stub 135, as will be discussed below.
  • the RF power terminal 150b and the RF return terminal 150a of the generator 150 are connected at the tap 160 on the stub 135 to the inner and outer coaxial stub conductors 140, 145, respectively. These connections are made via a generator-to- stub coaxial cable 162 having a characteristic impedance that matches the output impedance of the generator 150 (typically, 50 ) in the well-known manner.
  • a terminating conductor 165 at the far end 135a of the stub 135 shorts the inner and outer conductors 140, 145 together, so that the stub 135 is shorted at its far end 135a.
  • the outer conductor 145 is connected to the chamber body via an annular conductive housing or support 175, while the inner conductor 140 is connected to the center of electrode 125 via a conductive cylinder or support 176.
  • a dielectric ring 180 is held between and separates the conductive cylinder 176 and the electrode 125.
  • the inner conductor 140 can provide a conduit for utilities such as process gases and coolant.
  • the principal advantage of this feature is that, unlike typical plasma reactors, the gas line 170 and the coolant line 173 do not cross large electrical potential differences. They therefore may be constructed of metal, a less expensive and more reliable material for such a purpose.
  • the metallic gas line 170 feeds gas inlets 172 in or adjacent the overhead electrode 125 while the metallic coolant line 173 feeds coolant passages or jackets 174 within the overhead electrode 125.
  • An active and resonant impedance transformation is thereby provided by this specially configured stub match between the RF generator 150, and the overhead electrode assembly 126 and processing plasma load, minimizing reflected power and providing a very wide impedance match space accommodating wide changes in load impedance.
  • the stub resonance frequency is also offset from ideal match to further enhance overall system Q, system stability and process windows and multi-process capability.
  • a principal feature is to configure the overhead electrode assembly 126 for resonance with the plasma at the electrode-plasma resonant frequency and for the matching (or the near match of) the source power frequency and the electrode-plasma frequency.
  • the electrode assembly 126 has a predominantly capacitive reactance while the plasma reactance is a complex function of frequency, plasma density and other parameters.
  • a plasma is analyzed in terms of a reactance which is a complex function involving imaginary terms and generally corresponds to a negative capacitance.
  • the electrode-plasma resonant frequency is determined by the reactances of the electrode assembly 126 and of the plasma (in analogy with the resonant frequency of a capacitor/inductor resonant circuit being determined by the reactances of the capacitor and the inductor) .
  • the electrode-plasma resonant frequency may not necessarily be the source power frequency, depending as it does upon the plasma density.
  • the problem therefore, is to find a source power frequency at which the plasma reactance is such that the electrode-plasma resonant frequency is equal or nearly equal to the source power frequency, given the constraints of practical confinement to a particular range of plasma density and electrode dimensions.
  • the problem is even more difficult, because the plasma density (which affects the plasma reactance) and the electrode dimensions (which affect electrode capacitance) must meet certain process constraints. Specifically, for dielectric and metal plasma etch processes, the plasma density should be within the range of 10 9 -10 12 ions/cc, which is a constraint on the plasma reactance.
  • a more uniform plasma ion density distribution for processing 8-inch diameter wafers for example is realized by a wafer-to- electrode gap or height of about 2 inches and an electrode diameter on the order of the wafer diameter, or greater, which is a constraint on the electrode capacitance.
  • a different gap length may be used for processing a 12-inch wafer.
  • the electrode-plasma resonant frequency and the source power frequency are at least nearly matched.
  • the match is possible if the source power frequency is a VHF frequency.
  • Other conditions e.g., different wafer diameters, different plasma densities, etc. may dictate a different frequency range to realize such a match in carrying out this feature of the reactor.
  • the plasma capacitance in one typical working example having plasma densities as set forth above was between -50 and -400 pico farads.
  • the capacitance of the overhead electrode assembly 126 was matched to the magnitude of this negative plasma capacitance by using an electrode diameter of 11 inches, a gap length (electrode to pedestal spacing) of approximately 2 inches, choosing a dielectric material for seal 130 having a dielectric constant of 9, and a thickness of the order of one inch, and a dielectric material for the ring 120 having a dielectric constant of 4 and thickness of the order of 10 mm.
  • electrode assembly 126 resonates at an electrode-plasma resonant frequency that at least nearly matches the source power frequency applied to the electrode 125, assuming a matching of their capacitances as just described.
  • this electrode-plasma resonant frequency and the source power frequency can be matched or nearly matched at VHF frequencies; and that it is highly advantageous that such a frequency match or near-match be implemented.
  • the electrode-plasma resonance frequency corresponding to the foregoing values of plasma negative capacitance is approximately 200 MHz, as will be detailed below.
  • the source power frequency is 210 MHz, a near-match in which the source power frequency is offset slightly above the electrode-plasma resonance frequency in order to realize other advantages to be discussed below.
  • the plasma capacitance is a function of among other things, plasma electron density. This is related to plasma ion density, which needs, in order to provide good plasma processing conditions, to be kept in a range generally 10 9 to 10 12 ions/cc. This density, together with the source power frequency and other parameters, determines the plasma negative capacitance, the selection of which is therefore constrained by the need to optimize plasma processing conditions, as will be further detailed below. But the overhead electrode assembly capacitance is affected by many physical factors, e.g.
  • gap length spacing between electrode 125 and the wafer
  • area of electrode 125 the choice of dielectric constant of the dielectric seal 130 between electrode 125 and grounded chamber body 127; the choice of dielectric constant for the dielectric ring 120 between semiconductor ring 115 and the chamber body; and the thickness of the dielectric structures of seal 130 and ring 120 and the thickness and dielectric constant of the ring
  • the dielectric materials and dimensions for the seal 130 and ring 120 are chosen to provide the desired dielectric constants and resulting dielectric values.
  • Electrode capacitance and the plasma capacitance can then be achieved despite the fact that some of the same physical factors influencing electrode capacitance, particularly gap length, will be dictated or limited by the following practicalities: the need to handle larger diameter wafers; to do so with good uniformity of distribution of plasma ion density over the full diameter of the wafer; and to have good control of ion density vs ion energy.
  • a capacitance for electrode assembly 126 was achieved which matched the plasma capacitance of -50 to -400 pico farads by using an electrode diameter of 11 inches, a gap length of approximately 2 inches, and a material for the seal 130 having a dielectric constant of 9, and a material for the ring 120 having a dielectric constant of 4.
  • the electrode-plasma resonance frequency was approximately 200 MHz for a source power frequency of 210 MHz.
  • a great advantage of choosing the capacitance of the electrode assembly 126 in this manner, and then matching the resultant electrode-plasma resonant frequency and the source power frequency, is that resonance of the electrode and plasma near the source power frequency provides a wider impedance match and wider process window, and consequently much greater immunity to changes in process conditions, and therefore greater performance stability.
  • the entire processing system is rendered less sensitive to variations in operating conditions, e.g., shifts in plasma impedance, and therefore more reliable along with a greater range of process applicability.
  • this advantage is further enhanced by the small offset between the electrode-plasma resonant frequency and the source power frequency.
  • the plasma electron frequency pe is a simple function of the plasma electron density and is defined in well-known publications on plasma processing.
  • the neutral species was Argon
  • the plasma electron frequency was about 230 MHz
  • the RF source power frequency was about 210 MHz with chamber pressure in the range of 10 T to 200 mT with sufficient RF power applied so that the plasma density was between 10 9 and 10 12 cc "1 .
  • the plasma generally has a negative capacitance because its effective electrical permittivity defined by the foregoing equation is negative. Under these conditions, the plasma had a negative capacitance of -50 to -400 pico farads.
  • the plasma capacitance as a function of plasma electron density (as well as source power frequency and electron-neutral collision frequency) tends to be generally limited by favored plasma process realities for key applications such as dielectric etch, metal etch and CVD, to certain desired ranges, and to have a negative value at VHF source power frequencies.
  • the electrode capacitance matching and frequency-matching features of the reactor achieve a process window capability and flexibility and stability of operation not previously possible.
  • the stub 135 provides an impedance transformation between the 50 output impedance of the RF generator 150 and the load impedance presented by the combination of the electrode assembly 126 and the plasma within the chamber.
  • the impedance of the plasma itself is about
  • the load impedance presented by the electrode-plasma combination is a function of this plasma impedance and of the capacitance of the electrode assembly 126.
  • the capacitance of the electrode assembly 126 is selected to achieve a resonance between the electrode assembly 126 and the plasma with an electrode- plasma resonant frequency of about 200 MHz. Reflections of RF power at the stub-electrode interface are minimized or avoided because the resonant frequency of the stub 135 is set to be at or near the electrode-plasma resonant frequency so that the two at least nearly resonate together.
  • reflections of RF power at the generator-stub interface are minimized or avoided because the location of the tap 160 along the axial length of the stub 135 is such that, at the tap 160, the ratio of the standing wave voltage to the standing wave current in the stub 135 is near the output impedance of the generator 150 or characteristic impedance of the cable 162 (both being about 50 ) . How the tap 160 is located to achieve this will now be discussed.
  • the axial length of the coaxial stub 135 can be a multiple of a quarter wavelength of a "stub" frequency (e.g., 220 MHz) which, as stated above, is near the electrode-plasma resonant frequency. In one embodiment, this multiple is two, so that the coaxial stub length is about a half wavelength of the "stub" frequency, or about 29 inches.
  • the tap 160 is at a particular axial location along the length of the stub 135. At this location, the ratio between the amplitudes of the standing wave voltage and the standing wave current of an RF signal at the output frequency of the generator 150 corresponds to an input impedance matching the output impedance of the RF generator 150 (e.g., 50 Ohms).
  • FIGS. 2A and 2B This is illustrated in FIGS. 2A and 2B, in which the voltage and current standing waves in the stub 135 have a null and a peak, respectively, at the shorted outer stub end 135a.
  • a desired location for the tap 160 is at a distance A inwardly from the shorted end, where the ratio of the standing wave voltage and current corresponds to 50 Ohms. This location is readily found by the skilled worker by empirically determining where the standing wave ratio is 50 Ohms.
  • the distance or location A of the tap 160 that provides a match to the RF generator output impedance (50 ) is a function of the characteristic impedance of the stub 135, as will be described later in this specification.
  • the impedance match space accommodates a 9:1 change in the real part of the load impedance, if the RF generator is of the typical kind that can maintain constant delivered power over a 3:1 voltage standing wave ratio (VSWR) .
  • VSWR voltage standing wave ratio
  • the impedance match space can be greatly expanded to accommodate a nearly 60:1 change in the real part of the load impedance. This dramatic result is achieved by slightly shifting the tap 160 from the precise 50 point at location A toward the shorted external end 135a of the coaxial stub 135. This shift can be, for example, 5% of a wavelength (i.e., about 1.5 inch). It is our discovery that at this slightly shifted tap location, the RF current contribution at the tap 160 subtracts or adds to the current in the stub, which ever becomes appropriate, to compensate for fluctuations in the plasma load impedance, as will be described below with reference to FIGS. 3 and 4. This compensation is sufficient to increase the match space from one that accommodates a 9:1 swing in the real part of the load impedance to a 60:1 swing.
  • the electrode assembly 126 is matched to the negative capacitance of the plasma under nominal operating conditions. This capacitance is -50 to -400 pico farads at the VHF source power frequency (210 MHz) . At this capacitance the plasma exhibits a plasma impedance of (.3 +i7) ⁇ . Thus, .3 ⁇ is the real part of the plasma impedance for which the system is tuned. As plasma conditions fluctuate, the plasma capacitance and impedance fluctuate away from their nominal values.
  • the phase of the electrode-plasma resonance changes, which affects the phase of the current in the stub 135.
  • the RF generator current supplied to the tap 160 will either add to or subtract from the stub standing wave current, depending upon the direction of the phase shift.
  • the displacement of the tap 160 from the 50 location at A is limited to a small fraction of the wavelength (e.g., 5%).
  • FIG. 3 illustrates the standing wave current in the stub 135 when the real part of the plasma impedance has increased due to plasma fluctuations.
  • the current standing wave amplitude is plotted as a function of axial location along the stub 135.
  • a discontinuity in the standing wave current amplitude at the location 0.1 on the horizontal axis corresponds to the position of the tap 160.
  • an impedance mismatch occurs because the real part of the plasma impedance is high, above the nominal plasma impedance for which the system is tuned (i.e., at which the electrode capacitance matches the negative plasma capacitance) .
  • the current at the tap 160 subtracts from the standing wave current in the stub 135. This subtraction causes the discontinuity or null in the graph of FIG. 3, and reduces the delivered power to offset the increased load. This avoids a corresponding increase in delivered power (I 2 R), due to the higher load (R).
  • FIG. 4 illustrates the standing wave current in the stub 135 when the real part of the plasma impedance decreases.
  • the current standing wave amplitude is plotted as a function of axial location along the stub 135.
  • a discontinuity in the standing wave current amplitude at the location 0.1 marks the position of the tap 160.
  • the real part of the plasma impedance is low, below the nominal plasma impedance for which the system is tuned.
  • the current at the tap 160 adds to the standing wave current in the stub 135. This addition increases the delivered power to offset the decreased load, to avoid a concomitant decrease in delivered power, I 2 R, due to the decreased load, R. With such compensation, much greater changes in load impedance can be accommodated so that the match space in increased significantly.
  • the range of the real part of the load impedance over which delivered power can be maintained at a desired level has been increased so much that changes in plasma impedance, which formerly would have led to a process failure, have little or no effect on a reactor embodying this aspect of the reactor.
  • the invention enables the reactor to withstand far greater changes in operating conditions during a particular process or application.
  • it enables the reactor to be used in many different applications involving a wider range of process conditions, a significant advantage.
  • the system Q is reduced to broaden the process window by slightly offsetting the stub resonant frequency, the electrode plasma resonant frequency and the plasma source power frequency from one another.
  • the stub resonant frequency is that frequency at which the axial length of the stub 135 is a half wavelength
  • the electrode-plasma resonant frequency is the frequency at which the electrode assembly 126 and the plasma resonate together.
  • the stub 135 was cut to a length at which its resonant frequency was 220 MHz
  • the RF source power generator 150 was selected to operate at 210 MHz and the resulting electrode-plasma resonant frequency was about 200 MHz.
  • the electrode-plasma resonance may fluctuate due to fluctuations in plasma conditions.
  • the resonance between the stub 135 and the electrode-plasma combination that is necessary for an impedance match changes less for a given change in the plasma- electrode resonance.
  • fluctuations in plasma conditions have less effect on the impedance match.
  • a given deviation in plasma operating conditions produces a smaller increase in VSWR at the output of RF generator 150.
  • the reactor may be operated in a wider window of plasma process conditions (pressure, source power level, source power frequency, plasma density, etc) .
  • manufacturing tolerances may be relaxed to save cost and a more uniform performance among reactors of the same model design is achieved, a significant advantage.
  • a related advantage is that the same reactor may have a sufficiently wide process window to be useful for operating different process recipes and different applications, such as metal etch, dielectric etch and/or chemical vapor deposition.
  • Another choice that broadens the tuning space or decreases the system Q is to decrease the characteristic impedance of the stub 135.
  • the stub characteristic impedance can exceed the generator output impedance, to preserve adequate match space. Therefore, the system Q can be reduced, to the extent of reducing the amount by which the characteristic impedance of the stub 135 exceeds the output impedance of the signal generator 150.
  • the characteristic impedance of the coaxial stub 135 is a function of the radii of the inner and outer conductors
  • the stub characteristic impedance is chosen to provide the requisite impedance transformation between the output impedance of the plasma power source 150 and the input impedance at the electrode 135. This characteristic impedance lies between a minimum characteristic impedance and a maximum characteristic impedance. Changing the characteristic impedance of the stub 135 changes the waveforms of FIG. 2 and therefore changes the desired location of the tap 160 (i.e., its displacement, A, from the far end of the stub 135) .
  • the allowable minimum characteristic impedance of the stub 135 is the one at which the distance A of FIG.
  • the allowable maximum characteristic impedance of the stub 135 is the one at which the distance A of FIG. 2 is equal to the length of the stub 135 so that the tap 160 would have to be close to the near end 135b of the coaxial stub 135 adjacent the electrode 125 in order to see a 50 Ohm ratio between the standing wave current and voltage.
  • the coaxial stub characteristic impedance was chosen to be greater (by about 30%) than the output impedance of the RF generator 150, in order to provide an adequate match space.
  • the stub impedance must exceed the RF generator output impedance because the impedance match condition is achieved by selecting the location of the tap point 160 to satisfy
  • Zgen [ Zstub / where is determined by the location of the tap point and varies between zero and one. ( corresponds to the ratio of the inductance of the small portion of the stub 135 between the far end 135b and the tap 160 to the inductance of the entire stub 135.) Since cannot exceed one, the stub characteristic impedance must exceed the generator output impedance in order to find a solution to the foregoing equation. However, since the Q of the system is directly proportional to the stub characteristic impedance, the amount by which the stub characteristic impedance exceeds the generator output impedance can be somewhat minimized to keep the Q as low as practical. In the exemplary embodiment, the stub characteristic impedance exceeds the generator output impedance by only about 15
  • the coaxial stub characteristic impedance may be chosen to be less than the plasma power source (generator) output impedance to achieve greater power efficiency with some reduction in impedance match.
  • plasma operating conditions e.g., plasma density
  • plasma impedance that has a very small real (resistive) part (e.g., less .3 Ohm) and a small imaginary (reactive) part (e.g., 7 Ohms).
  • inductive and resistive losses predominate in the stub 135, because the inductance and resistance of the stub 135 are the predominant elements of impedance to power flow in the stub 135. Therefore, power loss in the stub 135 is proportional to current in the stub.
  • the stub characteristic impedance is much greater than the real part of the impedance presented by the electrode-plasma combination. Therefore, in the higher impedance stub 135 the voltage will be higher and the current lower than in the lower impedance plasma in which the current will be higher and the voltage lower.
  • the impedance transformation between the stub 135 and the plasma-electrode combination produces a higher voltage and lower current in the stub 135 (where resistive and inductive losses dominate and where these are now minimized) and a correspondingly lower voltage and higher current at the plasma/electrode (where capacitive losses dominate and where these are now minimized) .
  • overall power loss in the system is minimized so that power efficiency is greatly improved, a significant advantage.
  • power efficiency is about 95% or greater.
  • the stub 135, configured as described above serves not only to provide an impedance match or transformation between the generator and the electrode-plasma impedances across a very wide range or window of operating conditions, but in addition provides a significant improvement in power efficiency.
  • the ion energy at the wafer surface can be controlled independently of the plasma density/overhead electrode power. Such independent control of the ion energy is achieved by applying an HF frequency bias power source to the wafer. This frequency, (typically 13.56 MHz) is significantly lower than the VHF power applied to the overhead electrode that governs plasma density. Bias power is applied to the wafer by a bias power HF signal generator 200 coupled through a conventional impedance match circuit 210 to the wafer support 105. The power level of the bias generator 200 controls the ion energy near the wafer surface, and is generally a fraction of the power level of the plasma source power generator 150.
  • the coaxial stub 135 includes a shorting conductor 165 at the outer stub end providing a short circuit between the inner and outer coaxial stub conductors 140, 145.
  • the shorting conductor 165 establishes the location of the VHF standing wave current peak and the VHF standing wave voltage null as in FIG. 2.
  • the shorting conductor 165 does not short out the VHF applied power, because of the coupling of the stub resonance and the plasma/electrode resonance, both of which are at or near the VHF source power frequency.
  • the conductor 165 does appear as a direct short to ground for other frequencies, however, such as the HF bias power source (from the HF bias generator 200) applied to the wafer. It also shorts out higher frequencies such as harmonics of the VHF source power frequency generate ⁇ in the plasma sheath.
  • the combination of the wafer 110 and wafer support 105, the HF impedance match circuit 210 and the HF bias power source 200 connected thereto provides a very low impedance or near short to ground for the VHF power applied to the overhead electrode 125.
  • the system is cross- grounded, the HF bias signal being returned to ground through the overhead electrode 125 and the shorted coaxial stub 135, and the VHF power signal on the overhead electrode 135 being returned to ground through a very low impedance path (for VHF) through the wafer, the HF bias impedance match 210 and the HF bias power generator 200.
  • the exposed portion of the chamber side wall between the plane of the wafer and the plane of the overhead electrode 125 plays little or no role as a direct return path for the VHF power applied to the overhead electrode 125 because of the large area of the electrode 125 and the relatively short electrode-to-wafer gap.
  • the side wall of the chamber may be isolated from the plasma using magnetic isolation or a dielectric coating or an annular dielectric insert or removable liner.
  • the effective ground or return electrode area in the plane of the wafer 110 is enlarged beyond the physical area of the wafer or wafer support 105, so that it exceeds the area of the overhead electrode 125.
  • the semiconductor ring 115 provides a stray capacitance to the grounded chamber body and thereby extends the effective radius of the "return" electrode in the plane of the wafer 110 for the VHF power signal from the overhead electrode.
  • the semiconductor ring 115 is insulated from the grounded chamber body Dy the dielectric ring 120.
  • the thickness and dielectric constant of the ring 120 is selected to achieve a desirable ratio of VHF ground currents through the wafer 110 and through the semiconductor ring 115.
  • the dielectric ring 120 was quartz, having a dielectric constant of 4 9 and was of a thickness of 10 mm.
  • the overhead electrode 135 provides an effective HF return electrode area significantly greater than the area of the wafer or wafer support 105.
  • the semiconductor ring 115 in the plane of the wafer support 105 does not play a significant role in coupling the HF bias power into the chamber, so that the effective electrode area for coupling the HF bias power is essentially confined to the area of the wafer and wafer support 105.
  • Plasma stability was enhanced by eliminating D.C. coupling of the plasma to the shorting conductor 165 connected across the inner and outer stub conductors 140, 145 at the back of the stub 135. This is accomplished by the provision of the thin capacitive ring 180 between the coaxial stub inner conductor 140 and the electrode 125.
  • the ring 180 is sandwiched between the electrode 125 on the bottom and the conductive annular inner housing support 176.
  • the capacitive ring 180 had a capacitance of about 180 picoFarads, depending on the frequency of the bias chosen, about 13 MHz.
  • the capacitive ring 180 does not impede the cross-grounding feature described above.
  • the HF bias signal on the wafer pedestal is returned to the RF return terminal of the HF bias generator 150 via the stub 135 while the VHF source power signal from the electrode 125 is returned to the RF return terminal of the VHF source power generator 150 via the wafer pedestal.
  • FIG. 5 is a graph illustrating the reflection coefficient between the VHF power source and the overhead electrode 125 as a function of frequency. This graph illustrates the existence of a very broad band of frequencies over which the reflection coefficient is below 6 dB, which is indicative of the highly advantageous low system Q discussed above.
  • FIG. 6 illustrates the standing wave current (solid line) as a function of position along the coaxial stub 135 in the case in which the tap 160 is placed at the distance A of FIG. 2B from the shorted end of the stub.
  • FIG. 7 illustrates an alternative embodiment of the reactor in which the inner conductor 140 of the coaxial stub 135 is tapered, having a larger radius at the near stub end 135b adjacent the overhead electrode 125 and a smaller radius at the far stub end 135a.
  • This feature provides a transition between a low impedance (e.g., 50 ) presented by the coaxial stub 135 at the tap 160 and a higher impedance (e.g., 64 ) presented by the coaxial stub 135 at the overhead electrode 125.
  • the stub 135 need not be curved, but can instead be straight.
  • the process gases are desirably introduced through the overhead VHF source power electrode.
  • the overhead electrode is endowed with the function of a gas distribution showerhead, by providing an array of small gas injection nozzles or ports through the overhead electrode.
  • the process gases are fed to these injection ports through the center conductor of the coaxial tuning stub. Since the center conductor is coupled to the overhead electrode, the process gas feeds are completely protected from the plasma and from electric fields.
  • Arcing and other potential issues are avoided while retaining all of the above described advantages through a combination of features, one of which is to put the overhead electrode at a floating D.C. potential by capacitively isolating it from the VHF tuning stub. This is accomplished by placing a dielectric film between the coaxial tuning stub and the overhead electrode. This feature prevents DC plasma current from returning through the tuning stub via the overhead electrode, and thereby reduces arcing within the gas injection holes in the overhead electrode.
  • Another feature that reduces arcing is to provide capacitance between the plasma and the overhead electrode.
  • a dielectric layer is formed on the electrode surfaces of the overhead electrode that face the plasma. This can be done by anodizing such electrode surfaces, particularly the interior surfaces of the gas injection ports in the electrode. This feature helps to obviate plasma arcing in the gas injection ports in the overhead electrode.
  • the capacitance of the anodized electrode surfaces provides charge storage capacity which permits some charge of the RF current from the plasma to be stored rather than passing on to the electrode surfaces. To the extent charge is thus diverted from the surfaces of the gas inlet ports in the overhead electrode, plasma ignition therein is avoided.
  • the feature of capacitively isolating the overhead electrode extends the useable life of the electrode because it results in no net D.C. current between the plasma and the electrode, a significant advantage.
  • the metal foam layer is of a diameter that is generally coextensive with the overhead electrode.
  • the metal foam layer is of the commercially available type well-known in the art and typically consists of an aluminum matrix having a random cell structure. The advantage of the metal foam layer is that it suppresses electric fields near the electrode (i.e., within a plenum above the overhead electrode) and thereby reduces the tendency of plasma to arc inside the gas injection ports in the overhead electrode.
  • a metal foam layer is also employed to baffle the incoming process gas in order to achieve an even gas distribution across the array of gas injection ports in the overhead electrode.
  • the gas injection holes or ports in the overhead ceiling can be divided into a radially inner group and a radially outer group.
  • One metal foam layer baffles gas between a first gas supply and the outer group of ports, while another metal foam layer baffles gas between a second gas supply and the inner group of ports.
  • the radial distribution of process gas flow may be adjusted by independently adjusting the gas flow rates of the two gas supplies .
  • the coaxial tuning stub and overhead electrode offer a low impedance RF return path to ground for the HF bias power applied to the wafer support pedestal.
  • the new capacitive dielectric layer now inserted between the coaxial tuning stub and the overhead electrode can be used to tune the return HF path through the overhead electrode to a particular HF frequency.
  • One advantage of the choice of a VHF source power frequency (on the overhead electrode) is that the capacitive layer (between the overhead electrode and the tuning stub) , if tuned for HF frequencies, does not affect the VHF signal applied to the overhead electrode because it is an electrical snore ror a broad band of VHF frequencies.
  • a narrow HF frequency pass band to which the RF return path is tuned by the added capacitive layer was centered at the frequency of the HF bias source power applied to the wafer support pedestal.
  • the problem of sheath-generated harmonics can be solved by instead selecting this capacitance to tune the HF return path through the overhead electrode to the second harmonic of the HF bias power signal.
  • the result of this selection is that the HF second harmonic generated in the plasma sheath near the overhead electrode is shunted to ground through the overhead electrode before it can significantly affect the bulk plasma.
  • the etch rate was found to be improved by this feature by 10% to 15% in one embodiment. In this case, it is believed the fundamental of the HF bias signal is returned to ground through other available RF paths, such as the chamber side wall .
  • the selection of the capacitance of this added capacitive layer (between the overhead electrode and the tuning stub) for resonance at the selected HF frequency must take into account not only the capacitance of the thin plasma sheath at the overhead electrode but also the capacitance of the thick plasma sheath at the wafer support pedestal.
  • the highly efficient VHF plasma source of the present invention is capable of maintaining a plasma of sufficiently high density so that it may be used to thoroughly dry-clean the chamber interior periodically.
  • dry-clean refers to a cleaning procedure requiring no application of liquid chemical agents but only the application of a plasma, so that the vacuum enclosure need not be opened. Since in this manner the chamber can be thoroughly cleaned of polymer residue, its surfaces during wafer processing may be kept at a sufficiently high temperature to continually evaporate any polymer deposits thereon, so that the chamber is kept at least nearly free of polymer deposits throughout processing.
  • the overhead electrode assembly includes liquid passages for introducing fluid for heating or cooling the overhead electrode, enabling temperature control of the external surfaces thereof.
  • the plasma conditions are such that no polymer accumulates on the chamber surfaces during processing. Any minor accumulations are thoroughly removed during cleaning.
  • an optical window may be provided on or adjacent the overhead electrode, because it will remain clear or free of polymer deposits during processing. Thus, the reactor performance may be optically monitored.
  • the overhead electrode can include an optical window near its center, with a light transmitting optical fiber cable extending upwardly for connection to sensors outside of the chamber.
  • the optical monitoring of the plasma process may be employed to perform end-point detection.
  • the optical monitor may measure decreasing layer thickness in a plasma etch process or increasing layer thickness in a plasma-assisted chemical vapor deposition process, using conventional optical measurement techniques.
  • an additional outer layer is introduced onto the bottom (plasma-facing) surface of the overhead electrode.
  • This additional outer layer is formed of a material compatible with the particular process being carried out.
  • the outer layer on the overhead electrode would be silicon or silicon carbide.
  • the overhead electrode plasma-facing surface is anodized, as mentioned hereinabove.
  • the plasma can exhibit a greater resistive load impedance variation and a smaller reactive load impedance variation than was earlier expected.
  • the resistive load impedance may vary by as much as 100:1 (instead of 60:1) while the reactive load impedance may vary by only 20% (instead of 35%) .
  • This difference enables the characteristic impedance of the coaxial tuning stub to be reduced from 65 Ohms (above the RF generator's 50 Ohm output impedance) down to 30 Ohms (below the RF generator's output impedance). This reduction achieves a proportional increase in tuning space with a very small compromise in efficiency.
  • the range of variations in plasma resistive load impedance which can be matched by the tuning stub is increased from 60:1 to 100:1, due to the reduction in coaxial stub characteristic impedance.
  • the characteristic impedance of the coaxial stub is determined by the radii of its inner and outer conductors .
  • an equivalent strip line circuit is substituted in its stead.
  • the outer conductor of the coaxial tuning stub becomes a ground plane surface as the metal lid capping the reactor, while the center conductor of the coaxial tuning stub becomes the strip line conductor.
  • the characteristic impedance of the strip line conductor is adjusted by adjusting the spacing between the strip line conductor and the ground plane (the lid) .
  • the footprint of the tuning device is reduced because, while the coaxial tuning stub extends along a straight line, the strip line conductor can wind around circularly inside the lid, thereby reducing the area or footprint. All of the features of the coaxial tuning stub are retained in the strip line circuit.
  • the length of the strip line conductor is determined in the same manner as the length of the coaxial tuning stub as described above. Also, the location along the length of the strip line conductor for the feed point or tap connected to the VHF generator is the same as that of the tap to the coaxial tuning stub. Also, the strip line conductor is hollow and utilities are fed through the strip line conductor, in the same manner that utilities are fed through the coaxial tuning stub center conductor.
  • the overhead electrode 125 is a gas distribution showerhead, and therefore has a large number of gas injection ports or small holes 300 in its bottom surface 125a facing the workpiece support 105.
  • the ports 300 were between 0.01 and 0.03 inch in diameter and their centers are uniformly spaced apart by about 3/8 inch.
  • the annular top 290a of a conical metal housing 290 supports the near end 140a of the coaxial stub inner conductor 140 and its annular base 290b rests on the aluminum overhead electrode 125.
  • the conical shape of the housing 290 defines a large open plenum over the overhead electrode 125 within which various utilities may be fed from the hollow coaxial inner conductor 140 to the overhead electrode 125.
  • the conical housing base 290b is near the outer circumference of the overhead electrode 125, leaving nearly all of the upper surface of the overhead electrode 125 accessible.
  • the ports 300 consist of a radially outer group of 0.020 in diameter ports 302 and a radially inner group of 0.010 in diameter ports 304.
  • the outer group of ports 302 extends beyond the circumference of the wafer by about half the radius of the wafer, in order to ensure uniform gas flow at the wafer periphery.
  • One advantage of this feature is that the radial distribution of process gas flow can be adjusted in such a manner as to compensate for the tendency of the VHF capacitively coupled reactor of FIGS. 1-7 to produce a plasma density that is greater over the center of the wafer and less over the wafer periphery.
  • a radially outer metallic foam layer 310 within the overhead electrode 125 overlies the ports 302.
  • a radially outer gas distribution manifold or plenum 315 overlying the outer foam layer 310 is coupled through an axial gas passageway 320 to a gas supply line 325 passing through the interior conductor 140 of the coaxial tuning stub 135.
  • a radially inner aluminum foam layer 330 within the overhead electrode 125 overlies the ports 304.
  • a radially inner gas distribution manifold or plenum 335 overlying the inner foam layer 330 is coupled through an axial gas passageway 340 to a gas supply line 345 passing through the interior conductor 140 of the coaxial tuning stub 135.
  • the aluminum foam layers 310 and 330 baffle the incoming process gases. The radial distribution of process gas flow rate is adjusted by independent selection of process gas flow rates within each one of the gas supply lines 325 and 345.
  • the overhead electrode bottom surface 125a is coated with a dielectric layer.
  • the overhead electrode 125 is aluminum and the dielectric coating is formed by anodizing the electrode bottom surface 125a.
  • Such anodization forms a very thin dielectric coating not only on the flat bottom surface 125a but also on the interior surfaces of the gas injection ports 300. This feature tends to suppress arcing within the gas injection ports by providing a charge storage capability that can compensate for RF plasma currents flowing to the overhead electrode 125.
  • FIG. 10 is an enlarged partial view corresponding to FIG. 8 illustrating the resulting fine structure near one of the gas inlet ports 300.
  • an aluminum oxide layer 350 formed by anodization covers the electrode bottom surface 125a and covers the interior surface of the gas injection port 300.
  • the top surface 125b of the overhead electrode 125 is covered with a relatively thick (0.25 in) layer of aluminum foam 355.
  • the thick aluminum foam 355 tends to keep the electric potential near the overhead electrode constant in the axial (vertical) direction, thereby suppressing electric fields in that vicinity which would otherwise contribute to plasma arcing within the gas injection ports 300.
  • a thin msulative layer 360 is placed between the overhead electrode 125 and the base 290b of the conductive housing 290 that connects the overhead electrode 125 to the coaxial center conductor 140. This feature allows the D.C. potential of the overhead electrode to float.
  • a capacitor is thereby formed between the overhead electrode 125 and the conductive housing base 290b.
  • the capacitance of this capacitor is determined by the area of the base 290b as well as by the thickness and dielectric constant of the thin insulative layer 360.
  • the capacitance of this capacitor may be selected to provide a narrow resonance or low impedance path at a particular HF frequency, while providing an RF short across the entire VHF band.
  • the overhead electrode 125 provides a return path for HF bias power applied to the wafer support pedestal 105, but does not affect the behavior of the overhead electrode 125 at the VHF source power frequency.
  • plasma arcing within the gas injection ports 300 is suppressed by forming a dielectric coating 350 on the bottom of the overhead electrode 125 and on the interior surfaces of the gas injection ports 300, by providing an aluminum foam layer 355 on top of the overhead electrode 125, and by placing a thin insulative layer 360 between the overhead electrode 125 and the conductive housing 290.
  • the thin insulative layer 360 can play an important role in suppressing plasma sheath-generated harmonics of the HF bias signal applied to the wafer support pedestal 105. The presence of such harmonics degrades process performance, and specifically reduces etch rates.
  • the capacitance-determining characteristics of the insulative layer 360 i.e., dielectric constant and thickness
  • the return path from the plasma through the overhead electrode 125 and coaxial inner conductor 140 is tuned to resonate (and therefore have a very high admittance) at a particular HF frequency.
  • Selection of the thickness of the capacitor layer 360 to tune the return path through the overhead electrode 125 to a particular HF frequency is affected by a number of factors, including the capacitance of the thin plasma sheath at the overhead electrode 125, the capacitance of the thick plasma sheath at the wafer support pedestal 105 as well as the capacitance of the plasma itself. Numerous conventional techniques may be readily employed by the skilled worker to find the correct thickness of the capacitor layer 360 to achieve resonance at the selected HF frequency given the particular plasma operating conditions, including trial and error.
  • polymer deposits are a serious problem because the process gas must be able to form polymer layers over non-oxide containing surfaces on the workpiece in order to achieve a suitable etch selectivity between silicon dioxide materials and other materials that are not to be etched.
  • the simpler fluorine ions and radicals perform the etching while the carbon-rich species deposit polymer over all non-oxygen-containing materials on the workpiece as well as all interior surfaces of the reactor chamber.
  • these surfaces In order to avoid contamination of the workpiece by polymer particles falling from chamber interior surfaces into the plasma, these surfaces must be kept at a sufficiently low temperature and the plasma electron energy must be kept sufficiently low to avoid tearing such deposits off of the chamber interior surfaces.
  • the chamber vacuum must be interrupted and a chemical cleaning step performed to remove such deposits, a step that greatly reduces productivity of the reactor.
  • the capacitively coupled VHF source described with reference to FIG. 1 is highly efficient and therefore capable of producing, during a non-chemical cleaning step, a sufficiently high plasma density to thoroughly remove from the chamber interior surfaces any polymer residue deposited during wafer processing.
  • the usual plasma process gases may be replaced by a more volatile gas (e.g., one tending to produce a plasma with a very high free fluorine content) .
  • a more volatile gas e.g., one tending to produce a plasma with a very high free fluorine content
  • the chamber remains closed so that the cleaning step may be performed quickly and frequently to keep the chamber free of polymer deposits. Therefore, one operating mode of the reactor of FIG. 8 is one in which the chamber surface temperatures and the plasma ion energies are sufficiently great to avoid accumulation of polymer on the interior chamber surfaces.
  • the reactor of FIG. 8 includes passages 670 (for heat-conducting fluid) on the overhead electrode 125.
  • the fluid passages 670 are formed between the upper aluminum foam layer 355 and the upper surface of the overhead electrode 125.
  • such passages may be formed completely internally within the overhead electrode 125.
  • a temperature- controlling fluid or gas is fed to the fluid passages 670 from a fluid supply line 675 passing through the hollow inner coaxial conductor 140.
  • the temperature of the overhead electrode 125 may be precisely controlled.
  • the reactor may be operated in either deposition mode (in which the surfaces are sufficiently cool to accumulate polymer) or in a depletion mode (in which the surfaces are sufficiently hot to allow plasma ions to tear away polymer from the surfaces and thereby avoid accumulation of polymer) .
  • deposition mode in which the surfaces are sufficiently cool to accumulate polymer
  • depletion mode in which the surfaces are sufficiently hot to allow plasma ions to tear away polymer from the surfaces and thereby avoid accumulation of polymer.
  • One desirable mode is the depletion mode because this mode avoids particle contamination.
  • an optical window 680 may be provided in the bottom surface of the overhead electrode 125.
  • An optical channel such as an optical fiber or light pipe 685 is connected at one end to the optical window 680 and passes through the hollow inner coaxial conductor 140.
  • the light pipe 685 is connected to a convention optical detector 687 at the outer end.
  • end point detection and other measurements may be performed using such an optical detector.
  • the detector 687 measures the thickness of a selected layer on the workpiece or semiconductor wafer 110, using well-known optical techniques. During an etch process, for example, the process would be halted after the thickness of the material being etched is reduced to a predeterminded thickness, as measured by the detector 687.
  • the chamber interior surfaces can be maintained free of polymer deposits, they remain exposed to the plasma.
  • the bottom surface of the aluminum overhead electrode 125 is continually subject to attack from the plasma, and is therefore liable to contribute aluminum species into the plasma, leading to contamination of the workpiece and hence process failure.
  • the bottom surface of the overhead electrode 125 which may be anodized, is coated with a process- compatible material such as silicon or silicon carbide.
  • a thin silicon carbide film 690 covers the bottom anodized surface of the aluminum overhead electrode 125.
  • the thin silicon or silicon carbide film 690 prevents the plasma from attacking the aluminum material of the electrode 125.
  • the plasma removes material from the silicon-containing film 690, the species thus introduced into the plasma cannot contaminate the process because such species (silicon and carbon) are already present in the plasma and/or workpiece and therefore are compatible with the process.
  • Silicon is present in the plasma where silicon oxide is being etched.
  • Carbon is in the plasma wherein fluoro-carbon gases are employed as process etch gases.
  • the overhead electrode is not anodized and the silicon carbide film 690 is formed over a pure aluminum surface of the electrode 125.
  • the plasma can exhibit a greater resistive load impedance variation and a smaller reactive load impedance variation.
  • the resistive load impedance of the plasma may vary by as much as 100:1 (instead of 60:1) while the reactive load impedance may vary by only 20% (instead of 35%) .
  • This difference enables the characteristic impedance of the coaxial tuning stub to be reduced from 65 Ohms (i.e., above the RF generator's 50 Ohm output impedance) down to 30 Ohms (i.e., below the RF generator's output impedance). Th s reduction achieves a proportional increase in tuning space with a very small compromise in efficiency.
  • the range of variations in plasma resistive load impedance which can be matched by the tuning stub is increased from 60:1 to 100:1, due to the reduction in coaxial stub characteristic impedance.
  • the characteristic impedance of the coaxial stub is determined by the radii of its inner and outer conductors.
  • the invention thus provides a plasma reactor which is far less sensitive to changes in operating conditions and/or variations in manufacturing tolerances. It is believed that these great advantages including lack of sensitivity to operating conditions —i.e., broad tuning or frequency space for impedance matching— are the contributions of a number of reactor features working together in combination, including an overhead reactor electrode having a capacitance matching or nearly matching the magnitude of the negative capacitance of the plasma at the most desired processing plasma ion densities, use of a VHF source power frequency matching or nearly matching the plasma-electrode resonance frequency; the close relationship of the VHF source power frequency, the plasma-electrode resonance frequency and the stub resonance frequency; offsetting the plasma-electrode resonance frequency, the stub resonance frequency and the source power frequency from one another; and the use of a resonant stub match to couple source power to the overhead electrode, for example with the source power input tap 160 offset slightly from the ideal match location.
  • offsetting the plasma, stub and source power frequencies broadens the tuning space of the system by, in effect, de-tuning the system.
  • Using a stub match broadens the tuning space by matching across a broader frequency range.
  • Offsetting the stub tap point 160 from the ideal match point further optimizes the system to broaden the tuning space, because this feature has the effect of adding current when delivered power would otherwise decline and of subtracting current when delivered power would otherwise increase.
  • Using a higher (VHF) source power frequency provides a decrease in system Q or an increase in tuning space proportional to the increase in source power frequency. More importantly, this selection allows the electrode-plasma resonance to be matched to the source power frequency at a plasma density favorable to etch processes.
  • the invention renders the reactor virtually immune to changes in process conditions over a broader process window, it provides the three-fold advantage of a reactor that is (a) workable over a wider range of process condition deviations, (b) useful over a broader range of applications (different process recipes) and (c) whose performance is virtually unaffected over a wider range of manufacturing tolerances, so that reactor-to-reactor characteristics are uniform. Consequently, superior results have been attained.
  • the Q of the system has been minimized to about 5 in some cases to retain a superior degree of uniformity of characteristics and performance among different reactors of the same model, and to enhance process window.
  • High plasma densities on the order of 10 12 ions/cc have been achieved consistently with only 2kW of source power.
  • the system sustained plasmas over a pressure range of 10 mT to 200 T with no transitions with source power levels as low as 10 W.
  • the shorted impedance matching coaxial stub resonating near the VHF plasma and source power frequencies shorted out parasitic VHF plasma sheath harmonics while realizing a power efficiency in excess of 95%.
  • the system accommodated plasma resistive load variations of 60:1 and reactive load variations of 1.3 to .75 while maintaining the source power SWR at less than 3:1.
  • the very high power efficiency is due in large part to the impedance transformation provided by the coaxial stub, which minimizes reflection losses both at the generator connection as well as at the electrode connection, due to obtaining a match between stub resonant frequency and electrode-plasma resonant frequency, along with optimal tap positioning for realizing a low current and high voltage in the coaxial stub where resistive losses dominate and a high current low voltage at the electrode/plasma where capacitive losses dominate. Yet all these benefits are provided while avoiding or minimizing the need for conventional impedance match apparatus.
  • the reactor is also advantageous for choices of plasma operating conditions other than those described above, including different ion densities, different plasma source power levels, different chamber pressures. These variations will produce different plasma capacitances, requiring different electrode capacitances and different electrode-plasma resonant frequencies and therefore require different plasma source power frequencies and stub resonant frequencies from those described above. Also, different wafer diameters and different plasma processes such as chemical vapor deposition may well have different operating regimes for source power and chamber pressure. Yet it is believed that under these various applications, the reactor will generally enhance the process window and stability as in the embodiment described above.
  • the coaxial tuning stub of FIGS. 1 and 8 is a fixed tuning element that provides an impedance match over a large tuning space, as described with reference to FIGS. 1-7.
  • the coaxial tuning stub of FIGS. 1 and 8 is replaced by an equivalent strip line circuit, as illustrated in FIGS. 12, 13 and 14.
  • the center conductor of the VHF generator 50 Ohm coaxial output connector is connected to a strip line conductor 700, while the outer conductor of the VHF generator 50 Ohm coaxial output connector is connected to the metal ceiling 710 of a housing 715 covering the top of the reactor.
  • the conductive ceiling 710 functions as a ground plane that the strip line conductor 700 faces.
  • the strip line conductor 700 is generally oval in cross-section, with its broader side facing the ground plane ceiling 710.
  • the characteristic impedance of the strip line conductor is determined by its spacing from the ground plane ceiling 710.
  • the strip line conductor 700 may be uniformly spaced from the ground plane ceiling 710 along its entire length.
  • the strip line conductor was 0.125 inch in height, 2.5 inches wide and is displaced below the ground plane ceiling 710 by 0.5 inch.
  • the length of the strip line conductor 700 is determined in the same manner as the length of the coaxial tuning stub 135, as described above in detail with reference to FIG. 1.
  • the placement of the RF tap 160 along the length of the strip line conductor 700 is also determined in the same manner as the placement of the RF tap along the length of the coaxial stub 135, as described with reference to FIG.
  • the end of the strip line conductor 700 of FIG. 12 furthest from the overhead electrode 125 is, like the corresponding end of the coax stub inner conductor 140 of FIG .1, shorted to ground.
  • the short to ground is achieved by a connection at the far end 700a to the ground plane ceiling 710, as shown in FIG. 13.
  • the strip line conductor 700 has a length equal to a quarter wavelength of the resonant frequency of the fixed tuning element, in this case the strip line circuit comprising the strip line conductor 700 and the ground plane ceiling. Therefore, the selection of the length of the strip line conductor 700 is exactly as the selection of the length of the coaxial tuning stub 135 which is described above with reference to FIGS. 1-7. In one embodiment, this length was about 29 inches.
  • the RF tap 160 of FIG. 12 connects the VHF generator to the strip line circuit at a particular point along the length of the strip line conductor 700, just as the RF tap 160 of FIG. 1 makes the corresponding connection along the length of the coaxial tuning stub 135.
  • the center conductor of the VHF generator output coaxial connector is connected at the tap 160 to the strip line conductor while the outer conductor of the VHF generator output coaxial conductor is connected to the ground plane ceiling at the point overlying the tap connection to the strip line conductor.
  • the location of the tap point 160 in FIG. 12 along the length of the strip line conductor 700 is determined in the same manner as the location of the tap in FIG. 1 along the length of the coaxial stub, as described above in detail with respect to FIG. 1.
  • the strip line circuit comprising the strip line conductor 700 and the ground plane ceiling performs in the same manner as the coaxial tuning stub 135 of FIG. 1, including the feature described with respect to FIG.
  • the impedance match space can accommodate as much as a 100:1 variation in load resistance by slightly offsetting the tap point 160 from a theoretical optimum.
  • the theoretical optimum location of the tap 160 is at a point along the length of the tuning stub 135 (or, equivalently, along the length of the strip line conductor 700 of FIG. 12) at which the ratio between the standing wave voltage and current equals the output impedance of the VHF generator or the characteristic impedance of the coaxial cable connected therebetween.
  • the discovery described with reference to FIG. 1 is that the impedance match space is surprisingly expanded by offsetting the tap 160 by about 5% from the theoretical optimum location.
  • the strip line conductor circuit of FIG. 12 provides all the advantages and functions of the coaxial tuning stub of FIG. 1 but further adds the advantage of compactness.
  • the strip line conductor 700 of FIG. 12 is hollow in order to accommodate the utility lines connected to the electrode 125, and is connected to the top surface 290a of the conical housing 290.
  • the advantage of the strip line conductor 700 is that the strip line conductor 700 can extend in a circular fashion within the housing 715 so that its requisite length can be realized without extending beyond the "footprint" of the reactor chamber.
  • the length of the strip line conductor is determined in the same manner that the length of the coaxial tuning stub is determined, as described above with reference to FIG. 1.
  • the impedance of the strip line conductor 700 is determined by adjusting its displacement from the ground plane ceiling 710. As described above, this impedance is best selected to be about 30 Ohms, or less than the VHF generator output impedance.
  • the location of the tap 160 from the VHF generator 150 along the length of the strip line conductor 700 is made in the same manner as the location of the RF tap 160 on the coaxial tuning stub as described above with reference to FIG. 1.
  • the strip line conductor 700 in combination with the ground plane ceiling 710 performs the same function as the coaxial tuning stub of FIGS.
  • FIG. 14 illustrates another embodiment in which the strip line conductor 700 is circularly wound.
  • the coaxial stub inner conductor 140 of FIG. 8 and the strip line conductor 700 of FIG. 12 are both hollow in order to accommodate lines that carry various utilities to the overhead electrode.
  • the outer gas supply line 325 is connected to an outer gas flow controller 800
  • the inner gas supply line 345 is connected to an inner gas flow controller 810
  • the optical fiber or light pipe 685 is connected to the optical detector 687
  • the heating/cooling line 675 is connected to a heating/cooling source controller 830.
  • the fixed tuning element 135 is either a coaxial tuning stub (as in the embodiments of FIGS. 1 and 8) or a strip line circuit (as in the embodiments of FIGS. 12 and 14). Antenna designers will recognize the equivalent function performed by both embodiments of the fixed tuning element in providing an impedance match between the 50 Ohm characteristic output impedance of the RF generator and the impedance of the electrode/plasma combination. Both embodiments of the fixed tuning element (or, equivalently, fixed impedance match element) share structural feature in common, including the use of a center conductor (either a strip line conductor in FIG. 12 or an inner coaxial conductor in FIG. 8) and a grounded conductor (the ground plane ceiling of FIG.
  • the characteristic impedance of the impedance match element is determined by the spacing between the two conductors, while the input impedance to the impedance match element is determined by the location along the center conductor of the connection to the RF generator.
  • the center conductor is hollow and therefore serves as an RF- shielded conduit for gas feed lines and heat-conductive fluid feed lines.
  • both embodiments of the impedance match element are physically fixed in structure, and therefore require no moving parts or intelligent controllers, a significant advantage. Other related advantages have already been described.
  • the fixed impedance match element of both embodiments may therefore be referred to in general as a fixed two-conductor impedance match element with a hollow center conductor.

Abstract

A plasma reactor for processing a semiconductor workpiece, includes a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece, an overhead electrode overlying said workpiece support, the electrode comprising a portion of said chamber wall, an RF power generator for supplying power at a frequency of said generator to said overhead electrode and capable of maintaining a plasma within said chamber at a desired plasma ion density level. The overhead electrode has a capacitance such that said overhead electrode and the plasma formed in said chamber at said desired plasma ion density resonate together at an electrode-plasma resonant frequency, said frequency of said generator being at least near said electrode-plasma resonant frequency. The reactor further includes an insulating layer formed on a surface of said overhead electrode facing said workpiece support, a capacitive insulating layer between said RF power generator and said overhead electrode, and a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support.

Description

PLASMA REACTOR WITH OVERHEAD RF ELECTRODE TUNED TO THE PLASMA WITH ARCING SUPPRESSION
Inventors: Daniel Hoffman, Gerald Yin, Yan Ye, Dan Katz,
Douglas A. Buchberger, Jr., Xiaoye (Allen) Zhao, Kang- ie
Chiang, Robert B. Hagen, and Matthew L. Miller CROSS-REFERENCE TO RELATED APPLICATIONS:
This application is a continuation in part of U.S. application Serial 09/527,342 filed March 17, 2000 by Daniel Hoffman et al., entitled Plasma Reactor with Overhead RF Electrode Tuned to the Plasma and assigned to the present assignee.
BACKGROUND OF THE INVENTION An RF plasma reactor is used to process semiconductor wafers to produce microelectronic circuits. The reactor forms a plasma within a chamber containing the wafer to be processed. The plasma is formed and maintained by application of RF plasma source power coupled either inductively or capacitively into the chamber. For capacitive coupling of RF source power into the chamber, an overhead electrode (facing the wafer) is powered by an RF source power generator.
One problem in such reactors is that the output impedance of the RF generator, typically 50 Ohms, must be matched to the load impedance presented by the combination of the electrode and the plasma. Otherwise the amount of RF power delivered to the plasma chamber will fluctuate with fluctuations in the plasma load impedance so that certain process parameters such as plasma density cannot be held within the required limits. The plasma load impedance fluctuates during processing because it depends upon conditions inside the reactor chamber which tend to change dynamically as processing progresses. At an optimum plasma density for dielectric or metal etch processes, the load impedance is very small compared to the output impedance of the RF generator and can vary significantly during the processing of the wafer. Accordingly, an impedance match circuit must be employed to actively maintain an impedance match between the generator and the load. Such active impedance matching uses either a variable reactance and/or a variable frequency. One problem with such impedance match circuits is that they must be sufficiently agile to follow rapid changes in the plasma load impedance, and therefore are relatively expensive and can reduce system reliability due to their complexity.
Another problem is that the range of load impedances over which the match circuit can provide an impedance match (the "match space") is limited. The match space is related to the system Q, where Q = f/f, f being a resonant frequency of the system and f being the bandwidth on either side of f within which resonant amplitude is within 6 dB of the peak resonant amplitude at f. The typical RF generator has a limited ability to maintain the forward power at a nearly constant level even as more RF power is reflected 'back to the generator as the plasma impedance fluctuates.
Typically, this is achieved by the generator servoing its forward power level, so that as an impedance mismatch increases (and therefore reflected power increases) , the generator increases its forward power level. Of course, this ability is limited by the maximum forward power [of] which the generator is capable of producing. Typically, the generator is capable of handling a maximum ratio of forward standing wave voltage to reflected wave voltage (i.e., the voltage standing wave ratio or VS R) of not more than 3:1. If the difference in impedances increases (e.g., due to plasma impedance fluctuations during processing) so that the VSWR exceeds 3:1, then the RF generator can no longer control the delivered power, and control over the plasma is lost. As a result, the process is likely to fail. Therefore, at least an approximate impedance match must be maintained between the RF generator and the load presented to it by the combination of the coil antenna and the chamber. This approximate impedance match must be sufficient to keep the VSWR at the generator output within the 3:1 VSWR limit over the entire anticipated range of plasma impedance fluctuations. The impedance match space is, typically, the range of load impedances for which the match circuit can maintain the VSWR at the generator output at or below 3:1.
A related problem is that the load impedance itself is highly sensitive to process parameters such as chamber pressure, plasma source power level, source power frequency and plasma density. This limits the range of such process parameters (the "process window") within which the plasma reactor must be operated to avoid an unacceptable impedance mismatch or avoid fluctuations that take load impedance outside of the match space. Likewise, it is difficult to provide a reactor which can be operated outside of a relatively narrow process window and process use, or one that can handle many process applications.
Another related problem is that the load impedance is also affected by the configuration of the reactor itself, such as dimensions of certain mechanical features and the conductivity or dielectric constant of certain materials within the reactor. (Such configurational items affect reactor electrical characteristics, such as stray capacitance for example, that in turn affect the load impedance.) This makes it difficult to maintain uniformity among different reactors of the same design due to manufacturing tolerances and variations in materials. As a result, with a high system Q and correspondingly small impedance match space, it is difficult to produce any two reactors of the same design which exhibit the same process window or provide the same performance.
Another problem is inefficient use of the RF power source. Plasma reactors are known to be inefficient, in that the amount of power delivered to the plasma tends to be significantly less than the power produced by the RF generator. As a result, an additional cost in generator capability and a trade-off against reliability must be incurred to produce power in excess of what is actually required to be delivered into the plasma.
This specification generally concerns a capacitively coupled reactor having an overhead electrode that is driven by a VHF plasma source power RF supply, rather than a HF power supply. We have found that at a VHF source power frequency, unlike an HF frequency, it is practical to tune the overhead electrode to resonate with the plasma, leading to heretofore unattained stability and efficiency and many other advantages.
A superior way to feed process gases into a capacitively coupled plasma reactor is to inject the process gases through the overhead ceiling. In the present reactor, the overhead ceiling is the source power electrode that is coupled to a VHF RF power generator through a coaxial stub or equivalent impedance match element. In order to inject the process gas from the ceiling, the ceiling electrode also is a "showerhead", a conductive layer having a set of small gas injection ports passing through it for injecting the process gases. In some instances plasma discharge or "arcing" tends to occur within the ceiling gas injection ports. This poses a risk of the plasma discharge removing material of the overhead electrode or showerhead from the interior surfaces of the gas injection ports. The species, e.g. metallic species, thus introduced into the plasma can contaminate the surface of the wafer or workpiece and damage the microelectronic devices being fabricated thereon.
Accordingly, it would be desirable to reduce or eliminate the tendency to ignite plasma within gas injection ports (or anywhere else outside of the bulk plasma) , particularly in a plasma reactor having a combination overhead electrode/gas distribution showerhead connected to a VHF plasma source RF power supply.
The overhead electrode may suffer wear from being in contact with plasma, particularly since it is both an anode for the bias power and a cathode for the source power and is therefore subject to RF and DC currents. The cost of operating the reactor would be reduced if there could be found a way to avoid passing such currents directly through the overhead electrode or avoid direct contact of plasma with the electrode.
A problem often found in plasma reactors is the generation of second and third harmonics within the plasma sheath. In the present reactor, while plasma VHF source power is applied by the overhead electrode, plasma bias power is applied by an HF signal on the wafer support pedestal. At HF frequencies, most of the RF power is consumed in the sheath, the remainder sustaining the bulk plasma. A plasma sheath is a non-linear load and therefore creates second and/or third harmonics of the HF bias signal applied to the wafer support pedestal. The presence of such harmonics changes plasma behavior in such a way that process performance is impaired in the presence of such harmonics. Specifically, process control to avoid etch stop or over- etching becomes more difficult, and the etch rate is reduced. It would be desirable to reduce the production of such harmonics affecting the plasma.
In the present reactor, the coaxial tuning stub, whose length is correlated to the wavelength of the VHF source power signal, can have a footprint larger than the remainder of the reactor. It would therefore be advantageous to be able to reduce this footprint without sacrificing any of the advantages of the coaxial tuning stub.
SUMMARY OF THE INVENTION A plasma reactor for processing a semiconductor workpiece, includes a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece, an overhead electrode overlying said workpiece support, the electrode comprising a portion of said chamber wall, an RF power generator for supplying power at a frequency of said generator to said overhead electrode and capable of maintaining a plasma within said chamber at a desired plasma ion density level. The overhead electrode has a capacitance such that said overhead electrode and the plasma formed in said chamber at said desired plasma ion density resonate together at an electrode-plasma resonant frequency, said frequency of said generator being at least near said electrode-plasma resonant frequency. The reactor further includes an insulating layer formed on a surface of said overhead electrode facing said workpiece support, a capacitive insulating layer between said RF power generator and said overhead electrode, and a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support. The insulating layer provides a capacitance sufficient to suppress arcing within said gas injection ports, the capacitive insulating layer has a sufficient capacitance to block D.C. current from a plasma within said chamber from flowing through said overhead electrode, and the metal foam layer is of a sufficient thickness to suppress an axial electric field within said gas injection orifices .
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a cut-away cross-sectional side view of a plasma reactor embodying the present invention.
FIGS. 2A and 2B are diagrams illustrating, respectively, the coaxial stub of FIG. 1 and the voltage and current standing wave amplitudes as a function of position along the coaxial stub.
FIG. 3 illustrates the subtraction of current at the input power tap point on the coaxial stub that occurs in response to high plasma load impedance to maintain a more constant delivered VHF power level in a larger match space.
FIG. 4 illustrates the addition of current at the input power tap point on the coaxial stub that occurs in response to low plasma load impedance to maintain a more constant delivered VHF power level in a larger match space.
FIG. 5 is a graph illustrating the low-Q reflection coefficient as a function of frequency of the embodiment of FIG. 1.
FIG. 6 is a graph illustrating the interaction of the current contribution at the input power tap point on the coaxial stub with the standing wave current and voltage along the stub length.
FIG. 7 illustrates an alternative embodiment of the coaxial stub of FIG. 1.
FIG. 8 depicts another embodiment in accordance with the present invention.
FIG. 9 is an enlarged view corresponding to FIG. 8.
FIG. 10 is an enlarged view of FIG. 9.
FIG. 11 is another enlarged view of FIG. 8.
FIG. 12 depicts yet another embodiment in accordance with the invention.
FIG. 13 is a top view corresponding to FIG. 12.
FIG. 14 is a top view corresponding to an alternate embodiment of the reactor of FIG. 13. DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 1, a plasma reactor includes a reactor chamber 100 with a wafer support 105 at the bottom of the chamber supporting a semiconductor wafer 110. A semiconductor ring 115 surrounds the wafer 110. The semiconductor ring 115 is supported on the grounded chamber body 127 by a dielectric (quartz) ring 120. In one embodiment, this is of a thickness of 10 mm and dielectric constant of 4. The chamber 100 is bounded at the top by a disc shaped overhead aluminum electrode supported at a predetermined gap length above the wafer 110 on grounded chamber body 127 by a dielectric (quartz) seal. The overhead electrode 125 also may be a metal (e.g., aluminum) which may be covered with a semi-metal material (e.g., Si or SiC) on its interior surface, or it may be itself a semi-metal material. An RF generator 150 applies RF power to the electrode 125. RF power from the generator 150 is coupled through a coaxial cable 162 matched to the generator 150 and into a coaxial stub 135 connected to the electrode 125. The stub 135 has a characteristic impedance, resonance frequency, and provides an impedance match between the electrode 125 and the 50 Ohm coaxial cable 162 or the 50 Ohm output of the RF power generator 150, as will be more fully described below. The chamber body is connected to the RF return (RF ground) of the RF generator 150. The RF path from the overhead electrode 125 to RF ground is affected by the capacitance of the semiconductor ring 115, the dielectric ring 120 and the dielectric seal 130 . The wafer support 105, the .wafer 110 and the semiconductor ring 115 provide the primary RF return path for RF power applied to the electrode 125.
The capacitance of the overhead electrode- assembly 126, including the electrode 125, the dielectric ring 120 and dielectric seal 130 measured with respect to RF return or ground can be 180 pico farads. The electrode assembly capacitance is affected by the electrode area, the gap length (distance between wafer support and overhead electrode) , and by factors affecting stray capacitances, especially the dielectric values of the seal 130 and of the dielectric ring 120, which in turn are affected by the dielectric constants and thicknesses of the materials employed. More generally, the capacitance of the electrode assembly (an unsigned number or scalar) is equal or nearly equal in magnitude to the negative capacitance of the plasma (a complex number) at a particular source power frequency, plasma density and operating pressure, as will be discussed below.
Many of the factors influencing the foregoing relationship are in great part predetermined due to the realities of the plasma process requirements needed to be performed by the reactor, the size of the wafer, and the requirement that the processing be carried out uniformly over the wafer. Thus, the plasma capacitance is a function of the plasma density and the source power frequency, while the electrode capacitance is a function of the wafer support-to- electrode gap (height) , electrode diameter, and dielectric values of the insulators of the assembly. Plasma density, operating pressure, gap, and electrode diameter must satisfy the requirements of the plasma process to be performed by the reactor. In particular, the ion density must be within a certain range. For example, silicon and dielectric plasma etch processes generally require the plasma ion density to be within the range of 109 - 1012 ions/cc. The wafer electrode gap provides an optimum plasma ion distribution uniformity for 8 inch wafers, for example, if the gap is about 2 inches. The electrode diameter can be at least as great as, if not greater than the diameter of the wafer. Operating pressures similarly have practical ranges for typical etch and other plasma processes.
But it has been found that other factors remain which can be selected to achieve the above relationship, particularly choice of source frequency and choice of capacitances for the overhead electrode assembly 126. Within the foregoing dimensional constraints imposed on the electrode and the constraints (e.g., density range) imposed on the plasma, the electrode capacitance can be matched to the magnitude of the negative capacitance of the plasma if the source power frequency is selected to be a VHF frequency, and if the dielectric values of the insulator components of electrode assembly 126 are selected properly. Such selection can achieve a match or near match between source power frequency and plasma-electrode resonance frequency.
Accordingly in one aspect, for an 8-inch wafer the overhead electrode diameter is approximately 11 inches, the gap is about 2 inches, the plasma density and operating pressure is typical for etch processes as above-stated, the dielectric material for the seal 130 has a dielectric constant of 9 and a thickness of the order of 1 inch, the ring 115 has an inner diameter of slightly in excess of 10 inches and an outer diameter of about 13 inches, the ring 120 has a dielectric constant of 4 and a thickness of the order of 10mm, the VHF source power frequency is 210 MHz (although other VHF frequencies could be equally effective) , and the source power frequency, the plasma electrode resonance frequency and the stub resonance frequency are all matched or nearly matched. More particularly, these three frequencies can be slightly offset from one another, with the source power frequency being 210 MHz, the electrode-plasma resonant frequency being approximately 200 MHz, and the stub frequency being about 220 MHz, in order to achieve a de-tuning effect which advantageously reduces the system Q. Such a reduction in system Q renders the reactor performance less susceptible to changes in conditions inside the chamber, so that the entire process is much more stable and can be carried out over a far wider process window.
The coaxial stub 135 is a specially configured design which further contributes to the overall system stability, its wide process window capabilities, as well as many other valuable advantages. It includes an inner cylindrical conductor 140 and an outer concentric cylindrical conductor 145. An insulator 147 (denoted by cross-hatching in FIG. 1) having, for example, a relative dielectric constant of 1 fills the space between the inner and outer conductors 140, 145. The inner and outer conductors 140, 145 are formed of nickel-coated aluminum. The outer conductor 145 can have a diameter of about 4 inches and the inner conductor 140 can have a diameter of about 1.5 inches. The stub characteristic impedance is determined by the radii of the inner and outer conductors 140, 145 and the dielectric constant of the insulator 147. The stub 135 of one embodiment has a characteristic impedance of 65 . More generally, the stub characteristic impedance exceeds the source power output impedance by about 20%-40% and by about 30%. The stub 135 has an axial length of about 29 inches —a quarter wavelength at 220 MHz—in order to have a resonance in the vicinity of 220 MHz to generally match while being slightly offset from the VHF source power frequency of 210 MHz. A tap 160 is provided at a particular point along the axial length of the stub 135 for applying RF power from the RF generator 150 to the stub 135, as will be discussed below. The RF power terminal 150b and the RF return terminal 150a of the generator 150 are connected at the tap 160 on the stub 135 to the inner and outer coaxial stub conductors 140, 145, respectively. These connections are made via a generator-to- stub coaxial cable 162 having a characteristic impedance that matches the output impedance of the generator 150 (typically, 50 ) in the well-known manner. A terminating conductor 165 at the far end 135a of the stub 135 shorts the inner and outer conductors 140, 145 together, so that the stub 135 is shorted at its far end 135a. At the near end 135b (the unshorted end) of the stub 135, the outer conductor 145 is connected to the chamber body via an annular conductive housing or support 175, while the inner conductor 140 is connected to the center of electrode 125 via a conductive cylinder or support 176. A dielectric ring 180 is held between and separates the conductive cylinder 176 and the electrode 125.
The inner conductor 140 can provide a conduit for utilities such as process gases and coolant. The principal advantage of this feature is that, unlike typical plasma reactors, the gas line 170 and the coolant line 173 do not cross large electrical potential differences. They therefore may be constructed of metal, a less expensive and more reliable material for such a purpose. The metallic gas line 170 feeds gas inlets 172 in or adjacent the overhead electrode 125 while the metallic coolant line 173 feeds coolant passages or jackets 174 within the overhead electrode 125. An active and resonant impedance transformation is thereby provided by this specially configured stub match between the RF generator 150, and the overhead electrode assembly 126 and processing plasma load, minimizing reflected power and providing a very wide impedance match space accommodating wide changes in load impedance. Consequently, wide process windows and process flexibility is provided, along with previously unobtainable efficiency in use of power, all while minimizing or avoiding the need for typical impedance match apparatus. As noted above, the stub resonance frequency is also offset from ideal match to further enhance overall system Q, system stability and process windows and multi-process capability.
Matching the Electrode-Plasma Resonance Frequency and the VHF Source Power Frequency:
As outlined above, a principal feature is to configure the overhead electrode assembly 126 for resonance with the plasma at the electrode-plasma resonant frequency and for the matching (or the near match of) the source power frequency and the electrode-plasma frequency. The electrode assembly 126 has a predominantly capacitive reactance while the plasma reactance is a complex function of frequency, plasma density and other parameters. (As will be described below in greater detail, a plasma is analyzed in terms of a reactance which is a complex function involving imaginary terms and generally corresponds to a negative capacitance.) The electrode-plasma resonant frequency is determined by the reactances of the electrode assembly 126 and of the plasma (in analogy with the resonant frequency of a capacitor/inductor resonant circuit being determined by the reactances of the capacitor and the inductor) . Thus the electrode-plasma resonant frequency may not necessarily be the source power frequency, depending as it does upon the plasma density. The problem, therefore, is to find a source power frequency at which the plasma reactance is such that the electrode-plasma resonant frequency is equal or nearly equal to the source power frequency, given the constraints of practical confinement to a particular range of plasma density and electrode dimensions. The problem is even more difficult, because the plasma density (which affects the plasma reactance) and the electrode dimensions (which affect electrode capacitance) must meet certain process constraints. Specifically, for dielectric and metal plasma etch processes, the plasma density should be within the range of 109-1012 ions/cc, which is a constraint on the plasma reactance. Moreover, a more uniform plasma ion density distribution for processing 8-inch diameter wafers for example, is realized by a wafer-to- electrode gap or height of about 2 inches and an electrode diameter on the order of the wafer diameter, or greater, which is a constraint on the electrode capacitance. On the other hand, a different gap length may be used for processing a 12-inch wafer.
Accordingly in one feature of the embodiment, by matching (or nearly matching) the electrode capacitance to the magnitude of the negative capacitance of the plasma, the electrode-plasma resonant frequency and the source power frequency are at least nearly matched. For the general metal and dielectric etch process conditions enumerated above (i.e., plasma density between 109-1012 ions/cc, a 2-inch gap and an electrode diameter on the order of roughly 11 inches) , the match is possible if the source power frequency is a VHF frequency. Other conditions (e.g., different wafer diameters, different plasma densities, etc.) may dictate a different frequency range to realize such a match in carrying out this feature of the reactor. As will be detailed below, under favored plasma processing conditions for processing 8- inch wafers in several principal applications including dielectric and metal plasma etching and chemical vapor deposition, the plasma capacitance in one typical working example having plasma densities as set forth above was between -50 and -400 pico farads. In a working embodiment, the capacitance of the overhead electrode assembly 126 was matched to the magnitude of this negative plasma capacitance by using an electrode diameter of 11 inches, a gap length (electrode to pedestal spacing) of approximately 2 inches, choosing a dielectric material for seal 130 having a dielectric constant of 9, and a thickness of the order of one inch, and a dielectric material for the ring 120 having a dielectric constant of 4 and thickness of the order of 10 mm.
The combination of electrode assembly 126 and the plasma resonates at an electrode-plasma resonant frequency that at least nearly matches the source power frequency applied to the electrode 125, assuming a matching of their capacitances as just described. We have discovered that for favored etch plasma processing recipes, environments and plasmas, this electrode-plasma resonant frequency and the source power frequency can be matched or nearly matched at VHF frequencies; and that it is highly advantageous that such a frequency match or near-match be implemented. In the foregoing embodiment, the electrode-plasma resonance frequency corresponding to the foregoing values of plasma negative capacitance is approximately 200 MHz, as will be detailed below. The source power frequency is 210 MHz, a near-match in which the source power frequency is offset slightly above the electrode-plasma resonance frequency in order to realize other advantages to be discussed below. The plasma capacitance is a function of among other things, plasma electron density. This is related to plasma ion density, which needs, in order to provide good plasma processing conditions, to be kept in a range generally 109 to 1012 ions/cc. This density, together with the source power frequency and other parameters, determines the plasma negative capacitance, the selection of which is therefore constrained by the need to optimize plasma processing conditions, as will be further detailed below. But the overhead electrode assembly capacitance is affected by many physical factors, e.g. gap length (spacing between electrode 125 and the wafer); the area of electrode 125; the choice of dielectric constant of the dielectric seal 130 between electrode 125 and grounded chamber body 127; the choice of dielectric constant for the dielectric ring 120 between semiconductor ring 115 and the chamber body; and the thickness of the dielectric structures of seal 130 and ring 120 and the thickness and dielectric constant of the ring
180. This permits some adjustment of the electrode assembly capacitance through choices made among these and other physical factors affecting the overhead electrode capacitance. We have found that the range of this adjustment is sufficient to achieve the necessary degree of matching of the overhead electrode assembly capacitance to the magnitude of the negative plasma capacitance. In particular, the dielectric materials and dimensions for the seal 130 and ring 120 are chosen to provide the desired dielectric constants and resulting dielectric values. Matching the electrode capacitance and the plasma capacitance can then be achieved despite the fact that some of the same physical factors influencing electrode capacitance, particularly gap length, will be dictated or limited by the following practicalities: the need to handle larger diameter wafers; to do so with good uniformity of distribution of plasma ion density over the full diameter of the wafer; and to have good control of ion density vs ion energy.
Accordingly, for plasma ion density ranges as set forth above favorable to plasma etch processes; and for chamber dimensions suitable for processing 8 inch wafers, a capacitance for electrode assembly 126 was achieved which matched the plasma capacitance of -50 to -400 pico farads by using an electrode diameter of 11 inches, a gap length of approximately 2 inches, and a material for the seal 130 having a dielectric constant of 9, and a material for the ring 120 having a dielectric constant of 4.
Given the foregoing range for the plasma capacitance and the matching overhead electrode capacitance, the electrode-plasma resonance frequency was approximately 200 MHz for a source power frequency of 210 MHz.
A great advantage of choosing the capacitance of the electrode assembly 126 in this manner, and then matching the resultant electrode-plasma resonant frequency and the source power frequency, is that resonance of the electrode and plasma near the source power frequency provides a wider impedance match and wider process window, and consequently much greater immunity to changes in process conditions, and therefore greater performance stability. The entire processing system is rendered less sensitive to variations in operating conditions, e.g., shifts in plasma impedance, and therefore more reliable along with a greater range of process applicability. As will be discussed later in the specification, this advantage is further enhanced by the small offset between the electrode-plasma resonant frequency and the source power frequency.
Why the Plasma Has a Negative Capacitance:
The capacitance of the plasma is governed by the electrical permittivity of the plasma, ε, which is a complex number and is a function of the electrical permittivity of free space εo, the plasma electron frequency pe, the source power frequency and the electron-neutral collision frequency en in accordance with the following equation: ε = ε0[l- pe 2/( ( +i en))] where i = (-1)1 2.
(The plasma electron frequency pe is a simple function of the plasma electron density and is defined in well-known publications on plasma processing.)
In one working example, the neutral species was Argon, the plasma electron frequency was about 230 MHz, the RF source power frequency was about 210 MHz with chamber pressure in the range of 10 T to 200 mT with sufficient RF power applied so that the plasma density was between 109 and 1012 cc"1. Under these conditions, which are typical of those favorable to plasma etch processes, the plasma generally has a negative capacitance because its effective electrical permittivity defined by the foregoing equation is negative. Under these conditions, the plasma had a negative capacitance of -50 to -400 pico farads. Then as we have seen above in more general terms, the plasma capacitance, as a function of plasma electron density (as well as source power frequency and electron-neutral collision frequency) tends to be generally limited by favored plasma process realities for key applications such as dielectric etch, metal etch and CVD, to certain desired ranges, and to have a negative value at VHF source power frequencies. By exploiting these characteristics of the plasma, the electrode capacitance matching and frequency-matching features of the reactor achieve a process window capability and flexibility and stability of operation not previously possible.
Impedance Transformation Provided by the Stub 135:
The stub 135 provides an impedance transformation between the 50 output impedance of the RF generator 150 and the load impedance presented by the combination of the electrode assembly 126 and the plasma within the chamber.
For such an impedance match, there must be little or no reflection of RF power at the generator-stub connection and at the stub-electrode connection (at least no reflection exceeding the VSWR limits of the RF generator 150) . How this is accomplished will now be described.
At the desired VHF frequency of the generator 150 and at a plasma density and chamber pressure favorable for plasma etch processes (i.e., 109 - 1012 ions/cm3 and 10 mT - 200 mT, respectively) , the impedance of the plasma itself is about
(0.3 + (i)7) , where 0.3 is the real part of the plasma impedance, i= ( -l ) ι , and 7 is the imaginary part of the plasma impedance. The load impedance presented by the electrode-plasma combination is a function of this plasma impedance and of the capacitance of the electrode assembly 126. As described above, the capacitance of the electrode assembly 126 is selected to achieve a resonance between the electrode assembly 126 and the plasma with an electrode- plasma resonant frequency of about 200 MHz. Reflections of RF power at the stub-electrode interface are minimized or avoided because the resonant frequency of the stub 135 is set to be at or near the electrode-plasma resonant frequency so that the two at least nearly resonate together.
At the same time, reflections of RF power at the generator-stub interface are minimized or avoided because the location of the tap 160 along the axial length of the stub 135 is such that, at the tap 160, the ratio of the standing wave voltage to the standing wave current in the stub 135 is near the output impedance of the generator 150 or characteristic impedance of the cable 162 (both being about 50 ) . How the tap 160 is located to achieve this will now be discussed.
Axial Location of the Stub's Tap 160:
The axial length of the coaxial stub 135 can be a multiple of a quarter wavelength of a "stub" frequency (e.g., 220 MHz) which, as stated above, is near the electrode-plasma resonant frequency. In one embodiment, this multiple is two, so that the coaxial stub length is about a half wavelength of the "stub" frequency, or about 29 inches. The tap 160 is at a particular axial location along the length of the stub 135. At this location, the ratio between the amplitudes of the standing wave voltage and the standing wave current of an RF signal at the output frequency of the generator 150 corresponds to an input impedance matching the output impedance of the RF generator 150 (e.g., 50 Ohms).
This is illustrated in FIGS. 2A and 2B, in which the voltage and current standing waves in the stub 135 have a null and a peak, respectively, at the shorted outer stub end 135a. A desired location for the tap 160 is at a distance A inwardly from the shorted end, where the ratio of the standing wave voltage and current corresponds to 50 Ohms. This location is readily found by the skilled worker by empirically determining where the standing wave ratio is 50 Ohms. The distance or location A of the tap 160 that provides a match to the RF generator output impedance (50 ) is a function of the characteristic impedance of the stub 135, as will be described later in this specification. When the tap 160 is located precisely at the distance A, the impedance match space accommodates a 9:1 change in the real part of the load impedance, if the RF generator is of the typical kind that can maintain constant delivered power over a 3:1 voltage standing wave ratio (VSWR) .
The impedance match space can be greatly expanded to accommodate a nearly 60:1 change in the real part of the load impedance. This dramatic result is achieved by slightly shifting the tap 160 from the precise 50 point at location A toward the shorted external end 135a of the coaxial stub 135. This shift can be, for example, 5% of a wavelength (i.e., about 1.5 inch). It is our discovery that at this slightly shifted tap location, the RF current contribution at the tap 160 subtracts or adds to the current in the stub, which ever becomes appropriate, to compensate for fluctuations in the plasma load impedance, as will be described below with reference to FIGS. 3 and 4. This compensation is sufficient to increase the match space from one that accommodates a 9:1 swing in the real part of the load impedance to a 60:1 swing.
It is felt that this behavior is due to a tendency of the phase of the standing wave current in the stub 135 to become more sensitive to an impedance mismatch with the electrode-plasma load impedance, as the tap point is moved away from the "match" location at A. As described above, the electrode assembly 126 is matched to the negative capacitance of the plasma under nominal operating conditions. This capacitance is -50 to -400 pico farads at the VHF source power frequency (210 MHz) . At this capacitance the plasma exhibits a plasma impedance of (.3 +i7)Ω. Thus, .3Ω is the real part of the plasma impedance for which the system is tuned. As plasma conditions fluctuate, the plasma capacitance and impedance fluctuate away from their nominal values. As the plasma capacitance fluctuates from that to which the electrode 125 was matched, the phase of the electrode-plasma resonance changes, which affects the phase of the current in the stub 135. As the phase of the stub's standing wave current thus shifts, the RF generator current supplied to the tap 160 will either add to or subtract from the stub standing wave current, depending upon the direction of the phase shift. The displacement of the tap 160 from the 50 location at A is limited to a small fraction of the wavelength (e.g., 5%).
FIG. 3 illustrates the standing wave current in the stub 135 when the real part of the plasma impedance has increased due to plasma fluctuations. In FIG. 3, the current standing wave amplitude is plotted as a function of axial location along the stub 135. A discontinuity in the standing wave current amplitude at the location 0.1 on the horizontal axis corresponds to the position of the tap 160. In the graph of FIG. 3, an impedance mismatch occurs because the real part of the plasma impedance is high, above the nominal plasma impedance for which the system is tuned (i.e., at which the electrode capacitance matches the negative plasma capacitance) . In this case, the current at the tap 160 subtracts from the standing wave current in the stub 135. This subtraction causes the discontinuity or null in the graph of FIG. 3, and reduces the delivered power to offset the increased load. This avoids a corresponding increase in delivered power (I2R), due to the higher load (R).
FIG. 4 illustrates the standing wave current in the stub 135 when the real part of the plasma impedance decreases. In FIG. 4, the current standing wave amplitude is plotted as a function of axial location along the stub 135. A discontinuity in the standing wave current amplitude at the location 0.1 marks the position of the tap 160. In the graph of FIG. 4, the real part of the plasma impedance is low, below the nominal plasma impedance for which the system is tuned. In this case, the current at the tap 160 adds to the standing wave current in the stub 135. This addition increases the delivered power to offset the decreased load, to avoid a concomitant decrease in delivered power, I2R, due to the decreased load, R. With such compensation, much greater changes in load impedance can be accommodated so that the match space in increased significantly.
This expansion of the match space to accommodate a 60:1 swing in the real part of the load impedance enhances process window and reliability of the reactor. This is because as operating conditions shift during a particular process or application, or as the reactor is operated with different operating recipes for different applications, the plasma impedance will change, particularly the real part of the impedance. In the prior art, such a change could readily exceed the range of the conventional match circuit employed in the system, so that the delivered power could no longer be controlled sufficiently to support a viable process, and the process could fail. In the present reactor, the range of the real part of the load impedance over which delivered power can be maintained at a desired level has been increased so much that changes in plasma impedance, which formerly would have led to a process failure, have little or no effect on a reactor embodying this aspect of the reactor. Thus, the invention enables the reactor to withstand far greater changes in operating conditions during a particular process or application. Alternatively, it enables the reactor to be used in many different applications involving a wider range of process conditions, a significant advantage.
As a further advantage, the coaxial stub 135 that provides this broadened impedance match is a simple passive device with no "moving parts" such as a variable capacitor/servo or a variable frequency/servo typical of conventional impedance match apparatus. It is thus inexpensive and far more reliable than the impedance match apparatus that it replaces.
De-Tuning the Operating and Resonant Frequencies to Broaden the Process Window:
In accordance with a further aspect, the system Q is reduced to broaden the process window by slightly offsetting the stub resonant frequency, the electrode plasma resonant frequency and the plasma source power frequency from one another. As described above, the stub resonant frequency is that frequency at which the axial length of the stub 135 is a half wavelength, and the electrode-plasma resonant frequency is the frequency at which the electrode assembly 126 and the plasma resonate together. In one embodiment, the stub 135 was cut to a length at which its resonant frequency was 220 MHz, the RF source power generator 150 was selected to operate at 210 MHz and the resulting electrode-plasma resonant frequency was about 200 MHz.
By choosing three such differing frequencies for plasma resonance, stub resonance and source power frequency, rather than the same frequency for all three, the system has been somewhat "de-tuned". It therefore has a lower "Q" . The use of the higher VHF source power frequency proportionately decreases the Q as well (in addition to facilitating the match of the electrode and plasma capacitances under etch- favorable operating conditions) .
Decreasing system Q broadens the impedance match space of the system, so that its performance is not as susceptible to changes in plasma conditions or deviations from manufacturing tolerances. For example, the electrode-plasma resonance may fluctuate due to fluctuations in plasma conditions. With a smaller Q, the resonance between the stub 135 and the electrode-plasma combination that is necessary for an impedance match (as described previously in this specification) changes less for a given change in the plasma- electrode resonance. As a result, fluctuations in plasma conditions have less effect on the impedance match. Specifically, a given deviation in plasma operating conditions produces a smaller increase in VSWR at the output of RF generator 150. Thus, the reactor may be operated in a wider window of plasma process conditions (pressure, source power level, source power frequency, plasma density, etc) . Moreover, manufacturing tolerances may be relaxed to save cost and a more uniform performance among reactors of the same model design is achieved, a significant advantage. A related advantage is that the same reactor may have a sufficiently wide process window to be useful for operating different process recipes and different applications, such as metal etch, dielectric etch and/or chemical vapor deposition.
Minimizing the Stub Characteristic Impedance to Broaden the Process Window: Another choice that broadens the tuning space or decreases the system Q is to decrease the characteristic impedance of the stub 135. However, the stub characteristic impedance can exceed the generator output impedance, to preserve adequate match space. Therefore, the system Q can be reduced, to the extent of reducing the amount by which the characteristic impedance of the stub 135 exceeds the output impedance of the signal generator 150.
The characteristic impedance of the coaxial stub 135 is a function of the radii of the inner and outer conductors
140, 145 and of the dielectric constant of the insulator 147 therebetween. The stub characteristic impedance is chosen to provide the requisite impedance transformation between the output impedance of the plasma power source 150 and the input impedance at the electrode 135. This characteristic impedance lies between a minimum characteristic impedance and a maximum characteristic impedance. Changing the characteristic impedance of the stub 135 changes the waveforms of FIG. 2 and therefore changes the desired location of the tap 160 (i.e., its displacement, A, from the far end of the stub 135) . The allowable minimum characteristic impedance of the stub 135 is the one at which the distance A of FIG. 2 is zero so that tap 160 would have to be located on the far end 135a of the coaxial stub 135 opposite the electrode 125 in order to see a 50 Ohm ratio between the standing wave current and voltage. The allowable maximum characteristic impedance of the stub 135 is the one at which the distance A of FIG. 2 is equal to the length of the stub 135 so that the tap 160 would have to be close to the near end 135b of the coaxial stub 135 adjacent the electrode 125 in order to see a 50 Ohm ratio between the standing wave current and voltage. In an initial embodiment, the coaxial stub characteristic impedance was chosen to be greater (by about 30%) than the output impedance of the RF generator 150, in order to provide an adequate match space. The stub impedance must exceed the RF generator output impedance because the impedance match condition is achieved by selecting the location of the tap point 160 to satisfy
Zgen = [ Zstub /
Figure imgf000029_0001
where is determined by the location of the tap point and varies between zero and one. ( corresponds to the ratio of the inductance of the small portion of the stub 135 between the far end 135b and the tap 160 to the inductance of the entire stub 135.) Since cannot exceed one, the stub characteristic impedance must exceed the generator output impedance in order to find a solution to the foregoing equation. However, since the Q of the system is directly proportional to the stub characteristic impedance, the amount by which the stub characteristic impedance exceeds the generator output impedance can be somewhat minimized to keep the Q as low as practical. In the exemplary embodiment, the stub characteristic impedance exceeds the generator output impedance by only about 15
However, in other embodiments, the coaxial stub characteristic impedance may be chosen to be less than the plasma power source (generator) output impedance to achieve greater power efficiency with some reduction in impedance match.
Increased Power Efficiency Provided by the Impedance Transformation of the Stub:
As discussed earlier in this specification, plasma operating conditions (e.g., plasma density) that favor plasma etch processes result in a plasma impedance that has a very small real (resistive) part (e.g., less .3 Ohm) and a small imaginary (reactive) part (e.g., 7 Ohms). Capacitive losses predominate in the combination electrode-plasma area of the system, because the electrode capacitance is the predominant impedance to power flow in that part of the reactor. Therefore, power loss in the electrode-plasma combination is proportional to the voltage on the electrode-plasma combination. In contrast, inductive and resistive losses predominate in the stub 135, because the inductance and resistance of the stub 135 are the predominant elements of impedance to power flow in the stub 135. Therefore, power loss in the stub 135 is proportional to current in the stub. The stub characteristic impedance is much greater than the real part of the impedance presented by the electrode-plasma combination. Therefore, in the higher impedance stub 135 the voltage will be higher and the current lower than in the lower impedance plasma in which the current will be higher and the voltage lower. Thus, the impedance transformation between the stub 135 and the plasma-electrode combination produces a higher voltage and lower current in the stub 135 (where resistive and inductive losses dominate and where these are now minimized) and a correspondingly lower voltage and higher current at the plasma/electrode (where capacitive losses dominate and where these are now minimized) . In this manner overall power loss in the system is minimized so that power efficiency is greatly improved, a significant advantage. In the foregoing embodiment, power efficiency is about 95% or greater. Thus, the stub 135, configured as described above, serves not only to provide an impedance match or transformation between the generator and the electrode-plasma impedances across a very wide range or window of operating conditions, but in addition provides a significant improvement in power efficiency.
Cross-Grounding :
The ion energy at the wafer surface can be controlled independently of the plasma density/overhead electrode power. Such independent control of the ion energy is achieved by applying an HF frequency bias power source to the wafer. This frequency, (typically 13.56 MHz) is significantly lower than the VHF power applied to the overhead electrode that governs plasma density. Bias power is applied to the wafer by a bias power HF signal generator 200 coupled through a conventional impedance match circuit 210 to the wafer support 105. The power level of the bias generator 200 controls the ion energy near the wafer surface, and is generally a fraction of the power level of the plasma source power generator 150.
As referred to above, the coaxial stub 135 includes a shorting conductor 165 at the outer stub end providing a short circuit between the inner and outer coaxial stub conductors 140, 145. The shorting conductor 165 establishes the location of the VHF standing wave current peak and the VHF standing wave voltage null as in FIG. 2. However, the shorting conductor 165 does not short out the VHF applied power, because of the coupling of the stub resonance and the plasma/electrode resonance, both of which are at or near the VHF source power frequency. The conductor 165 does appear as a direct short to ground for other frequencies, however, such as the HF bias power source (from the HF bias generator 200) applied to the wafer. It also shorts out higher frequencies such as harmonics of the VHF source power frequency generateα in the plasma sheath.
The combination of the wafer 110 and wafer support 105, the HF impedance match circuit 210 and the HF bias power source 200 connected thereto provides a very low impedance or near short to ground for the VHF power applied to the overhead electrode 125. As a result, the system is cross- grounded, the HF bias signal being returned to ground through the overhead electrode 125 and the shorted coaxial stub 135, and the VHF power signal on the overhead electrode 135 being returned to ground through a very low impedance path (for VHF) through the wafer, the HF bias impedance match 210 and the HF bias power generator 200.
The exposed portion of the chamber side wall between the plane of the wafer and the plane of the overhead electrode 125 plays little or no role as a direct return path for the VHF power applied to the overhead electrode 125 because of the large area of the electrode 125 and the relatively short electrode-to-wafer gap. In fact, the side wall of the chamber may be isolated from the plasma using magnetic isolation or a dielectric coating or an annular dielectric insert or removable liner.
In order to confine current flow of the VHF plasma source power emanating from the overhead electrode 125 within the vertical electrode-to-pedestal pathway and away from other parts of the chamber 100 such as the sidewall, the effective ground or return electrode area in the plane of the wafer 110 is enlarged beyond the physical area of the wafer or wafer support 105, so that it exceeds the area of the overhead electrode 125. This is achieved by the provision of the annular semiconductor ring 115 generally coplanar with and surrounding the wafer 110. The semiconductor ring 115 provides a stray capacitance to the grounded chamber body and thereby extends the effective radius of the "return" electrode in the plane of the wafer 110 for the VHF power signal from the overhead electrode. The semiconductor ring 115 is insulated from the grounded chamber body Dy the dielectric ring 120. The thickness and dielectric constant of the ring 120 is selected to achieve a desirable ratio of VHF ground currents through the wafer 110 and through the semiconductor ring 115. In a one embodiment, the dielectric ring 120 was quartz, having a dielectric constant of 4 9 and was of a thickness of 10 mm.
In order to confine current flow from the HF plasma bias power from the bias generator 200 within the vertical path between the surface of the wafer and the electrode 125 and avoid current flow to other parts of the chamber (e.g., the sidewall) , the overhead electrode 135 provides an effective HF return electrode area significantly greater than the area of the wafer or wafer support 105. The semiconductor ring 115 in the plane of the wafer support 105 does not play a significant role in coupling the HF bias power into the chamber, so that the effective electrode area for coupling the HF bias power is essentially confined to the area of the wafer and wafer support 105.
Enhancement of Plasma Stability:
Plasma stability was enhanced by eliminating D.C. coupling of the plasma to the shorting conductor 165 connected across the inner and outer stub conductors 140, 145 at the back of the stub 135. This is accomplished by the provision of the thin capacitive ring 180 between the coaxial stub inner conductor 140 and the electrode 125. In the embodiment of FIG. 1, the ring 180 is sandwiched between the electrode 125 on the bottom and the conductive annular inner housing support 176. In the exemplary embodiments described herein, the capacitive ring 180 had a capacitance of about 180 picoFarads, depending on the frequency of the bias chosen, about 13 MHz. With such a value of capacitance, the capacitive ring 180 does not impede the cross-grounding feature described above. In the cross-grounding feature, the HF bias signal on the wafer pedestal is returned to the RF return terminal of the HF bias generator 150 via the stub 135 while the VHF source power signal from the electrode 125 is returned to the RF return terminal of the VHF source power generator 150 via the wafer pedestal.
FIG. 5 is a graph illustrating the reflection coefficient between the VHF power source and the overhead electrode 125 as a function of frequency. This graph illustrates the existence of a very broad band of frequencies over which the reflection coefficient is below 6 dB, which is indicative of the highly advantageous low system Q discussed above. FIG. 6 illustrates the standing wave current (solid line) as a function of position along the coaxial stub 135 in the case in which the tap 160 is placed at the distance A of FIG. 2B from the shorted end of the stub.
FIG. 7 illustrates an alternative embodiment of the reactor in which the inner conductor 140 of the coaxial stub 135 is tapered, having a larger radius at the near stub end 135b adjacent the overhead electrode 125 and a smaller radius at the far stub end 135a. This feature provides a transition between a low impedance (e.g., 50 ) presented by the coaxial stub 135 at the tap 160 and a higher impedance (e.g., 64 ) presented by the coaxial stub 135 at the overhead electrode 125. Also, as shown in FIG. 7, the stub 135 need not be curved, but can instead be straight.
The process gases are desirably introduced through the overhead VHF source power electrode. For this purpose, the overhead electrode is endowed with the function of a gas distribution showerhead, by providing an array of small gas injection nozzles or ports through the overhead electrode. The process gases are fed to these injection ports through the center conductor of the coaxial tuning stub. Since the center conductor is coupled to the overhead electrode, the process gas feeds are completely protected from the plasma and from electric fields.
Arcing and other potential issues are avoided while retaining all of the above described advantages through a combination of features, one of which is to put the overhead electrode at a floating D.C. potential by capacitively isolating it from the VHF tuning stub. This is accomplished by placing a dielectric film between the coaxial tuning stub and the overhead electrode. This feature prevents DC plasma current from returning through the tuning stub via the overhead electrode, and thereby reduces arcing within the gas injection holes in the overhead electrode.
Another feature that reduces arcing is to provide capacitance between the plasma and the overhead electrode. For this purpose a dielectric layer is formed on the electrode surfaces of the overhead electrode that face the plasma. This can be done by anodizing such electrode surfaces, particularly the interior surfaces of the gas injection ports in the electrode. This feature helps to obviate plasma arcing in the gas injection ports in the overhead electrode. One reason for this is that the capacitance of the anodized electrode surfaces provides charge storage capacity which permits some charge of the RF current from the plasma to be stored rather than passing on to the electrode surfaces. To the extent charge is thus diverted from the surfaces of the gas inlet ports in the overhead electrode, plasma ignition therein is avoided.
In addition to avoiding plasma arcing within the gas injection ports of the overhead electrode, the feature of capacitively isolating the overhead electrode extends the useable life of the electrode because it results in no net D.C. current between the plasma and the electrode, a significant advantage.
In order to further reduce the risk of plasma arcing in the gas injection ports, another feature is introduced, namely a metal "foam" layer between the coaxial stub and the capacitive layer lying between the electrode and the coaxial tuning stub. In one embodiment, the metal foam layer is of a diameter that is generally coextensive with the overhead electrode. The metal foam layer is of the commercially available type well-known in the art and typically consists of an aluminum matrix having a random cell structure. The advantage of the metal foam layer is that it suppresses electric fields near the electrode (i.e., within a plenum above the overhead electrode) and thereby reduces the tendency of plasma to arc inside the gas injection ports in the overhead electrode.
A metal foam layer is also employed to baffle the incoming process gas in order to achieve an even gas distribution across the array of gas injection ports in the overhead electrode. The gas injection holes or ports in the overhead ceiling can be divided into a radially inner group and a radially outer group. One metal foam layer baffles gas between a first gas supply and the outer group of ports, while another metal foam layer baffles gas between a second gas supply and the inner group of ports. The radial distribution of process gas flow may be adjusted by independently adjusting the gas flow rates of the two gas supplies .
The coaxial tuning stub and overhead electrode offer a low impedance RF return path to ground for the HF bias power applied to the wafer support pedestal. However, it has been discovered that the new capacitive dielectric layer now inserted between the coaxial tuning stub and the overhead electrode can be used to tune the return HF path through the overhead electrode to a particular HF frequency. One advantage of the choice of a VHF source power frequency (on the overhead electrode) is that the capacitive layer (between the overhead electrode and the tuning stub) , if tuned for HF frequencies, does not affect the VHF signal applied to the overhead electrode because it is an electrical snore ror a broad band of VHF frequencies.
Initially, a narrow HF frequency pass band to which the RF return path is tuned by the added capacitive layer was centered at the frequency of the HF bias source power applied to the wafer support pedestal. However, the problem of sheath-generated harmonics can be solved by instead selecting this capacitance to tune the HF return path through the overhead electrode to the second harmonic of the HF bias power signal. The result of this selection is that the HF second harmonic generated in the plasma sheath near the overhead electrode is shunted to ground through the overhead electrode before it can significantly affect the bulk plasma. The etch rate was found to be improved by this feature by 10% to 15% in one embodiment. In this case, it is believed the fundamental of the HF bias signal is returned to ground through other available RF paths, such as the chamber side wall . As will be described below in detail, the selection of the capacitance of this added capacitive layer (between the overhead electrode and the tuning stub) for resonance at the selected HF frequency must take into account not only the capacitance of the thin plasma sheath at the overhead electrode but also the capacitance of the thick plasma sheath at the wafer support pedestal.
The highly efficient VHF plasma source of the present invention is capable of maintaining a plasma of sufficiently high density so that it may be used to thoroughly dry-clean the chamber interior periodically. As employed in this specification, the term "dry-clean" refers to a cleaning procedure requiring no application of liquid chemical agents but only the application of a plasma, so that the vacuum enclosure need not be opened. Since in this manner the chamber can be thoroughly cleaned of polymer residue, its surfaces during wafer processing may be kept at a sufficiently high temperature to continually evaporate any polymer deposits thereon, so that the chamber is kept at least nearly free of polymer deposits throughout processing. (In contrast, for a reactor that cannot be thoroughly cleaned, plasma conditions must be controlled so that polymer deposits on chamber wall surfaces continue to adhere rather than being removed, to avoid contamination of the process.) For this purpose, the overhead electrode assembly includes liquid passages for introducing fluid for heating or cooling the overhead electrode, enabling temperature control of the external surfaces thereof. Generally, the plasma conditions (ion energy, wall temperatures, etc.) are such that no polymer accumulates on the chamber surfaces during processing. Any minor accumulations are thoroughly removed during cleaning. One advantage of such a feature is that an optical window may be provided on or adjacent the overhead electrode, because it will remain clear or free of polymer deposits during processing. Thus, the reactor performance may be optically monitored. Accordingly, the overhead electrode can include an optical window near its center, with a light transmitting optical fiber cable extending upwardly for connection to sensors outside of the chamber. The optical monitoring of the plasma process may be employed to perform end-point detection. For example, the optical monitor may measure decreasing layer thickness in a plasma etch process or increasing layer thickness in a plasma-assisted chemical vapor deposition process, using conventional optical measurement techniques.
In order to solve the problem of contamination from material of the exposed surfaces of the overhead electrode entering the plasma and eventually reaching the wafer or workpiece, an additional outer layer is introduced onto the bottom (plasma-facing) surface of the overhead electrode. This additional outer layer is formed of a material compatible with the particular process being carried out. For example, in a silicon dioxide etch process, the outer layer on the overhead electrode would be silicon or silicon carbide. Generally, prior to the placement of this outer layer, the overhead electrode plasma-facing surface is anodized, as mentioned hereinabove.
Another discovery of the present invention is that the plasma can exhibit a greater resistive load impedance variation and a smaller reactive load impedance variation than was earlier expected. Specifically, the resistive load impedance may vary by as much as 100:1 (instead of 60:1) while the reactive load impedance may vary by only 20% (instead of 35%) . This difference enables the characteristic impedance of the coaxial tuning stub to be reduced from 65 Ohms (above the RF generator's 50 Ohm output impedance) down to 30 Ohms (below the RF generator's output impedance). This reduction achieves a proportional increase in tuning space with a very small compromise in efficiency. Specifically, the range of variations in plasma resistive load impedance which can be matched by the tuning stub is increased from 60:1 to 100:1, due to the reduction in coaxial stub characteristic impedance. The characteristic impedance of the coaxial stub is determined by the radii of its inner and outer conductors .
In order to reduce the footprint of the coaxial tuning stub, an equivalent strip line circuit is substituted in its stead. The outer conductor of the coaxial tuning stub becomes a ground plane surface as the metal lid capping the reactor, while the center conductor of the coaxial tuning stub becomes the strip line conductor. The characteristic impedance of the strip line conductor is adjusted by adjusting the spacing between the strip line conductor and the ground plane (the lid) . The footprint of the tuning device is reduced because, while the coaxial tuning stub extends along a straight line, the strip line conductor can wind around circularly inside the lid, thereby reducing the area or footprint. All of the features of the coaxial tuning stub are retained in the strip line circuit. Thus, the length of the strip line conductor is determined in the same manner as the length of the coaxial tuning stub as described above. Also, the location along the length of the strip line conductor for the feed point or tap connected to the VHF generator is the same as that of the tap to the coaxial tuning stub. Also, the strip line conductor is hollow and utilities are fed through the strip line conductor, in the same manner that utilities are fed through the coaxial tuning stub center conductor.
Introduction of Process Gas Through the Overhead Electrode: Referring now to FIGS. 8 and 9, the overhead electrode 125 is a gas distribution showerhead, and therefore has a large number of gas injection ports or small holes 300 in its bottom surface 125a facing the workpiece support 105. In an exemplary embodiment, the ports 300 were between 0.01 and 0.03 inch in diameter and their centers are uniformly spaced apart by about 3/8 inch. In the embodiment illustrated in FIG. 8, the annular top 290a of a conical metal housing 290 supports the near end 140a of the coaxial stub inner conductor 140 and its annular base 290b rests on the aluminum overhead electrode 125. The conical shape of the housing 290 defines a large open plenum over the overhead electrode 125 within which various utilities may be fed from the hollow coaxial inner conductor 140 to the overhead electrode 125. As will be described in more detail below, the conical housing base 290b is near the outer circumference of the overhead electrode 125, leaving nearly all of the upper surface of the overhead electrode 125 accessible.
Generally, the ports 300 consist of a radially outer group of 0.020 in diameter ports 302 and a radially inner group of 0.010 in diameter ports 304. Generally, the outer group of ports 302 extends beyond the circumference of the wafer by about half the radius of the wafer, in order to ensure uniform gas flow at the wafer periphery. One advantage of this feature is that the radial distribution of process gas flow can be adjusted in such a manner as to compensate for the tendency of the VHF capacitively coupled reactor of FIGS. 1-7 to produce a plasma density that is greater over the center of the wafer and less over the wafer periphery. A radially outer metallic foam layer 310 within the overhead electrode 125 overlies the ports 302. A radially outer gas distribution manifold or plenum 315 overlying the outer foam layer 310 is coupled through an axial gas passageway 320 to a gas supply line 325 passing through the interior conductor 140 of the coaxial tuning stub 135. A radially inner aluminum foam layer 330 within the overhead electrode 125 overlies the ports 304. A radially inner gas distribution manifold or plenum 335 overlying the inner foam layer 330 is coupled through an axial gas passageway 340 to a gas supply line 345 passing through the interior conductor 140 of the coaxial tuning stub 135. The aluminum foam layers 310 and 330 baffle the incoming process gases. The radial distribution of process gas flow rate is adjusted by independent selection of process gas flow rates within each one of the gas supply lines 325 and 345.
Suppression of Arcing in the Gas Injection Ports: In order to provide some capacitance between the plasma and the overhead electrode as a means of reducing arcing, the overhead electrode bottom surface 125a is coated with a dielectric layer. In one embodiment, the overhead electrode 125 is aluminum and the dielectric coating is formed by anodizing the electrode bottom surface 125a. Such anodization forms a very thin dielectric coating not only on the flat bottom surface 125a but also on the interior surfaces of the gas injection ports 300. This feature tends to suppress arcing within the gas injection ports by providing a charge storage capability that can compensate for RF plasma currents flowing to the overhead electrode 125. FIG. 10 is an enlarged partial view corresponding to FIG. 8 illustrating the resulting fine structure near one of the gas inlet ports 300. In particular, an aluminum oxide layer 350 formed by anodization covers the electrode bottom surface 125a and covers the interior surface of the gas injection port 300.
In order to suppress electric fields near the overhead electrode 125, the top surface 125b of the overhead electrode 125 is covered with a relatively thick (0.25 in) layer of aluminum foam 355. The thick aluminum foam 355 tends to keep the electric potential near the overhead electrode constant in the axial (vertical) direction, thereby suppressing electric fields in that vicinity which would otherwise contribute to plasma arcing within the gas injection ports 300. In order to block D.C. plasma currents from flowing through the overhead electrode to the coaxial stub center conductor 140, a thin msulative layer 360 is placed between the overhead electrode 125 and the base 290b of the conductive housing 290 that connects the overhead electrode 125 to the coaxial center conductor 140. This feature allows the D.C. potential of the overhead electrode to float. A capacitor is thereby formed between the overhead electrode 125 and the conductive housing base 290b. The capacitance of this capacitor is determined by the area of the base 290b as well as by the thickness and dielectric constant of the thin insulative layer 360. The capacitance of this capacitor may be selected to provide a narrow resonance or low impedance path at a particular HF frequency, while providing an RF short across the entire VHF band. In this way, the overhead electrode 125 provides a return path for HF bias power applied to the wafer support pedestal 105, but does not affect the behavior of the overhead electrode 125 at the VHF source power frequency. By thus, blocking D.C. plasma current that would otherwise flow to the overhead electrode, plasma arcing within the gas injection ports 300 is suppressed because such D.C. currents would contribute to arcing.
In summary, plasma arcing within the gas injection ports 300 is suppressed by forming a dielectric coating 350 on the bottom of the overhead electrode 125 and on the interior surfaces of the gas injection ports 300, by providing an aluminum foam layer 355 on top of the overhead electrode 125, and by placing a thin insulative layer 360 between the overhead electrode 125 and the conductive housing 290.
Suppression of Plasma Sheath-Generated Harmonics:
The thin insulative layer 360 can play an important role in suppressing plasma sheath-generated harmonics of the HF bias signal applied to the wafer support pedestal 105. The presence of such harmonics degrades process performance, and specifically reduces etch rates. By selecting the capacitance-determining characteristics of the insulative layer 360 (i.e., dielectric constant and thickness), the return path from the plasma through the overhead electrode 125 and coaxial inner conductor 140 is tuned to resonate (and therefore have a very high admittance) at a particular HF frequency. While one choice for this resonant frequency would be the fundamental of the HF bias signal applied to the wafer support pedestal 105, it is a discovery of the invention that the etch rate is improved by 10% to 15% by selecting this resonance to be the second harmonic of the bias signal. Such a favorable result is achieved because harmonics generated by the non-linear load presented by the plasma sheath are quickly returned to ground through the low impedance path presented by the overhead electrode and coaxial center conductor 140 by virtue of the capacitive layer 360. Selection of the thickness of the capacitor layer 360 to tune the return path through the overhead electrode 125 to a particular HF frequency is affected by a number of factors, including the capacitance of the thin plasma sheath at the overhead electrode 125, the capacitance of the thick plasma sheath at the wafer support pedestal 105 as well as the capacitance of the plasma itself. Numerous conventional techniques may be readily employed by the skilled worker to find the correct thickness of the capacitor layer 360 to achieve resonance at the selected HF frequency given the particular plasma operating conditions, including trial and error.
Electrode Surface Temperature Control:
In an oxide etch reactor, polymer deposits are a serious problem because the process gas must be able to form polymer layers over non-oxide containing surfaces on the workpiece in order to achieve a suitable etch selectivity between silicon dioxide materials and other materials that are not to be etched. During plasma processing using flourocarbon gases, the simpler fluorine ions and radicals perform the etching while the carbon-rich species deposit polymer over all non-oxygen-containing materials on the workpiece as well as all interior surfaces of the reactor chamber. In order to avoid contamination of the workpiece by polymer particles falling from chamber interior surfaces into the plasma, these surfaces must be kept at a sufficiently low temperature and the plasma electron energy must be kept sufficiently low to avoid tearing such deposits off of the chamber interior surfaces. Alternatively, the chamber vacuum must be interrupted and a chemical cleaning step performed to remove such deposits, a step that greatly reduces productivity of the reactor.
The capacitively coupled VHF source described with reference to FIG. 1 is highly efficient and therefore capable of producing, during a non-chemical cleaning step, a sufficiently high plasma density to thoroughly remove from the chamber interior surfaces any polymer residue deposited during wafer processing. During such a cleaning step, the usual plasma process gases may be replaced by a more volatile gas (e.g., one tending to produce a plasma with a very high free fluorine content) . Since no liquid chemicals need be introduced into the chamber, the chamber remains closed so that the cleaning step may be performed quickly and frequently to keep the chamber free of polymer deposits. Therefore, one operating mode of the reactor of FIG. 8 is one in which the chamber surface temperatures and the plasma ion energies are sufficiently great to avoid accumulation of polymer on the interior chamber surfaces.
For this purpose, the reactor of FIG. 8 includes passages 670 (for heat-conducting fluid) on the overhead electrode 125. In the implementation of FIG. 8, the fluid passages 670 are formed between the upper aluminum foam layer 355 and the upper surface of the overhead electrode 125. Alternatively, such passages may be formed completely internally within the overhead electrode 125. A temperature- controlling fluid or gas is fed to the fluid passages 670 from a fluid supply line 675 passing through the hollow inner coaxial conductor 140. Thus, the temperature of the overhead electrode 125 may be precisely controlled. By thus controlling the electrode temperature and by controlling other plasma process parameters such plasma ion energy, the reactor may be operated in either deposition mode (in which the surfaces are sufficiently cool to accumulate polymer) or in a depletion mode (in which the surfaces are sufficiently hot to allow plasma ions to tear away polymer from the surfaces and thereby avoid accumulation of polymer) . One desirable mode is the depletion mode because this mode avoids particle contamination.
Optical Monitoring of the Plasma Process:
Since the reactor of FIG. 8 can be operated so as to be free of polymer deposits on the chamber interior surfaces, an optical window 680 may be provided in the bottom surface of the overhead electrode 125. An optical channel such as an optical fiber or light pipe 685 is connected at one end to the optical window 680 and passes through the hollow inner coaxial conductor 140. The light pipe 685 is connected to a convention optical detector 687 at the outer end. With this feature, end point detection and other measurements may be performed using such an optical detector. Specifically, the detector 687 measures the thickness of a selected layer on the workpiece or semiconductor wafer 110, using well-known optical techniques. During an etch process, for example, the process would be halted after the thickness of the material being etched is reduced to a predeterminded thickness, as measured by the detector 687. Prevention of Contamination:
Since the chamber interior surfaces can be maintained free of polymer deposits, they remain exposed to the plasma. In particular, the bottom surface of the aluminum overhead electrode 125 is continually subject to attack from the plasma, and is therefore liable to contribute aluminum species into the plasma, leading to contamination of the workpiece and hence process failure. In order to prevent such a problem, the bottom surface of the overhead electrode 125, which may be anodized, is coated with a process- compatible material such as silicon or silicon carbide. Thus, as shown in FIGS. 10 and 11, a thin silicon carbide film 690 covers the bottom anodized surface of the aluminum overhead electrode 125. The thin silicon or silicon carbide film 690 prevents the plasma from attacking the aluminum material of the electrode 125. To the extend the plasma removes material from the silicon-containing film 690, the species thus introduced into the plasma cannot contaminate the process because such species (silicon and carbon) are already present in the plasma and/or workpiece and therefore are compatible with the process. Silicon is present in the plasma where silicon oxide is being etched. Carbon is in the plasma wherein fluoro-carbon gases are employed as process etch gases.
In an alternative embodiment, the overhead electrode is not anodized and the silicon carbide film 690 is formed over a pure aluminum surface of the electrode 125.
Increasing the Tuning Space of the Coaxial Stub:
The plasma can exhibit a greater resistive load impedance variation and a smaller reactive load impedance variation. Specifically, the resistive load impedance of the plasma may vary by as much as 100:1 (instead of 60:1) while the reactive load impedance may vary by only 20% (instead of 35%) . This difference enables the characteristic impedance of the coaxial tuning stub to be reduced from 65 Ohms (i.e., above the RF generator's 50 Ohm output impedance) down to 30 Ohms (i.e., below the RF generator's output impedance). Th s reduction achieves a proportional increase in tuning space with a very small compromise in efficiency. Specifically, the range of variations in plasma resistive load impedance which can be matched by the tuning stub is increased from 60:1 to 100:1, due to the reduction in coaxial stub characteristic impedance. The characteristic impedance of the coaxial stub is determined by the radii of its inner and outer conductors.
Results:
The invention thus provides a plasma reactor which is far less sensitive to changes in operating conditions and/or variations in manufacturing tolerances. It is believed that these great advantages including lack of sensitivity to operating conditions —i.e., broad tuning or frequency space for impedance matching— are the contributions of a number of reactor features working together in combination, including an overhead reactor electrode having a capacitance matching or nearly matching the magnitude of the negative capacitance of the plasma at the most desired processing plasma ion densities, use of a VHF source power frequency matching or nearly matching the plasma-electrode resonance frequency; the close relationship of the VHF source power frequency, the plasma-electrode resonance frequency and the stub resonance frequency; offsetting the plasma-electrode resonance frequency, the stub resonance frequency and the source power frequency from one another; and the use of a resonant stub match to couple source power to the overhead electrode, for example with the source power input tap 160 offset slightly from the ideal match location.
It is believed that offsetting the plasma, stub and source power frequencies broadens the tuning space of the system by, in effect, de-tuning the system. Using a stub match broadens the tuning space by matching across a broader frequency range. Offsetting the stub tap point 160 from the ideal match point further optimizes the system to broaden the tuning space, because this feature has the effect of adding current when delivered power would otherwise decline and of subtracting current when delivered power would otherwise increase. Using a higher (VHF) source power frequency provides a decrease in system Q or an increase in tuning space proportional to the increase in source power frequency. More importantly, this selection allows the electrode-plasma resonance to be matched to the source power frequency at a plasma density favorable to etch processes.
Because the invention renders the reactor virtually immune to changes in process conditions over a broader process window, it provides the three-fold advantage of a reactor that is (a) workable over a wider range of process condition deviations, (b) useful over a broader range of applications (different process recipes) and (c) whose performance is virtually unaffected over a wider range of manufacturing tolerances, so that reactor-to-reactor characteristics are uniform. Consequently, superior results have been attained.
Specifically, the Q of the system has been minimized to about 5 in some cases to retain a superior degree of uniformity of characteristics and performance among different reactors of the same model, and to enhance process window. High plasma densities on the order of 1012 ions/cc have been achieved consistently with only 2kW of source power. The system sustained plasmas over a pressure range of 10 mT to 200 T with no transitions with source power levels as low as 10 W. The shorted impedance matching coaxial stub resonating near the VHF plasma and source power frequencies shorted out parasitic VHF plasma sheath harmonics while realizing a power efficiency in excess of 95%. The system accommodated plasma resistive load variations of 60:1 and reactive load variations of 1.3 to .75 while maintaining the source power SWR at less than 3:1.
It is believed that this increased capability to accommodate load variations, and hence expanded process windows, is due in large part to (a) the matching of the electrode and plasma capacitances under the design operating conditions, accomplished as above described by appropriate choice of dielectric values between the electrode 125 and its conductive support as well as the appropriate choice of VHF source power frequency; and (b) the specially configured coaxial stub with the optimal tap positioning, by which the tap current added to the stub current under low load conditions and subtracted from it under high load conditions. It is believed the very high power efficiency is due in large part to the impedance transformation provided by the coaxial stub, which minimizes reflection losses both at the generator connection as well as at the electrode connection, due to obtaining a match between stub resonant frequency and electrode-plasma resonant frequency, along with optimal tap positioning for realizing a low current and high voltage in the coaxial stub where resistive losses dominate and a high current low voltage at the electrode/plasma where capacitive losses dominate. Yet all these benefits are provided while avoiding or minimizing the need for conventional impedance match apparatus. While embodiments of the invention adapted for silicon and metal etch have been described in detail, the reactor is also advantageous for choices of plasma operating conditions other than those described above, including different ion densities, different plasma source power levels, different chamber pressures. These variations will produce different plasma capacitances, requiring different electrode capacitances and different electrode-plasma resonant frequencies and therefore require different plasma source power frequencies and stub resonant frequencies from those described above. Also, different wafer diameters and different plasma processes such as chemical vapor deposition may well have different operating regimes for source power and chamber pressure. Yet it is believed that under these various applications, the reactor will generally enhance the process window and stability as in the embodiment described above.
Compact VHF Fixed Tuning Element:
The coaxial tuning stub of FIGS. 1 and 8 is a fixed tuning element that provides an impedance match over a large tuning space, as described with reference to FIGS. 1-7.
However, because of its elongate linear design, its footprint is actually larger than that of the plasma reactor chamber.
In those situations where this aspect is found to be inconvenient, the coaxial tuning stub of FIGS. 1 and 8 is replaced by an equivalent strip line circuit, as illustrated in FIGS. 12, 13 and 14. The center conductor of the VHF generator 50 Ohm coaxial output connector is connected to a strip line conductor 700, while the outer conductor of the VHF generator 50 Ohm coaxial output connector is connected to the metal ceiling 710 of a housing 715 covering the top of the reactor. The conductive ceiling 710 functions as a ground plane that the strip line conductor 700 faces. The strip line conductor 700 is generally oval in cross-section, with its broader side facing the ground plane ceiling 710. The characteristic impedance of the strip line conductor is determined by its spacing from the ground plane ceiling 710. The strip line conductor 700 may be uniformly spaced from the ground plane ceiling 710 along its entire length.
In an exemplary embodiment, the strip line conductor was 0.125 inch in height, 2.5 inches wide and is displaced below the ground plane ceiling 710 by 0.5 inch. By having the wider (2.5 inch) side of the strip line conductor 700 facing the ground plane ceiling 710, current flow is more distributed across the entire 2.5 inch width of the strip line conductor 700, thereby reducing resistive losses in the outer surface where most of the current flow occurs. The length of the strip line conductor 700 is determined in the same manner as the length of the coaxial tuning stub 135, as described above in detail with reference to FIG. 1. Furthermore, the placement of the RF tap 160 along the length of the strip line conductor 700 is also determined in the same manner as the placement of the RF tap along the length of the coaxial stub 135, as described with reference to FIG. 1. Finally, the end of the strip line conductor 700 of FIG. 12 furthest from the overhead electrode 125 is, like the corresponding end of the coax stub inner conductor 140 of FIG .1, shorted to ground. In the case of the strip line conductor 700, the short to ground is achieved by a connection at the far end 700a to the ground plane ceiling 710, as shown in FIG. 13.
Like the coaxial tuning stub 135 of FIGS. 1-8, the strip line conductor 700 has a length equal to a quarter wavelength of the resonant frequency of the fixed tuning element, in this case the strip line circuit comprising the strip line conductor 700 and the ground plane ceiling. Therefore, the selection of the length of the strip line conductor 700 is exactly as the selection of the length of the coaxial tuning stub 135 which is described above with reference to FIGS. 1-7. In one embodiment, this length was about 29 inches. The RF tap 160 of FIG. 12 connects the VHF generator to the strip line circuit at a particular point along the length of the strip line conductor 700, just as the RF tap 160 of FIG. 1 makes the corresponding connection along the length of the coaxial tuning stub 135. In the case of FIG. 12, the center conductor of the VHF generator output coaxial connector is connected at the tap 160 to the strip line conductor while the outer conductor of the VHF generator output coaxial conductor is connected to the ground plane ceiling at the point overlying the tap connection to the strip line conductor. The location of the tap point 160 in FIG. 12 along the length of the strip line conductor 700 is determined in the same manner as the location of the tap in FIG. 1 along the length of the coaxial stub, as described above in detail with respect to FIG. 1. With this feature, the strip line circuit comprising the strip line conductor 700 and the ground plane ceiling performs in the same manner as the coaxial tuning stub 135 of FIG. 1, including the feature described with respect to FIG. 1 in which the impedance match space can accommodate as much as a 100:1 variation in load resistance by slightly offsetting the tap point 160 from a theoretical optimum. As described above with reference to FIG. 1, the theoretical optimum location of the tap 160 is at a point along the length of the tuning stub 135 (or, equivalently, along the length of the strip line conductor 700 of FIG. 12) at which the ratio between the standing wave voltage and current equals the output impedance of the VHF generator or the characteristic impedance of the coaxial cable connected therebetween. The discovery described with reference to FIG. 1 is that the impedance match space is surprisingly expanded by offsetting the tap 160 by about 5% from the theoretical optimum location. Thus, the strip line conductor circuit of FIG. 12 provides all the advantages and functions of the coaxial tuning stub of FIG. 1 but further adds the advantage of compactness.
Like the inner conductor 140 of the coaxial stub of FIG. 8, the strip line conductor 700 of FIG. 12 is hollow in order to accommodate the utility lines connected to the electrode 125, and is connected to the top surface 290a of the conical housing 290. The advantage of the strip line conductor 700 (over the coaxial tuning stub of FIGS. 1 and 8) is that the strip line conductor 700 can extend in a circular fashion within the housing 715 so that its requisite length can be realized without extending beyond the "footprint" of the reactor chamber.
The length of the strip line conductor is determined in the same manner that the length of the coaxial tuning stub is determined, as described above with reference to FIG. 1. The impedance of the strip line conductor 700 is determined by adjusting its displacement from the ground plane ceiling 710. As described above, this impedance is best selected to be about 30 Ohms, or less than the VHF generator output impedance. The location of the tap 160 from the VHF generator 150 along the length of the strip line conductor 700 is made in the same manner as the location of the RF tap 160 on the coaxial tuning stub as described above with reference to FIG. 1. The strip line conductor 700 in combination with the ground plane ceiling 710 performs the same function as the coaxial tuning stub of FIGS. 1 or 8, and provides the same performance advantages as described above with reference to FIG. 1. While the top view of FIG. 13 shows an embodiment in which the strip line conductor 700 is wound along a nearly square path (with rounded corners), FIG. 14 illustrates another embodiment in which the strip line conductor 700 is circularly wound.
Utilities Fed Through the Tuning Element:
As described above with respect to FIGS. 8 and 12, the coaxial stub inner conductor 140 of FIG. 8 and the strip line conductor 700 of FIG. 12 are both hollow in order to accommodate lines that carry various utilities to the overhead electrode. Thus, as illustrated in both FIGS. 8 and 12, the outer gas supply line 325 is connected to an outer gas flow controller 800, the inner gas supply line 345 is connected to an inner gas flow controller 810, the optical fiber or light pipe 685 is connected to the optical detector 687, and the heating/cooling line 675 is connected to a heating/cooling source controller 830.
The fixed tuning element 135 is either a coaxial tuning stub (as in the embodiments of FIGS. 1 and 8) or a strip line circuit (as in the embodiments of FIGS. 12 and 14). Antenna designers will recognize the equivalent function performed by both embodiments of the fixed tuning element in providing an impedance match between the 50 Ohm characteristic output impedance of the RF generator and the impedance of the electrode/plasma combination. Both embodiments of the fixed tuning element (or, equivalently, fixed impedance match element) share structural feature in common, including the use of a center conductor (either a strip line conductor in FIG. 12 or an inner coaxial conductor in FIG. 8) and a grounded conductor (the ground plane ceiling of FIG. 21 or the grounded outer coaxial conductor of FIG. 8). In both cases, the characteristic impedance of the impedance match element is determined by the spacing between the two conductors, while the input impedance to the impedance match element is determined by the location along the center conductor of the connection to the RF generator. Also, the center conductor is hollow and therefore serves as an RF- shielded conduit for gas feed lines and heat-conductive fluid feed lines. And the most important common feature is that both embodiments of the impedance match element are physically fixed in structure, and therefore require no moving parts or intelligent controllers, a significant advantage. Other related advantages have already been described. The fixed impedance match element of both embodiments may therefore be referred to in general as a fixed two-conductor impedance match element with a hollow center conductor.
While the invention has been described in detail by reference to preferred embodiments, it is understood that variations and modifications thereof may be made without departing from the true spirit and scope of the invention.

Claims

What is claimed is:
1. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode comprising a portion of said chamoer wall, said electrode having plural gas injection orifices therein generally facing said workpiece support; an RF power generator for supplying power at a frequency of said generator to said overhead electrode and capable of maintaining a plasma within said chamber at a desired plasma ion density level; said overhead electrode having a reactance that forms a resonance with the plasma at an electrode-plasma resonant frequency which is at or near said frequency of said generator; an insulating layer formed on a surface of said overhead electrode facing said workpiece support.
2. The reactor of Claim 1 further comprising: a capacitive insulating layer between said RF power generator and said overhead electrode.
3. The reactor of Claim 2 further comprising: a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support.
4. The reactor of Claim 1 further comprising a silicon-containing coating covering said insulating layer.
5. The reactor of Claim 4 wherein said silicon- containing coating comprises one of silicon or silicon carbide .
6. The reactor of Claim 3 wherein said insulating layer provides a capacitance sufficient to suppress arcing within said gas injection ports.
7. The reactor of Claim 6 wherein said capacitive insulating layer has a sufficient capacitance to block D.C. current from a plasma within said chamber from flowing through said overhead electrode.
8. The reactor of Claim 7 wherein said metal foam layer is of a sufficient thickness to suppress an axial electric field within said gas injection orifices.
9. The reactor of Claim 1 wherein said overhead electrode comprises aluminum and said insulating layer is formed by anodization.
10. The reactor of Claim 2 wherein said capacitive insulating layer forms a capacitance that provides a low impedance path to ground through said overhead electrode for plasma sheath generated harmonics.
11. The reactor of Claim 1 further comprising: a gas inlet to said overhead electrode; a gas baffling layer within said overhead electrode between said gas inlet and at least a first set of said gas injection orifices.
12. The reactor of Claim 11 wherein said gas baffling layer comprises a layer of metal foam.
13. The reactor of Claim 9 further comprising thermal control fluid passages within said overhead electrode.
14. The reactor of Claim 13 further comprising an optical window in sa d overhead electrode generally facing said wafer support and a light carrying medium coupled to said window and extending through said overhead electrode.
15. The reactor of Claim 1 wherein said plasma has a reactance and the reactance of said electrode corresponds to the reactance of said plasma.
16. The reactor of Claim 15 wherein the reactance of said electrode is a conjugate of the reactance of said plasma .
17. The reactor of Claim 15 wherein the reactance of said plasma comprises a negative capacitance, and wherein the capacitance of said electrode is the same magnitude as the magnitude of said negative capacitance of said plasma.
18. The reactor of Claim 1 wherein the frequency of said RF generator and the electrode-plasma resonant frequency are VHF frequencies.
19. The reactor of Claim 18 wherein said plasma reactance is a function of said plasma ion density and said plasma ion density supports a selected plasma process of said reactor.
20. The reactor of Claim 19 wherein said plasma process is a plasma etch process and wherein said plasma ion density lies in a range from about 109 ions/cubic centimeter to about 1012 ions/cubic centimeter.
21. The reactor of Claim 1 further comprising a fixed impedance matching element connected between said generator and said overhead electrode, said fixed impedance match element having a match element resonant frequency.
22. The reactor of Claim 21 wherein the match element resonant frequency and said electrode-plasma resonant frequency are offset from one another and the frequency of said generator lies between said electrode-plasma resonant frequency and said match element resonant frequency.
23. The reactor of Claim 22 wherein said frequency of said generator, said plasma frequency and said match element resonant frequency are all VHF frequencies.
24. The reactor of Claim 21 wherein said fixed impedance match element comprises: a strip line circuit having a near end thereof adjacent said overhead electrode for coupling power from said RF power generator to said overhead electrode and providing an impedance transformation therebetween, said strip line circuit comprising: a strip line conductor generally above said overhead electrode and connected at a near end thereof to said overhead electrode, a ground plane conductor above said overhead electrode and spaced from said inner conductor along the length thereof and connected to an RF return potential of said RF power generator, a tap at a selected location along the length of said strip line conductor, said tap comprising a connection between said strip line conductor and an output terminal of said RF power generator.
25. The reactor of 24 wherein said ground plane conductor comprises a ceiling of a housing overlying said overhead electrode, said strip line conductor formed along a winding path within said housing and beneath said ceiling.
26. The reactor of Claim 25 wherein said strip line conductor is hollow, said reactor further comprising: a gas feed line extending through said hollow strip line conductor for supplying process gas to said gas injection orifices in said overhead electrode.
27. The reactor of Claim 26 further comprising: fluid passages in or on said overhead electrode for accommodating flow of heat conducting fluid; a fluid supply line extending through said hollow strip line conductor for supplying heat conducting fluid to fluid passages
28. The reactor of Claim 24 further comprising a shorting conductor connected at a far end of said strip line conductor to said ground plane conductor.
29. The reactor of Claim 25 wherein the length of said strip line conductor between said near and far ends is equal to a multiple of a quarter wavelength of said match element resonant frequency of the strip line circuit.
30. The reactor of Claim 29 wherein said multiple is two whereby said length of said strip line conductor is a half-wavelength at said match element resonant frequency.
31. The reactor of Claim 30 wherein said frequency of said RF power generator, said match element resonant frequency and said electrode-plasma resonant frequency are all VHF frequencies offset from one another.
32. The reactor of Claim 25 wherein said selected location is a location along the length of said strip line conductor at which a ratio between a standing wave voltage and a standing wave current in said strip line circuit is at least nearly equal to an output impedance of said RF power generator.
33. The reactor of Claim 25 wherein said selected location of said tap is shifted from an ideal location at which said ratio is equal to said output impedance, the shift being sufficient to realize an addition of current at said tap whenever the load impedance at said overhead electrode decreases below a nominal level and to realize a subtraction of current at said tap whenever the load impedance at said overhead electrode increases above a nominal level.
34. The reactor of Claim 33 wherein the shift from said ideal location is about 5% of one wavelength of the VHF frequency of said RF generator.
35. The reactor of Claim 34 wherein the shift from said ideal location is such that at least a 6:1 increase in resistive match space is realized.
36. The reactor of Claim 24 wherein said characteristic impedance of said strip line circuit is about 30% less than the output impedance of said RF power generator.
37. The reactor of Claim 21 wherein said strip line circuit has a characteristic impedance which is less than the output impedance of said RF power generator.
38. The reactor of Claim 21 further comprising an insulating seal between said overhead electrode and a remaining portion of said chamber wall, the dielectric constant of said insulating seal and the area of said overhead electrode being such that said plasma in said chamber resonates with said overhead electrode at said electrode-plasma resonant frequency.
39. The reactor of Claim 25 wherein said strip line conductor has an oval cross-sectional shape, a major surface of said oval shape of said strip line conductor facing said ground plane conductor.
40. The reactor of Claim 21 further comprising an HF frequency bias power generator and an impedance match circuit connected between said HF frequency bias power generator and said wafer support, wherein the frequency of said RF power generator connected to said electrode, said electrode-plasma resonant frequency and said match element resonant frequency are VHF frequencies.
41. The reactor of Claim 40 wherein said wafer support provides an RF return path for VHF power coupled into said chamber from said overhead electrode.
42. The reactor of Claim 40 further comprising: a thin insulator layer between said overhead electrode and said strip line conductor, said thin insulating layer providing sufficient capacitance to block D.C. current flow through said overhead electrode from plasma within the chamber.
43. The reactor of Claim 42 wherein the capacitance provided by said thin insulator layer forms a resonance at a selected HF frequency for current flow from said chamber, through said overhead electrode to said strip line conductor.
44. The reactor of Claim 43 wherein said selected HF frequency of said resonance is equal to a plasma sheath- generated harmonic of the fundamental frequency of said HF bias power generator.
45. The reactor of Claim 22 further comprising a semiconductive annular ring surrounding the periphery of said wafer, said ring extending an effective return electrode area presented to VHF power coupled into said chamber from said overhead electrode.
46. The reactor of Claim 45 further comprising an insulating annulus supporting said ring and insulating said ring from said chamber wall, the dielectric constant of said ring determining apportionment of VHF power return current between said wafer support and said semiconductor ring.
47. The reactor of Claim 46 wherein the effective return electrode area for VHF power coupled into sa d chamber from said overhead electrode exceeds the area of said overhead electrode.
48. The reactor of Claim 25 wherein the combination of said overhead electrode with said strip line circuit provides an RF return path for HF power coupled into said chamber from said wafer support, said overhead electrode having an area greater than the area of said wafer support.
49. The reactor of Claim 48 further comprising a capacitive element between said overhead electrode and said fixed impedance matching element, the capacitive element having a capacitance sufficient to provide DC isolation between said plasma and said fixed impedance matching element .
50. The reactor of Claim 49 wherein said capacitance of said capacitive element renders said RF return path resonant at a selected HF frequency.
51. The reactor of Claim 50 wherein said frequency is a harmonic of the bias power generator fundamental.
52. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode comprising a portion of said chamber wall; an RF power generator for supplying power at a frequency of said generator to said overhead electrode and capable of maintaining a plasma within said chamber at a desired plasma ion density level; said overhead electrode having a capacitance such that said overhead electrode and the plasma formed in said chamber at said desired plasma ion density resonate together at an electrode resonant frequency, said frequency of said generator being at least near said electrode-plasma resonant frequency; an insulating layer formed on a surface of said overhead electrode facing said workpiece support; a capacitive insulating layer between said RF power generator and said overhead electrode; a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support.
53. The reactor of Claim 52 wherein: said insulating layer provides a capacitance sufficient to suppress arcing within said gas injection ports; said capacitive insulating layer has a sufficient capacitance to block D.C. current from a plasma within said chamber from flowing through said overhead electrode; and said metal foam layer is of a sufficient thickness to suppress an axial electric field within said gas injection orifices.
54. The reactor of Claim 53 further comprising a silicon-containing coating covering said insulating layer.
55. The reactor of Claim 54 wherein said silicon- containing coating comprises one of silicon or silicon carbide.
56. The plasma reactor of Claim 53 further comprising a fixed impedance matching element connected between said generator and said overhead electrode, said fixed impedance matching element having a match element resonant frequency.
57. The reactor of Claim 56 wherein said fixed impedance matching element comprises a strip line circuit.
58. The reactor of Claim 56 wherein said fixed impedance matching element comprises a coaxial tuning stub.
59. The plasma reactor of Claim 56 wherein said frequency of said generator lies between said electrode- plasma resonant frequency and said match element resonant frequency.
60. The plasma reactor of Claim 39 wherein each of said frequencies is a VHF frequency.
61. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode comprising a portion of said chamber wall; an RF power generator capable of supplying power to said overhead electrode to maintain a plasma in said chamber at a desired plasma ion density; a strip line circuit having a near end thereof adjacent said overhead electrode for coupling power from said RF power generator to said overhead electrode and providing an impedance transformation therebetween, said strip line circuit comprising: a strip line conductor generally above said overhead electrode and connected at a near end thereof to said overhead electrode, a ground plane conductor above said overhead electrode and spaced from said inner conductor along the length thereof and connected to an RF return potential of said RF power generator, a tap at a selected location along the length of said strip line conductor, said tap comprising a connection between said strip line conductor and an output terminal of said RF power generator.
62. The reactor of Claim 61 further comprising a shorting conductor connected at a far end of said strip line conductor to said ground plane conductor, whereby said far end of said strip line circuit is an electrical short.
63. The reactor of Claim 62 wherein said strip line circuit has a strip line circuit resonant frequency, and the length of said strip line conductor between said near and far ends is equal to a multiple of a quarter wavelength of strip line resonant frequency.
64. The reactor of Claim 63 wherein said multiple is two whereby said length of said strip line conductor is a half-wavelength at said strip line circuit resonant f equency.
65. The reactor of Claim 63 wherein said RF power generator produces a VHF power signal at a VHF frequency, said strip line circuit resonant frequency being a VHF frequency offset from the VHF frequency of said generator.
66. The reactor of Claim 65 wherein said overhead electrode has a capacitance such that said electrode and said plasma at said selected plasma ion density resonate together at a VHF electrode-plasma resonant frequency, said VHF frequency of said generator lying between said electrode-plasma resonant frequency and said strip line circuit resonant frequency.
67. The reactor of Claim 61 wherein said selected location is a location at which a ratio between standing voltage and current waves in said strip line circuit is at least nearly equal to an output impedance of said RF power generator.
68. The reactor of Claim 67 wherein said selected location of said tap is shifted from an ideal location at which said ratio is equal to said output impedance, the shift being sufficient to realize an addition of current at said tap whenever the load impedance at said overhead electrode decreases below a nominal level and to realize a subtraction of current at said tap whenever the load impedance at said overhead electrode increases above a nominal level.
69. The reactor of Claim 68 wherein the shift from said ideal location is about 5% of one wavelength of the strip line circuit resonant frequency.
70. The reactor of Claim 68 wherein the shift from said ideal location is such that at least a 6:1 increase in resistive match space is realized.
71. The reactor of Claim 61 wherein said characteristic impedance of said strip line circuit is about 30% less than the output impedance of said RF power generator.
72. The reactor of Claim 61 wherein said strip line circuit has a characteristic impedance which is less than the output impedance of said RF power generator.
73. The reactor of Claim 61 further comprising an insulating seal between said overhead electrode and a remaining portion of said chamber wall, the dielectric constant of said insulating seal and the area of said overhead electrode being such that the plasma at said selected plasma ion density and said overhead electrode resonate together at a VHF electrode-plasma resonant frequency.
74. The reactor of Claim 61 further comprising an HF frequency bias power generator and an impedance match circuit connected between said HF frequency bias power generator and said wafer support.
75. The reactor of Claim 74 wherein said wafer support provides an RF return path for VHF power coupled into said chamber from said overhead electrode.
76. The reactor of Claim 75 further comprising a se iconductive annular ring surrounding the periphery of said wafer, said ring extending an effective return electrode area presented to VHF power coupled into said chamber from said overhead electrode.
77. The reactor of Claim 76 further comprising an insulating annulus supporting said ring and insulating said ring from said chamber wall, the dielectric constant of said ring determining apportionment of VHF power return current between said wafer support and said semiconductor ring.
78. The reactor of Claim 76 wherein the effective return electrode area for VHF power coupled into said chamber from said overhead electrode exceeds the area of said overhead electrode.
79. The reactor of Claim 61 wherein said overhead electrode together with said strip line circuit provides an RF return path for HF power coupled into said chamber from said wafer support, said overhead electrode having an area greater than the area of said wafer support.
80. The reactor of Claim 79 further comprising: an isolation capacitor insulator between said strip line conductor and said overhead electrode, said capacitor providing an HF resonance in said RF return path and a short circuit at VHF frequencies.
81. The reactor of Claim 80 wherein said HF resonance is at the fundamental frequency of said RF power generator.
82. The reactor of Claim 80 wherein said HF resonance is at a plasma sheath-generated harmonic of the fundamental frequency of said RF bias power generator.
83. The reactor of Claim 80 wherein said harmonic is the second harmonic.
84. A method of processing a semiconductor wafer in a plasma reactor chamber, comprising: providing an overhead electrode having an electrode capacitance and a VHF power generator; coupling said VHF power generator to said overhead electrode through an impedance matching strip line circuit having a strip line conductor length which is a multiple of about one quarter of a VHF strip line circuit frequency and connected at one end thereof to said overhead electrode and connected at a tap point therealong to said VHF power generator; applying an amount of power from said VHF power generator to said overhead electrode to maintain a plasma density at which said plasma and electrode together tend to resonate at a VHF frequency at least near the VHF frequency of said VHF power generator.
85. The method of Claim 84 wherein said plasma density lies in a range of 109 through 1012 ions per cubic centimeter.
86. The method of Claim 84 wherein the step of applying power matches a negative capacitance of the plasma to the capacitance of the electrode.
87. The method of Claim 84 further comprising: locating said tap at least near an axial location along the length of said strip line circuit at which the ratio between the standing wave voltage and standing wave current equals the output impedance of said VHF generator.
88. The method of Claim 87 wherein said locating comprises locating said tap at a position slightly offset from said axial location by an amount which realizes a significant increase in the resistive match space of an impedance match provided by said strip line circuit.
89. The method of Claim 88 wherein said significant increase is on the order of a 6:1 increase.
90. The method of Claim 89 wherein said position is offset by about 5% of the wavelength of said VHF generator.
91. The method of Claim 84 wherein the VHF frequency of said VHF generator lies between said plasma VHF frequency and said strip line circuit VHF frequency.
92. The method of Claim 84 wherein said multiple is 2 whereby the length of said strip line circuit is about a half wavelength at said stub frequency.
93. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support; an RF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator; an insulating layer formed on a surface of said overhead electrode facing said workpiece support; a capacitive insulating layer between said impedance matching element and said overhead electrode; a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support.
94. The reactor of Claim 93 wherein: said insulating layer provides a capacitance sufficient to suppress arcing within said gas injection ports; said capacitive insulating layer has a sufficient capacitance to block D.C. current from a plasma within said chamber from flowing through said overhead electrode while providing a low impedance path at the frequency of said RF power generator; and said metal foam layer is of a sufficient thickness to suppress an axial electric field within said gas injection orifices .
95. The reactor of Claim 93 further comprising a silicon-containing coating covering said insulating layer.
96. The reactor of Claim 95 wherein said silicon- containing coating comprises one of silicon or silicon carbide.
97. The reactor of Claim 93 wherein said fixed impedance matching element comprises a strip line circuit.
98. The reactor of Claim 93 wherein said fixed impedance matching element comprises a coaxial tuning stub.
99. The reactor of Claim 97 wherein said strip line circuit comprises a hollow strip line conductor connected to said RF power generator and extending to said capacitive insulating layer on said overhead electrode, said reactor further comprising: at least one gas feed line extending through said hollow strip line conductor and being coupled to said gas injection orifices of said overhead electrode.
100. The reactor of Claim 98 wherein said coaxial tuning stub comprises a hollow inner conductor connected to said RF power generator and extending to said capacitive insulating layer on said overhead electrode, said reactor further comprising: at least one gas feed line extending through said hollow inner conductor and being coupled to said gas injection orifices of said overhead electrode.
101. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode having plural gas injection orifices therein generally facing said workpiece support; an RF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator, said impedance matching element having a hollow center conductor connected to said RF power generator and coupled to said overhead electrode; and at least one gas feed line coupled to said plural gas injection orifices and extending through said hollow center conductor, whereby said gas feed line is electrically shielded by said hollow center conductor.
102. The reactor of Claim 101 wherein said fixed impedance matching element comprises a strip line circuit and said hollow center conductor comprising a strip line conductor.
103. The reactor of Claim 101 wherein said fixed impedance matching element comprises a coaxial tuning stub and said hollow center conductor comprises an inner coaxial conductor.
104. The reactor of Claim 101 further comprising: an optical window in said overhead electrode; an optical conduit connected at one end thereof to said window and extending through said hollow center conductor of said impedance match element.
105. The reactor of Claim 104 further comprising: an optical detector connected to said optical conduit at an opposite end thereof.
106. The reactor of Claim 104 wherein said optical conduit comprises an optical fiber.
107. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode having plural gas injection orifices therein generally facing said workpiece support; an RF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator, said impedance matching element having a hollow center conductor connected to said RF power generator and coupled to said overhead electrode; an optical window in said overhead electrode; an optical conduit connected at one end thereof to said window and extending through said hollow center conductor of said impedance match element .
108. The reactor of Claim 107 further comprising: an optical detector connected to said optical conduit at an opposite end thereof.
109. The reactor of Claim 108 wherein said optical conduit comprises an optical fiber.
110. The reactor of Claim 107 wherein said impedance matching element comprises a strip line circuit and said hollow center conductor comprising a strip line conductor.
111. The reactor of Claim 107 wherein said impedance matching element comprises a coaxial tuning stub and said hollow center conductor comprises an inner coaxial conductor.
112. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode having plural gas injection orifices therein generally facing said workpiece support; an RF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator, said impedance matching element having a hollow center conductor connected to said RF power generator and coupled to said overhead electrode; a metal foam layer overlying said overhead electrode; a capacitive insulation layer between said center conductor and said overhead electrode.
113. The reactor of Claim 112 wherein said capacitive insulating layer has a capacitance sufficient to block D.C. current from said plasma.
114. The reactor of Claim 112 further comprising thermal control fluid passages within said overhead electrode .
115. The reactor of Claim 112 further comprising an optical window in said overhead electrode generally facing said wafer support and a light carrying medium coupled to said window and extending through said overhead electrode.
116. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode having plural gas injection orifices therein generally facing said workpiece support; an RF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator; an insulating layer formed on a surface of said overhead electrode facing said workpiece support; a capacitive insulating layer between said RF power generator and said overhead electrode, whereby said overhead electrode is capacitively isolated from said plasma and from said RF power generator.
117. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode having plural gas injection orifices therein generally facing said workpiece support; a VHF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator; an HF bias power generator coupled to said workpiece support; a capacitive insulating layer between said RF power generator and said overhead electrode and having a capacitance that provides an RF return path from said plasma to said overhead electrode that is resonant at least near the HF frequency of said HF bias power generator and that has a negligible impedance at VHF frequencies.
118. The reactor of Claim 117 wherein said fixed impedance matching element comprises a strip line circuit and said hollow center conductor comprising a strip line conductor.
119. The reactor of Claim 118 wherein said fixed impedance matching element comprises a coaxial tuning stub and said hollow center conductor comprises an inner coaxial conductor.
120. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode having plural gas injection orifices therein generally facing said workpiece support, said orifices comprising a radially inner group thereof and a radially outer group thereof; an RF power generator and an impedance matching element coupled between said overhead electrode and said RF power generator; radially inner and outer gas baffling layers within said overhead electrode coupled to respective ones of said radially inner and outer groups of orifices first and second gas feed lines coupled to said radially inner and outer gas baffling layers respectively, said first and second gas feed lines being connectable to independently adjustable process gas sources for separate adjustment of gas flow rates at radially inner and outer locations.
121. The reactor of Claim 120 wherein said impedance match element includes a hollow center conductor connected at one end thereof to said RF power generator and coupled at an opposite end there of to said overhead electrode, said first and second gas feed lines passing through said hollow center conductor.
122. The reactor of Claim 121 wherein said impedance matching element comprises a coaxial stub and wherein said center conductor comprises a radially inner coaxial conductor.
123. The reactor of Claim 121 wherein said impedance matching element comprises a strip line circuit and wherein said center conductor comprises a strip line conductor.
124. The reactor of Claim 120 wherein the radially inner and outer gas baffling layers comprise metal foam material .
125. A plasma reactor for processing a semiconductor workpiece, comprising: a reactor chamber having a chamber wall and containing a workpiece support for holding the semiconductor workpiece; an overhead electrode overlying said workpiece support, said electrode being provided with an insulative surface and plural gas injection orifices generally facing said workpiece support; an RF power generator for supplying power at a frequency of said generator to said overhead electrode and capable of maintaining a plasma within said chamber at a desired plasma ion density level; a fixed impedance matching element connected between said generator and overhead electrode, a capacitive insulating layer between said matching element and said overhead electrode; a metal foam layer overlying and contacting a surface of said overhead electrode that faces away from said workpiece support.
PCT/US2002/030407 2001-12-19 2002-09-25 Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression WO2003055287A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP02773574A EP1459351A2 (en) 2001-12-19 2002-09-25 Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression
JP2003555872A JP4902941B2 (en) 2001-12-19 2002-09-25 Plasma reactor for processing semiconductor workpieces
KR1020047009697A KR100903535B1 (en) 2001-12-19 2002-09-25 Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/028,922 2001-12-19
US10/028,922 US7030335B2 (en) 2000-03-17 2001-12-19 Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression

Publications (2)

Publication Number Publication Date
WO2003055287A2 true WO2003055287A2 (en) 2003-07-03
WO2003055287A3 WO2003055287A3 (en) 2004-02-19

Family

ID=21846242

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/030407 WO2003055287A2 (en) 2001-12-19 2002-09-25 Plasma reactor with overhead rf electrode tuned to the plasma with arcing suppression

Country Status (7)

Country Link
US (1) US7030335B2 (en)
EP (1) EP1459351A2 (en)
JP (1) JP4902941B2 (en)
KR (1) KR100903535B1 (en)
CN (1) CN100341107C (en)
TW (1) TW589659B (en)
WO (1) WO2003055287A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210929A (en) * 2005-01-28 2006-08-10 Applied Materials Inc Low arc discharge, cylindrical gas outlet and overhead source power electrode of plasma reactor having molded surface
US10068926B2 (en) 2011-05-05 2018-09-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (en) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 Plasma processing apparatus and variable impedance means calibration method
JP4584565B2 (en) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP4753276B2 (en) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20070158188A1 (en) * 2004-06-15 2007-07-12 Ivanov Eugene Y Metal foam shield for sputter reactor
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
CN100594577C (en) * 2005-06-10 2010-03-17 伯德技术集团股份有限公司 System and method for analyzing power flow in semiconductor plasma generation systems
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7988872B2 (en) 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US20070091541A1 (en) 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using feed forward thermal control
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5064707B2 (en) * 2006-03-30 2012-10-31 東京エレクトロン株式会社 Plasma processing equipment
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
US20090023294A1 (en) 2007-07-16 2009-01-22 Applied Materials, Inc. Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
US7777599B2 (en) * 2007-11-02 2010-08-17 Applied Materials, Inc. Methods and apparatus for controlling characteristics of a plasma
US8742284B2 (en) * 2007-11-06 2014-06-03 Institute Of Nuclear Energy Research, Atomic Energy Council Steam plasma torch
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8324525B2 (en) * 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US20090294275A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of a source power or bias power rf generator
CN102714167B (en) 2008-07-07 2015-04-22 朗姆研究公司 Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
US9326371B2 (en) * 2008-09-10 2016-04-26 Dell Products, Lp System and method for stub tuning in an information handling system
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
JP5479867B2 (en) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 Inductively coupled plasma processing equipment
PL2790205T3 (en) 2009-02-17 2018-10-31 Solvix Gmbh A power supply device for plasma processing
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
JP5582823B2 (en) * 2010-02-26 2014-09-03 東京エレクトロン株式会社 Automatic alignment apparatus and plasma processing apparatus
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
WO2012017717A1 (en) * 2010-08-06 2012-02-09 三菱重工業株式会社 Vacuum processing apparatus and plasma processing method
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
JP5823399B2 (en) * 2010-09-09 2015-11-25 東京エレクトロン株式会社 Microwave introduction mechanism, microwave plasma source, and microwave plasma processing apparatus
KR101108878B1 (en) * 2010-10-20 2012-01-30 주식회사 원익아이피에스 Showhead assembly and apparatus for processing substrate having the same
CN101974739B (en) * 2010-11-19 2012-07-04 理想能源设备有限公司 Plasma-enhanced chemical vapor deposition device
DE102012204689A1 (en) * 2012-03-23 2013-09-26 Krones Ag Suction valve in plasma coating device
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
KR102298032B1 (en) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
JP6423706B2 (en) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 Plasma processing equipment
CA2977882A1 (en) * 2015-03-10 2016-09-15 Oerlikon Metco Ag, Wohlen Method for avoiding an overload at the torch nozzle of a plasma torch
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
KR20180073700A (en) * 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 Advanced optical sensors and methods for plasma chambers
WO2017172536A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
DE102016215598A1 (en) * 2016-08-19 2018-02-22 Siemens Aktiengesellschaft Electric power transmission device and life cycle management
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
US20180197722A1 (en) * 2017-01-10 2018-07-12 Lam Research Corporation Cathode with improved rf power efficiency for semiconductor processing equipment with rf plasma
JP6950196B2 (en) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 How to regenerate the electrode plate for plasma processing equipment and the electrode plate for plasma processing equipment
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
CN107256819B (en) * 2017-06-16 2019-02-12 上海集成电路研发中心有限公司 A kind of target disc device of ion implantation apparatus
US10170287B1 (en) 2017-10-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for detecting micro-arcing occurring inside a semiconductor processing chamber
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
US11290080B2 (en) 2017-11-29 2022-03-29 COMET Technologies USA, Inc. Retuning for impedance matching network control
US10847337B2 (en) * 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
US10892142B2 (en) 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
CN109490721A (en) * 2018-09-11 2019-03-19 惠科股份有限公司 A kind of monitoring device and monitoring method
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114279B2 (en) * 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071765A2 (en) * 2000-03-17 2001-09-27 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US37580A (en) * 1863-02-03 Improvement in sewing-machines
FR1402020A (en) * 1964-04-27 1965-06-11 Csf Improvements to ion sources
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4620913A (en) * 1985-11-15 1986-11-04 Multi-Arc Vacuum Systems, Inc. Electric arc vapor deposition method and apparatus
JPS6329520A (en) * 1986-07-23 1988-02-08 Hitachi Ltd Plasma treatment apparatus
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (en) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner HIGH FREQUENCY ION SOURCE
US4973883A (en) * 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
JPS6424829U (en) * 1987-08-04 1989-02-10
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5055853A (en) * 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (en) * 1989-05-12 1990-12-10 Tadahiro Omi Reactive ion etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (en) * 1989-07-18 1991-01-24 Leybold Ag CIRCUIT ARRANGEMENT FOR ADJUSTING THE IMPEDANCE OF A PLASMA LINE TO A HIGH FREQUENCY GENERATOR
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
JPH04901A (en) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp Method and device for feeding high frequency power for plasma apparatus
JPH0436482A (en) * 1990-05-30 1992-02-06 Mitsubishi Electric Corp Plasma treating device
JPH04247878A (en) * 1990-07-31 1992-09-03 Applied Materials Inc Vhf/uhf reacting device
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
JP2501948B2 (en) * 1990-10-26 1996-05-29 三菱電機株式会社 Plasma processing method and plasma processing apparatus
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
CA2102201A1 (en) * 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (en) * 1991-07-24 1999-04-15 이노우에 쥰이치 Plasma processing apparatus capable of detecting and regulating actual rf power at electrode within chamber
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH05299382A (en) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp Method and apparatus for plasma processing
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JPH06151373A (en) * 1992-11-12 1994-05-31 Canon Inc Semiconductor device manufacturing equipment
JP2972477B2 (en) * 1993-01-27 1999-11-08 日本電気株式会社 RF / ECR plasma etching equipment
TW249313B (en) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (en) * 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (en) * 1994-01-31 2002-04-30 ソニー株式会社 Plasma apparatus and plasma processing method using the same
JP3365067B2 (en) * 1994-02-10 2003-01-08 ソニー株式会社 Plasma apparatus and plasma processing method using the same
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JP3251762B2 (en) * 1994-03-28 2002-01-28 東京エレクトロン株式会社 Method of forming joint and method of joining members of processing apparatus
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
EP1722403B1 (en) * 1994-06-15 2012-07-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (en) * 1994-10-21 1997-04-01 Proel Tecnologie Spa RADIOFREQUENCY PLASMA SOURCE
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (en) * 1994-10-26 1999-10-04 住友金属工業株式会社 Plasma processing equipment
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (en) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 Plasma etching method
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (en) * 1995-05-30 2001-05-21 キヤノン株式会社 Photovoltaic element and method for manufacturing the same
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (en) * 1995-08-23 1999-10-15 아끼구사 나오유끼 Plasma equipment and plasma processing method
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JPH09106898A (en) * 1995-10-09 1997-04-22 Anelva Corp Plasma cvd device, plasma processor, and plasma cvd method
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JP3360265B2 (en) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP3238082B2 (en) * 1996-05-16 2001-12-10 シャープ株式会社 Electronic device manufacturing equipment
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
JP3559920B2 (en) * 1996-07-29 2004-09-02 東京エレクトロン株式会社 Plasma processing equipment
JPH10134953A (en) * 1996-10-28 1998-05-22 Sharp Corp High frequency thawing device
JPH10134996A (en) * 1996-10-31 1998-05-22 Nec Corp Plasma treatment equipment
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US6115200A (en) * 1997-02-03 2000-09-05 International Business Machines Corporation Method and apparatus for preventing write operations in the presence of post-shock motion
JP3650248B2 (en) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 Plasma processing equipment
JP3582287B2 (en) * 1997-03-26 2004-10-27 株式会社日立製作所 Etching equipment
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (en) * 1997-09-10 1999-08-03 株式会社アドテック Impedance matching and power control system for high frequency plasma processing equipment
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
JP3565311B2 (en) * 1997-12-17 2004-09-15 アルプス電気株式会社 Plasma processing equipment
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
JP4130255B2 (en) * 1998-04-08 2008-08-06 キヤノンアネルバ株式会社 Plasma processing equipment
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP3818561B2 (en) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド Method for forming silicon oxide film and method for manufacturing thin film transistor
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (en) * 1999-08-06 2003-02-10 松下電器産業株式会社 Plasma processing method and apparatus
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP3565774B2 (en) * 2000-09-12 2004-09-15 株式会社日立製作所 Plasma processing apparatus and processing method
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071765A2 (en) * 2000-03-17 2001-09-27 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1459351A2 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006210929A (en) * 2005-01-28 2006-08-10 Applied Materials Inc Low arc discharge, cylindrical gas outlet and overhead source power electrode of plasma reactor having molded surface
US10068926B2 (en) 2011-05-05 2018-09-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10283530B2 (en) 2011-05-05 2019-05-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
WO2003055287A3 (en) 2004-02-19
KR20040068307A (en) 2004-07-30
TW589659B (en) 2004-06-01
JP2006502529A (en) 2006-01-19
EP1459351A2 (en) 2004-09-22
CN100341107C (en) 2007-10-03
JP4902941B2 (en) 2012-03-21
KR100903535B1 (en) 2009-06-23
US20020108933A1 (en) 2002-08-15
CN1606794A (en) 2005-04-13
US7030335B2 (en) 2006-04-18

Similar Documents

Publication Publication Date Title
US7030335B2 (en) Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6838635B2 (en) Plasma reactor with overhead RF electrode tuned to the plasma
EP0819780B1 (en) Inductively coupled HDP-CVD reactor
US7141757B2 (en) Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
TW201931428A (en) Plasma reactor having a function of tuning low frequency RF power distribution
JPH10172792A (en) Plasma processing device
JPH06112166A (en) Apparatus and method for plasma reaction using electromagnetic rf coupling
EP1068632B1 (en) Contamination controlling method and plasma processing chamber
KR100849709B1 (en) Plasma chamber having multiple RF source frequencies
CN112309901A (en) Gate mechanism and substrate processing apparatus

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 20028255283

Country of ref document: CN

Ref document number: 2003555872

Country of ref document: JP

Ref document number: 1020047009697

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002773574

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2002773574

Country of ref document: EP