WO2003063212A1 - Stage device and exposure device - Google Patents

Stage device and exposure device Download PDF

Info

Publication number
WO2003063212A1
WO2003063212A1 PCT/JP2003/000267 JP0300267W WO03063212A1 WO 2003063212 A1 WO2003063212 A1 WO 2003063212A1 JP 0300267 W JP0300267 W JP 0300267W WO 03063212 A1 WO03063212 A1 WO 03063212A1
Authority
WO
WIPO (PCT)
Prior art keywords
stage
stator
base
driving
surface plate
Prior art date
Application number
PCT/JP2003/000267
Other languages
French (fr)
Japanese (ja)
Inventor
Dai Arai
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2003562979A priority Critical patent/JPWO2003063212A1/en
Publication of WO2003063212A1 publication Critical patent/WO2003063212A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70758Drive means, e.g. actuators, motors for long- or short-stroke modules or fine or coarse driving
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/709Vibration, e.g. vibration detection, compensation, suppression or isolation

Definitions

  • the present invention relates to a stage device in which a stage body holding a substrate moves in a plurality of directions, and an exposure device that performs an exposure process using a mask and a substrate held by the stage device, and particularly relates to a semiconductor integrated circuit and a liquid crystal display.
  • the present invention relates to a stage apparatus and an exposure apparatus suitably used in the production of devices such as a lithographic apparatus. Background art
  • a circuit pattern formed on a mask or a reticle (hereinafter, referred to as a reticle) is formed on a wafer or glass plate coated with a resist (photosensitive agent).
  • a resist photosensitive agent
  • Various exposure apparatuses for transferring images onto a substrate have been used.
  • a pattern of a reticle is projected on a wafer using a projection optical system in accordance with the miniaturization of the minimum line width (device rule) of a pattern accompanying the high integration of integrated circuits in recent years.
  • a reduction projection exposure apparatus that performs reduction transfer on the top is mainly used.
  • this reduction projection exposure apparatus examples include a step-and-beat type static exposure reduction projection exposure apparatus (so-called stepper) that sequentially transfers a reticle pattern to a plurality of shot areas (exposure areas) on a wafer.
  • stepper is an improvement on the reticle and wafer in a one-dimensional direction by synchronously moving the reticle and the wafer as disclosed in Japanese Patent Laid-Open No. 8-16643, etc. 2. Description of the Related Art
  • a scanning exposure type exposure apparatus (so-called scanning stepper) of an "and scan type" is known.
  • a base plate serving as a reference for the apparatus is first installed on the floor as a stage apparatus, and a reticle stage, a wafer stage, and a projection apparatus are placed on the base plate via a vibration isolating table for isolating floor vibration.
  • Optical system projection lens
  • the one in which a main body column for supporting etc. is arranged is often used.
  • Recent stage devices are equipped with an air mount that can control the internal pressure, an actuator such as a voice coil motor, etc. as the vibration isolating table, and measure, for example, six accelerometers attached to the main body column (main frame).
  • An active vibration isolator that controls the vibration of the main body column by controlling the voice coil motor or the like based on the value is employed.
  • the wafer stage in the case of a stepper or a reticle stage and a wafer stage
  • the reaction force generated by the acceleration and deceleration movements of the scanning (stepping step) causes vibration of the main body column, causing a relative position error between the projection optical system and the wafer.
  • the relative position error at the time of alignment or exposure may result in an image blur (pattern line width) when a pattern is transferred to a position different from the design value on the wafer as a result, or when the position error includes a vibration component. Or increase).
  • 8-632321 discloses a stage in which a drive frame is provided with a stage body floating and supported on a base, and the drive frame is retracted by a reaction force accompanying the forward movement of the stage body.
  • An apparatus is disclosed. According to this technology, the law of conservation of momentum acts between the stage body and the drive frame, and the position of the center of gravity of the device on the base is maintained, so that the effect of vibration on the frame member is reduced. Can be.
  • FIGS 13A and 13B show an example of this type of stage device.
  • an XZY stage (stage main body) 1 for holding a wafer W as a substrate (photosensitive substrate) is driven by a linear motor or the like, and is moved along an X guide bar 2 in the X direction (the horizontal direction in the figure).
  • the mover 5 provided on the X guide bar 2 moves in the Y direction along the stator 3 constituting the linear motor, the wafer W moves in a two-dimensional direction along the XY plane.
  • Each stator 3 constitutes a countermass (3, 6) that is levitated and supported on a base (platen) 4 via an air pad 6 so as to be movable in the Y direction.
  • XZY stage 1 force s for example, when accelerating (moving) in the + Y direction indicated by the arrow in the figure, the countermass (3, 6) accelerates in one Y direction due to the reaction force accompanying acceleration, and the law of momentum conservation works. , The position of the center of gravity of the device on the base is maintained. As a result, the X / Y stage 1 (ie, the wafer W) can be moved without transmitting a reaction force to the outside of the apparatus, and vibration during the stage movement can be minimized.
  • a stage device having a configuration shown in FIG. 14 has been considered.
  • the stator 3 is levitated and supported via an air pad 6 on a side surface plate (support portion) 7 provided separately and independently from the base 4.
  • the base 4 and the side surface plate 7 are independent, even when the stator 3 moves as the counter mass (3, 6), the distortion due to the movement Energy / vibration is not transmitted to the base 4 Therefore, the surface accuracy of the running surface is maintained, and the XZY stage 1 can be protected from vibration.
  • the base 4 is generally mounted on the floor via a vibration isolator 8 for vibration isolation.
  • this type of vibration isolator has a drive stroke, but the allowable stroke of the vibration isolator must be smaller than the gap between the stator 3 and the mover 5 constituting the linear motor.
  • the gap between the stator 3 and the mover 5 must be increased. In this case, heat generated by driving the motor or the motor itself becomes larger. Occurs.
  • a configuration is considered in which a side surface plate 7 is installed on the floor via an actuator 9 such as a motor, an air spring, or an air cylinder.
  • an actuator 9 such as a motor, an air spring, or an air cylinder.
  • the actuator 9 for driving the side surface plate 7 is frequently driven in a servo state, and generates heat. There is no problem if the actuator 9 is operating normally, but if an unexpected situation occurs and the actuator does not operate or operates outside the specified range, it can move with the motor stator 3. There is a risk of contact with the child 5 and damage.
  • the present invention has been made in consideration of the above points, and separates the motor stator from the base.Even if the motor stator is arranged independently, the thrust of the motor is reduced and the vibration isolation performance is reduced. It is an object of the present invention to provide a stage apparatus and an exposure apparatus capable of performing a reaction force treatment without causing damage to the stage. Disclosure of the invention In order to achieve the above object, the present invention employs the following configuration corresponding to FIGS. 1 to 11 showing the embodiment.
  • a stage device of the present invention comprises: a stage main body movably supported by a base having a moving surface; a first driving device having a stator and a mover provided on the stage main body for driving the stage main body; A stage provided with a stator, a support provided independently of the base, a base and a support in a first direction (Z direction) substantially perpendicular to the moving surface. And a release device for releasing the support portion from the independent state with respect to the base in accordance with the relative displacement of
  • the supporting portion for supporting the stator is provided independently of the base, even if the stator moves due to the reaction force accompanying the movement of the stage main body, it is caused by the movement of the stator. Strain energy ⁇ vibration can be prevented from being transmitted to the base.
  • the independent state of the base and the support is released, and the base and the support are subordinate to each other. It is possible to prevent the gap between the movable element and the movable element from becoming smaller than a predetermined value, thereby preventing damage due to contact.
  • the gap between the stator and the mover is made unnecessarily large to reduce the thrust of the first drive unit, and the stroke of the second drive unit for driving the base in the first direction is reduced and prevented.
  • the reaction force due to the movement of the stage body can be processed without lowering the vibration performance.
  • the release device may include a first member provided on the base and a second member provided on the support. In this case, the independent state of the support portion with respect to the base can be released by the first member and the second member.
  • the first member and the second member may be in non-contact when the support portion is independent of the base, and may be in contact when releasing the independent state. In this case, the independent state of the support portion with respect to the base can be released by contact and non-contact between the first member and the second member.
  • One or more of the first member and the second member may be provided along the direction orthogonal to the first direction. In this case, even when the base is relatively displaced in a direction other than the first direction, the independent state of the supporting portion with respect to the base can be reliably released and the base can be moved.
  • the structure may be such that the weight of the support portion is supported by an elastic member. In this case, it is possible to prevent heat from being generated by the support on the support portion.
  • the elastic member may be configured to support the supporting portion at at least three points forming the vertices of a triangle.
  • the support portion can be stably supported in a planar manner.
  • a second driving device for driving the base in a first direction can be released from the independent state with respect to the base driven in the first direction.
  • a third driving device for driving the support portion in a first direction may be provided.
  • the force for distorting the base when the independent state is released can be significantly reduced.
  • It may have a support mechanism for movably supporting the stator with respect to a support portion. In this case, even when the stator moves, the force that distorts the base when the independent state is released can be significantly reduced.
  • a control device may be provided for controlling the third driving device so as to correct the movement of the center of gravity of the support part accompanying the movement of the stator.
  • the movement of the center of gravity accompanying the movement of the stator can be compensated for, and the rigidity of the elastic member can be reduced.
  • the third drive device may be movably connected to the support when the drive is stopped. In this case, even when the driving of the third driving device is stopped, the support portion can reliably follow the base.
  • an exposure apparatus of the present invention is an exposure apparatus that exposes a pattern of a mask held on a mask stage to a substrate held on a substrate stage, wherein at least one of a mask stage and a substrate stage Stage equipment is used.
  • An exposure apparatus exposes a pattern on a first substrate held on a first substrate stage, and comprises: a movable element connected to the first substrate stage; and a stator.
  • a first driving device that drives the first substrate stage, a first platen having a moving surface on which the first substrate stage moves, and the stator is provided.
  • a second platen provided independently of the first platen; a second drive device for driving the first platen in a first direction substantially orthogonal to the moving surface; and the second platen.
  • a third drive device for driving the board in the first direction.
  • the exposure apparatus may include a support mechanism that movably supports the stator with respect to the second platen.
  • the exposure apparatus controls the second driving device so as to correct a change in the center of gravity of the first platen accompanying the movement of the first substrate stage, and responds to the movement of the first substrate stage.
  • a control device may be provided for controlling the third drive device so as to correct a change in the center of gravity of the second platen caused by the movement of the stator.
  • the exposure apparatus includes: a projection optical system that projects the pattern onto the first substrate; and a third surface plate that supports the projection optical system independently of the first surface plate and the second surface plate. You may have.
  • the exposure apparatus may include a fourth driving device that drives the third surface plate in the first direction.
  • the exposure apparatus may include a second substrate stage that moves on the moving surface of the first platen.
  • An exposure apparatus is a method for exposing a pattern on a substrate held on a substrate stage, the method comprising: a movable member connected to the substrate stage; A step of driving a first platen having a moving surface on which the substrate stage moves, in a first direction substantially orthogonal to the moving surface, and a step of driving the stator. Driving a second surface plate provided independently of the first surface plate in the first direction.
  • An exposure apparatus is a method of exposing a pattern on a substrate held on a substrate stage, the method comprising: providing the substrate stage on a first platen having a moving image; Installing a stator on a second surface plate provided independently of the surface plate, driving the substrate stage by the stator and a mover connected to the substrate stage, and Releasing the independent state of the first and second surface plates.
  • FIG. 1 is a schematic diagram showing an overall configuration of an exposure apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a perspective view of the external appearance of the wafer stage according to the present invention.
  • FIG. 3 is a plan view showing an arrangement of a vibration isolation unit and a coil spring.
  • FIG. 4 is a schematic configuration diagram of the wafer stage according to the first embodiment of the present invention.
  • FIG. 5 is an enlarged view of a release device constituting the wafer stage.
  • FIG. 6 is a side sectional view of the releasing device.
  • FIG. 7 is a block diagram of the control according to the first embodiment.
  • FIG. 8 is a schematic diagram of a wafer stage according to the second embodiment of the present invention.
  • FIG. 9 is a plan view showing the arrangement of the vibration isolating unit, the coil spring, and the actuator.
  • FIG. 10 is a control block diagram according to the second embodiment.
  • FIG. 11 is a diagram showing another embodiment of the elastic member and the third driving device.
  • FIG. 12 is a flowchart illustrating an example of a semiconductor device manufacturing process.
  • FIGS. 13A and 13B show an example of a conventional stage device, where FIG. 13A is a plan view and FIG. 13B is a front view.
  • FIG. 14 is a front view showing another example of the conventional stage device.
  • FIG. 15 is a front view showing another example of the conventional stage device. BEST MODE FOR CARRYING OUT THE INVENTION
  • a reticle and a wafer are synchronously moved in a one-dimensional direction (here, the Y-axis direction) while a circuit pattern of a semiconductor device formed on the reticle is transferred onto the wafer.
  • a scanning exposure type exposure device including a scan type or a step-and-stitch type is used.
  • the stage device of the present invention is used as a wafer stage.
  • the same components as those in FIGS. 13 to 15 shown as conventional examples are denoted by the same reference numerals, and the description thereof will be omitted. Is omitted.
  • FIG. 1 schematically shows the entire configuration of an exposure apparatus 10 according to one embodiment of the present invention.
  • the exposure apparatus 10 illuminates a rectangular (or arc-shaped) illumination area on a reticle R as a mask with uniform illumination by exposure illumination light (hereinafter simply referred to as “illumination light”) IL.
  • An illumination system (not shown), a reticle stage RST as a mask stage for holding the reticle R, a projection optical system PL for projecting illumination light emitted from the reticle R onto the wafer W, and a substrate stage for holding the wafer W Wafer stage (stage device) WST, projection optical system PL, reticle stage RST, wafer stage Main body column 14 as body mounted with WST, and main body column
  • an ultraviolet bright line (g-line, i-line) from an ultra-high pressure mercury lamp and far ultraviolet light (DUV light) such as KrF excimer laser light (wavelength 248 nm), a r F excimer laser light (wavelength 1 9 3 nm) and F 2 laser beam (wavelength: 1 5 7 nm) vacuum ultraviolet light (VUV light) is used.
  • DUV light far ultraviolet light
  • the main body column 14 is composed of a rectangular base plate BP serving as a reference for the device placed horizontally on the floor FD, and the vibration isolation units 16 A arranged near the apex of the triangle on the upper surface of the base plate BP. 16 C (however, the vibration isolating unit 16 C on the far side of the drawing is not shown in FIG. 1) and the lens barrel supported substantially horizontally via these vibration isolating units 16 A to 16 C.
  • Each of the vibration isolation units 16A to 16C includes an actuator section 28 arranged in series above the base plate BP and an air mount 30 whose internal pressure is adjustable.
  • Each of the actuator units 28 of the anti-vibration units 16A to 16C includes at least one voice coil motor.
  • at least three voice coil motors for driving in the vertical direction (ie, the Z direction in FIG. 1) and the X direction Voice Cally At least three voice coil motors for Y-direction drive and at least three voice coil motors for X-direction drive and one direction coil drive are included.
  • the lens barrel base 18 has a vibration sensor (for example, a semiconductor acceleration sensor) that detects the vibration in the axial direction of the main body column 14 including the lens barrel base 18. ), And at least three vibration sensors (for example, accelerometers such as semiconductor accelerometers) that detect vibrations in the X and ⁇ directions. (Includes one sensor for directional vibration detection.)
  • the outputs of at least six of these vibration sensors (hereinafter referred to as “vibration sensor group 32”) are supplied to a main controller 50 (see FIG. 7), which will be described later, and the main controller 50 controls the main body column 1 Movement in the direction of 4 6 degrees of freedom is required, and the vibration isolation units 16 A to 16 C are controlled. That is, in the present embodiment, an active vibration isolation system for controlling the vibration of the main body column 14 is configured by the vibration sensor group, the vibration isolation units 16 # to 16 C, and the main controller 50. I have.
  • the second chamber 26 is a frame having a substantially trapezoidal shape in a side view, an overall shape of a polyhedron having an octagonal bottom surface and a top surface, a trapezoidal opening formed on each side surface, and a completely open bottom surface.
  • the upper surface of the second illuminator 26 is a support plate for supporting the reticle stage base 25.
  • the support plate is formed with a rectangular opening (not shown) forming a passage for the illumination light IL.
  • a reticle stage base 25 is placed on the upper surface of the region including the opening.
  • the reticle stage base 25 also has a predetermined opening facing the opening.
  • Reticle stage R S ⁇ is arranged on reticle stage surface plate 25 described above.
  • the reticle stage RS ⁇ ⁇ can linearly drive the reticle R on the reticle stage surface plate 25 with a large stroke in the ⁇ axis direction, and can minutely drive in the X axis direction and the ⁇ ⁇ direction (rotation direction around the ⁇ axis). Configuration.
  • the reticle stage RS ⁇ includes a reticle coarse movement stage 11 that moves along a ⁇ guide (not shown) provided on the reticle stage surface plate 25 along the ⁇ axis direction, and a reticle coarse movement stage 11 1 Above are a pair of X voice coil motors 36 6, 36 ⁇ (not shown in FIG. 1; see FIG. 7) and a pair of ⁇ ⁇ ⁇ voice coil motors 36 C, 36 D (Fig. 1, not shown, but see FIG. 7), and a reticle fine movement stage 12 that is finely driven in the X, Y, and 0 ° directions.
  • Reticle R is fixed to reticle fine movement stage 12 by, for example, vacuum suction.
  • the reticle coarse movement stage 11 is supported by an air bearing (not shown) in a non-contact manner with respect to ⁇ guide, and ⁇ linear motors 34 ⁇ and 34 ⁇ (not shown in FIG. 1, see FIG. 7) ), It is configured to be driven with a predetermined stroke in the ⁇ -axis direction.
  • the driving system of the reticle stage 13 is driven by the ⁇ linear motors 34 ⁇ , 34 ⁇ , the X voice coil motors 36 ⁇ , 36 ⁇ and the ⁇ voice coil motors 36 C, 36 D. 3 7 (see Figure 7).
  • Each of the ⁇ linear motors 34 A and 34 B is a reticle provided on the reticle stage base 25, which is supported by a plurality of air bearings and is extended in the Y-axis direction.
  • Coarse stage 11 Consists of a mover fixed to 1. Therefore, in the present embodiment, when the reticle stage RST moves in the scanning direction (Y-axis direction), the mover and the stator of the pair of Y linear motors 34A and 34B are relatively in opposite directions. Go to That is, reticle stage R ST and the stator relatively move in opposite directions.
  • the reticle stage RST and the stator relatively move in opposite directions, and the center of gravity of the entire system including the reticle stage RST, the reticle stage base plate 25, and the like is maintained at a predetermined position. Uneven load due to movement of the center of gravity is prevented. Such details are described in, for example, JP-A-8-63231.
  • a moving mirror 40 that reflects the length measurement beam from the reticle laser interferometer system 38 which is a position measuring device for measuring the position and amount of movement, is attached to a part of the reticle fine movement stage 12.
  • Reticle laser interferometer system 38 It is fixed to the upper surface of the cylinder platen 18.
  • the fixed mirror 42 corresponding to the reticle laser interferometer system 38 is provided on the side surface of the lens barrel of the projection optical system PL.
  • the position of the reticle stage RST (specifically, the reticle fine movement stage 12) in the X, ⁇ , and ⁇ directions is measured by the retinal laser interferometer system 38 with reference to the projection optical system PL.
  • the position information (or speed information) of the reticle stage RST (ie, reticle R) measured by the reticle laser interferometer system 38 described above is transmitted to the stage controller 44 (not shown in FIG. 1; see FIG. 7) and Through this, it is supplied to the main controller 50 (see FIG. 7).
  • the stage controller 44 basically has the same position information (or speed information) output from the reticle laser interferometer system 38 as the command values (target position, target speed) from the main controller 50.
  • the above-mentioned ⁇ linear motor 34 4, 34 4 and voice control motor 36 6 to 36 ⁇ D are controlled.
  • a circular opening is formed at the center of the lens barrel base plate 18, and a first invar 24 made of a cylindrical member having a flange provided at an upper end is inserted into the circular opening.
  • the projection optical system PL is inserted into the inside of 4 from above with its optical axis direction set to the ⁇ axis direction.
  • the material of the first invar 24 is a low thermal expansion material, for example, Invar (a low-expansion alloy made of 36% nickel, 0.25% manganese, and iron containing trace amounts of carbon and other elements). Is used.
  • a flange FLG made of a material or the like integrated with the lens barrel is provided on the outer periphery of the lens barrel of the projection optical system PL.
  • This flange FLG constitutes a so-called kinematic support mount that supports the projection optical system PL at three points with respect to the first invar 24 via points, surfaces, and V-grooves. Adopting such a kinematic support structure makes it easy to assemble the projection optical system PL to the first invar 24, and furthermore, the vibration, temperature change, and posture of the first invar 24 and the projection optical system PL after assembly. There is an advantage that stress caused by a change or the like can be reduced most effectively.
  • the projection optical system PL here, both the object plane (reticle R) side and the image plane (Jeha W) side are telecentric and have a circular projection field, and quartz and fluorite are used as optical glass materials.
  • a refracting optical system consisting of only a refracting optical element (lens element) with a projection magnification of 1 Z 4 (or 1/5) is used. Therefore, illumination light IL is applied to reticle R. Illuminates the projection optical system PL from the portion of the circuit pattern area on the reticle R that is illuminated by the illumination light IL, and a partial inverted image of the circuit pattern is projected onto the projection optical system PL. In the center of the circular field of view on the image plane side, an image is formed in a slit shape limited. As a result, the projected partial inverted image of the circuit pattern is reduced and transferred to the resist layer on the surface of one of the plurality of shot areas on the wafer W arranged on the imaging plane of the projection optical system PL. You.
  • the wafer stage WST holds the wafer W and moves in the XY two-dimensional direction.
  • the wafer stage WST is shown in a simplified form in FIG. 1, but in fact, as shown in FIG. 2, a wafer stage base (base) having a moving surface 22 a is provided. 2 2, moving stage (stage body) 1 A, 1 B (collectively referred to as moving stage 1 as appropriate), Y motor (first driving device) that drives moving stages 1 A, 1 B in the Y direction, respectively 6 1 A , 61B, and X stage motors 62A and 62B that drive the moving stages 1A and 1B in the X direction, respectively.
  • the wafer (substrate) W2 can be exchanged and aligned on the moving stage 1B side during the traveling exposure to (substrate) W1.
  • the wafer stage base 22 is supported substantially horizontally above the base plate BP via a vibration isolating unit (second driving device) 29.
  • the screw-proof unit 29 like the above-mentioned vibration-proof unit 16A to 16C, constitutes an active vibration-damping system including an actuator and an air mount whose internal pressure can be adjusted. As shown in the figure, they are located at the three power points forming the vertices of the triangle (note that the anti-vibration unit on the far side of the paper is not shown in Fig. 1).
  • the wafer stage base 22 has at least three vibration sensors (for example, accelerometers such as semiconductor acceleration sensors) for detecting vibration of the base 22 in the Z-axis direction, and the X direction.
  • At least three vibration sensors (for example, accelerometers such as semiconductor acceleration sensors) that detect vibration in the Y direction (including one sensor for X direction vibration detection and one sensor for Y direction vibration detection) ) Installed. Outputs of at least six of these vibration sensors (hereinafter, referred to as “vibration sensor group 33”) are supplied to a main controller 50 (see FIG. 7), which will be described later, and the main controller 50 controls the wafer stage base. 2 2 of 6 degrees of freedom Motion is required, and the vibration isolating unit 29 is driven in the Z direction (first direction) substantially perpendicular to the moving surface 22 a, so that micro vibration transmitted to the wafer stage base 22 via the base plate BP is It is controlled to be insulated at G level. The relative position of wafer stage base 22 to projection optical system PL is detected by position sensor 77 (see FIG. 7) and output to main control system 50.
  • position sensor 77 see FIG. 7
  • the moving stages 1A and IB are supported on the wafer stage base plate 22 via floating bearings (not shown).
  • Specimen tables (holders) 63 A and 63 B are placed on the moving stages 1 A and IB, respectively, and wafers (substrates) that are photosensitive substrates are mounted on these sample tables 63 A and 63 B.
  • Wl and W2 (collectively referred to as wafer W as appropriate) are held by vacuum suction or the like.
  • the sample stage 63 A and 63 B can be finely moved in the X direction, Y direction, and rotation direction around the Z axis with respect to the moving stage 1 A, IB, and Z for leveling and focusing.
  • the configuration allows for directional displacement and tilting around two axes (ie, around the X and Y axes).
  • the X motor 62A drives the moving stage 1A in the X direction, which is the step moving direction, and includes an X stator (not shown) embedded in an X guide bar 2A extending in the X direction.
  • the X guide par is described as an X stator), and an X mover (not shown) provided on the moving stage 1A and driven in the X direction by electromagnetic interaction with the X stator. ing.
  • the X motor 62B drives the moving stage 1B in the X direction, and is embedded in an X guide bar 2B extending in the X direction (collectively referred to as X guide bar 2 as appropriate).
  • X stator (not shown) provided on the moving stage 1B and driven in the X direction by electromagnetic interaction with the X stator. ing.
  • the Y motor 61A drives the moving stage 1A in the Y direction, which is the scanning direction (running direction), and as shown in FIG. 4 through the X guide bar 2A.
  • Y movers (movers) 64 A, 64 A provided at both ends of the moving stage 1 A and open to Y movers 64 A, and Y movers 64 A, 64 A
  • FIG. 2 Shown in As shown in Fig. 1 and Fig. 3, the countermass has the same shape for convenience.
  • the counter mass 65 arranged on the X side (the left side in Fig.
  • the Y motor 61B drives the moving stage 1B in the Y direction, which is the scanning direction (scanning direction), and is provided at both ends of the moving stage 1B via the X guide bar 2B.
  • the Y mover (movable element) 6 4 B, 6 4 B is opened toward the Y mover 6 4 B, and the Y mover 6 4 B, 6 4 B is opened by the electromagnetic interaction. It comprises a mover 64 B, a counter mass 65 having a U-shaped cross section as a stator for driving the mover 64 B in the Y direction.
  • the Y movers 64 A and 64 B are provided for each of the plurality of moving stages 1 A and IB, but the counter mass 65 is in the moving range of the moving stages 1 A and 1 B! : It has a configuration in which it is shared by these Y movers 64 A and 64 B by having a length.
  • the Y motors 61A and 6IB constitute a moving coil type linear motor.
  • the countermass 65 is an air pad having a guide mechanism (support mechanism) in the Y direction on side bases (supporting parts) 7, 7 provided on both sides in the X direction of the wafer stage base 22. Each is levitated and supported movably in the Y direction via 6.
  • the side platen 7 is provided (vibrationally) independently of the wafer stage platen 22 and its own weight is supported by a coil spring 31 as an elastic member installed on the base plate BP. ing.
  • the coil spring 31 is set to have a rigidity enough to support the own weight of the side platen 7 even when the center of gravity of the counter mass 65 moves, as shown in Fig. 3.
  • the side surface plate 7 is supported at three points forming the vertices of the triangle at both ends and the center.
  • the independent surface of the side surface plate 7 and the wafer stage surface plate 22 are releasably connected by a release device 45.
  • the release devices 45 are provided on both end surfaces in the Y direction of the side surface plate 7 and the wafer stage surface plate 22 (only the release device on one Y side is shown in FIGS. 1, 2 and 4).
  • the wafer stage surface plate 2 The stopper arm (first member) 46 made of stainless steel provided in 2 and the shaft member (second member) 47 and 47 protruding from the side surface plate 7 with an interval in the X direction ' It is configured.
  • the stopper arm 46 is fixed to the wafer stage base 22 by fastening means (not shown) such as a bolt, and a fitting groove 4 extending in the X direction is provided at a position facing the shaft members 47. 8 are formed.
  • the fitting groove 48 has a width and a position at which a gap L1 is formed in the Z direction (and partially in the X direction) between the wafer stage WST and the shaft member 47. Is formed.
  • This gap L 1 is given by the following equation, where L 2 (see FIG. 4) is the gap between the Y movers 64 A, 64 B and the stator 65 in the Y motors 61 A, 61 B. Is set so that the following relationship holds.
  • the wafer stage WST holds the above-mentioned utility supply cables, tubes, etc. for supplying various utilities to the moving stages 1A, IB and the X guide bars 2A, 2B.
  • a tube carrier (not shown) that moves synchronously with the movement of 2A and 2B (that is, the movement of the moving stages 1A and IB in the Y direction) is provided.
  • a moving mirror 79X extends in the Y direction at one end of the upper surface of the moving stages 1A and 1B in the X direction, and a moving mirror 79Y extends at one end of the Y direction. It extends in the X direction.
  • These movable mirrors 79X and 79Y are irradiated with length measuring beams from the laser interferometers constituting the wafer laser interferometer system 80 (see FIG. 1) as position detectors. At least one of the laser interferometers corresponding to these measurement beams uses a two-axis interferometer having two measurement axes.
  • Each fixed mirror corresponding to each laser interferometer constituting the wafer laser interferometer system 80 is fixed to the lower end of the lens barrel of the projection optical system PL.
  • Wafer laser interferometer system 80 is disposed on the top surface of lens barrel base 18.
  • movable mirrors 79X and 79 ⁇ are provided as movable mirrors, and correspondingly, fixed mirrors are provided for the X-direction position measurement and for the ⁇ -direction position measurement, respectively.
  • the laser interferometer is also provided with one for X-direction position measurement and one for ⁇ -direction position measurement. In Fig. 1, these are typically the moving mirror 79, fixed mirror 81, and wafer laser interferometer. Shown as system 80.
  • the wafer laser interferometer system 80 measures the position of the wafer stage WS X in the X, ⁇ , ⁇ ⁇ (rotation around ⁇ ) directions with reference to the projection optical system PL. Position information (or speed information) of the wafer stage WST measured by the wafer laser interferometer system 80 is sent to the stage controller 44 and the main controller 50 via the stage controller 44.
  • the stage control device 44 basically controls the position information (or speed information) output from the wafer laser interferometer system 80 so that it matches the command value (target position, target speed) given from the main control device 50.
  • the above Y motors 61A and 61B and the X motors 62A and 62B are controlled.
  • FIG. 7 is a block diagram showing a main configuration of a control system of exposure apparatus 10 according to the present embodiment.
  • This control system mainly includes a main controller 50 as a control system including a microcomputer (or a workstation). As shown in this figure, the measurement results of the vibration sensor groups 32 and 33 and the position sensor 77 are output to the main controller 50.
  • Main controller 50 controls the driving of vibration isolation units 16A to 16C and 29 based on the input measurement results.
  • the stage controller 44 controls the Y linear motors 34A and 34B and the X voice coil motor 36 based on the measurement results of the reticle laser interferometer system 38 and wafer laser interferometer system 80.
  • A, 36B, Y voice coil motor 36C, 36D, Y motor 61A, 61B, X motor 62A, 62B, trim motor 72, voice coil motor 73 are controlled.
  • the moving stage 1A moves in the Y direction together with the sample stage 63A (and the wafer W1).
  • Fixed as a counter mass by the reaction force of this movement The child 65 moves relatively on the side surface plate 7 in the direction opposite to the moving direction of the moving stage 1A.
  • the reaction force of the moving stage 1A during acceleration and deceleration in the Y direction is absorbed by the movement of the counter mass 65, the momentum applied to the base plate BP becomes theoretically zero, and the position of the center of gravity in the wafer stage WST becomes Y Substantially fixed in the direction.
  • the same operation is performed when the moving stage 1B moves in the Y direction by operating the Y motor 61B.
  • the stage controller 44 When moving the moving stages 1 A and IB, the stage controller 44 responds to an instruction from the main controller 50 and moves the moving stages 1 A and 1 B based on the measured values of the laser interferometer system 80 and the like. A counterforce that cancels the influence of the change in the center of gravity due to the movement of the air is given to the anti-vibration hood 29 by feed feed, and the air mount and the actuator unit are driven to generate this force. . In addition, when slight vibrations in the six-degree-of-freedom direction of the wafer stage base 22 remain because the friction between the moving stages 1A and 1B and the wafer stage base 22 is not zero, etc. Based on the measurement values of the sensor group 33 and the position sensor 77, the air mount and the actuator unit are feedback-controlled to eliminate the residual vibration.
  • the side plate 7 is biased by the movement of the counter mass 6 5, but a large change in posture does not occur because it is supported by the rigid coil spring 31.
  • the relative displacement with respect to the surface plate 22 is also very small, not more than the above L1.
  • the side plate 7 is distorted by the movement of the counter mass 65, but the relative displacement between the plate 7 and 22 is very small, and the stopper arm 46 and the shaft member 4 7 Are not in contact with each other, the independent states of the surface plates 7 and 22 are maintained, the distortion energy and the vibration are not transmitted to the wafer stage surface plate 22, and the surface accuracy of the sliding surfaces of the moving stages 1A and IB is maintained. .
  • the anti-vibration unit 29 stops functioning or runs away and the relative displacement between the surface plates 7 and 22 becomes large, specifically, the relative displacement in the Z direction becomes If the size exceeds the gap L1 between the shaft member 4 and the shaft member 47, the Y movers 64A, 64B and the stator 65 in the Y motor 61A, 61B Before the contact, the stopper arm 46 and the shaft member 47 come into contact with each other. This allows
  • the shaft member 47 when the wafer stage base 22 is displaced not only in the Z direction but also in the (rotation about the Y axis) direction, when the shaft member 47 When displaced, the gap between the shaft member and the stopper arm 46 is maintained, but the Y movers 64 A, 64 B and the stator 65 may come into contact with each other.
  • the shaft member 47 is provided in two places in the X direction, so that the stopper arm 46 and the shaft member can be moved even when the wafer stage base 22 is relatively displaced in the ⁇ direction.
  • various exposure conditions for scanning and exposing the shot area on the wafer W with an appropriate exposure amount are set in advance.
  • Preparation work such as reticle alignment and baseline measurement using a reticle microscope (not shown) and an off-axis alignment sensor (not shown) is performed, and thereafter, a wafer W finer using the alignment sensor is prepared.
  • the alignment eg, enhanced global alignment
  • the arrangement coordinates of a plurality of shot areas on the wafer W are obtained.
  • the stage controller 44 measures the wafer laser interferometer system 80 based on the alignment result in accordance with the instruction from the main controller 50. While monitoring the values, control the Y motors 61A and 61B and the X motors 62A and 62B to move the moving stage 1 to the scanning start position for the exposure of the first shot of the wafer W. .
  • the stage controller 44 starts running in the Y direction between the reticle stage RST and the wafer stage WST via the reticle driver 37 and the wafer driver 39 in response to an instruction from the main controller 50.
  • both stages RST and WST reach their respective target scanning speeds, the pattern area of reticle R is illuminated by illumination light IL.
  • running exposure is started.
  • the moving speed of the reticle stage RST in the Y-axis direction and the moving speed of the wafer stage WST in the Y-axis direction, particularly during the above-mentioned scanning exposure, are determined by the projection magnification of the projection optical system PL (1 Z 5 times).
  • the reticle stage RST and the wafer stage WST (moving stage 1) are synchronously controlled so as to maintain the speed ratio according to (or 1/4 times).
  • the different areas of the pattern area of the reticle R are sequentially illuminated with the illumination light IL, and the illumination of the entire pattern area is completed, whereby the scanning exposure of the first shot on the wafer W ends.
  • the pattern of the reticle R is reduced and transferred to the first shot via the projection optical system PL.
  • the stage controller 44 moves the moving stage 1 in the X and Y axis directions via the wafer drive unit 39 in accordance with the instruction of the main controller 50. It is moved step by step and moved to the running start position for the exposure of the second shot. At the time of this stepping, the stage controller 44 measures the position displacement of the moving stage 1 in the X, ⁇ , and ⁇ directions in real time based on the measurement value of the wafer laser interferometer system 80. Based on the measurement result, the stage control device 44 controls the position of the moving stage 1 by controlling the wafer driving unit 39 so that the positional displacement becomes a predetermined state.
  • the stage controller 44 Based on the instruction of the main controller 50, the stage controller 44 performs the same running exposure on the second shot as described above. In this way, the scanning exposure of the shot on the wafer W and the stepping operation for the next shot exposure are repeatedly performed, and the pattern of the reticle R is sequentially transferred to all the exposure target shots on the wafer W. That is, the exposure of the step-and-scan method is performed as described above. Subsequently, the parallel processing by the two moving stages 1 # and 1 # will be described. In the present embodiment, for example, while exposing wafer W 1 on moving stage 1 1 (that is, sample stage 63 A) through projection optical system PL, wafer changing is performed on moving stage 1 B. After the wafer exchange, an alignment operation and autofocus / autoleveling are performed.
  • the wafer stage that has been completed first enters the waiting state, and moves when both operations are completed. Stages 1A and IB are controlled for movement.
  • the exposure sequence is performed under the projection optical system PL.
  • the independent state of the side surface plate 7 with respect to the wafer stage surface 22 is released in accordance with the relative displacement between the wafer stage surface 22 and the side surface plate 7, It is possible to avoid a situation in which the Y movers 64 A, 64 B and the stator 65 come into contact with each other and are damaged. Therefore, there is no need to increase the gap between the Y movers 64 A and 64 B and the stator 65 and to shorten the drive stroke of the vibration isolating unit 29, which reduces the thrust of the motor and reduces the vibration isolation performance. It is possible to prevent the drop.
  • the self-weight of the side platen 7 is supported by the coil springs 31, so that the actuators support the self-weight of the side platen 7, as in the case where the self-weight of the side platen 7 is supported.
  • the generation of heat can be prevented.
  • the coil springs 31 are arranged at three points forming the vertices of the triangle, it is also possible to stably support the side surface plate 7 in a planar manner.
  • FIGS. 8 to 11 are views showing a second embodiment of the stage apparatus and the exposure apparatus of the present invention.
  • the same elements as those of the first embodiment shown in FIGS. 1 to 7 are denoted by the same reference numerals, and description thereof will be omitted.
  • the difference between the second embodiment and the first embodiment is that the side platen 7 is driven in the Z direction. That is, we have established an actuator.
  • an actuator (third drive device) 49 such as a voice coil motor is provided between the side surface plate 7 and the base plate BP.
  • the actuator 49 is adjacent to the coil spring 31 in the X direction so as to be paired with the coil spring 31, and, like the coil spring 31, has a vertex of a triangle.
  • the main control unit (control unit) 50 drives the side surface plate 7 in the Z direction (see Fig. 10).
  • the actuator 49 is connected to and connected to the side surface plate 7 so as to be movable when its driving is stopped.
  • the coil spring 31 is set to a relatively low panel constant that can simply support the weight of the side platen 7 without considering the rigidity against the movement of the center of gravity accompanying the movement of the counter mass 65.
  • a position sensor 78 (not shown in FIG. 8, see FIG. 10) for detecting the position of the side platen 7 in the Z direction is provided, and the detection result is determined by the main controller. 50 is output.
  • Main controller 50 controls the driving of actuator 49 based on the detection results of position sensors 77, 78.
  • the main controller 50 controls the actuator 49 so that the side plate 7 follows the position of the wafer stage base 22. Drive. Therefore, it is not necessary to constantly drive the actuator 49, and it is possible to suppress heat generation due to the driving.
  • the actuator unit 49 is activated according to (the difference between) the detection results of the position sensors 77, 78.
  • the actuator 49 By driving and causing the side surface plate 7 to follow the position of the wafer stage surface plate 22, contact between the Y movers 64 A and 64 B and the stator 65 can be avoided.
  • the control device 50 turns off the power of the actuator 49 to stop its driving, and supports the side platen 7 only with the coil spring 31.
  • the side surface plate 7 is restrained by the release device 45 (that is, the stopper arm 46 and the shaft member 47) so that the side surface plate 7 does not separate more than a predetermined distance (L2—L1).
  • a predetermined distance L2—L1
  • Contact between the stators 64A and 64B and the stator 65 can be avoided. The above operation is the same when both the vibration isolation unit 29 and the actuator 49 fall into a function stop state or a runaway state.
  • the spring constant of the coil spring 31 is set to be relatively large so as to withstand the movement of the center of gravity of the force center 65, so that the release device 45 resists the urging force of the coil spring 31.
  • the actuator 49 compensates for the movement of the center of gravity of the cowl terminus 65, so that the coil spring 31 Since the spring constant of the wafer stage can be kept low, the force for distorting the wafer stage base 22 when the independent state is released can be significantly reduced.
  • the paired coil spring 31 and the actuator 49 are arranged adjacent to each other.
  • the actuator 49 it is necessary to arrange the actuator 49 on the same axis for controlling the driving of the actuator 49.
  • an AC servomotor 52 for Z-direction driving and a ball screw mechanism 53 are provided as a third driving device in a bellows tube 51 as an elastic member.
  • a configuration can be adopted. In this case, it is preferable to set a large screw lead so that the side surface plate 7 can move in the Z direction when the driving is stopped.
  • a gear structure can be used instead of the ball screw mechanism.
  • the actuator 49 is driven based on the difference between the measurement results of the position sensors 77, 78.
  • the Y movers 64A, 64B and the stator 6 are driven. It is also possible to provide a sensor for measuring the gap amount between the actuator and the actuator 5 and drive the actuator 49 based on the measurement result of this sensor.
  • the stopper arm 46 is connected to the wafer stage base 22
  • the shaft member 47 is provided on the side surface plate 7 .On the contrary, the stopper arm 46 is provided on the side surface plate 7, and the shaft member 47 is provided on the wafer stage surface 22.
  • a configuration may be provided. Also in this case, it is desirable that the shaft member 47 be provided at two places (plurality) along the X direction.
  • the number of the vibration isolating units 29 and the coil springs 31 is not limited to three places, but may be four or more places if they are not arranged on a straight line.
  • not only a coil spring but also an air spring / air cylinder can be used as the elastic member supporting the weight of the side surface plate 7.
  • a voice coil motor / linear motor can be used as the actuator 49.
  • the stage apparatus of the present invention is applied to the wafer stage WST of the exposure apparatus 10.
  • the present invention is not limited to this, and can be applied to the reticle stage RST.
  • the side platen for the reticle stage may be provided separately from the side platen for the wafer stage, or may be integrally supported by the same column or the like.
  • the stage apparatus of the present invention is applied to the wafer stage of the exposure apparatus.
  • precision measurement such as a transfer mask drawing apparatus, a mask pattern position coordinate measuring apparatus, etc. It is also applicable to equipment.
  • Examples of the substrate of the present embodiment include not only semiconductor wafers W, Wl, and W2 for semiconductor devices, but also glass substrates for liquid crystal display devices, ceramic wafers for thin-film magnetic heads, or masks used in exposure apparatuses. Alternatively, a reticle master (synthetic quartz, silicon wafer) or the like is applied.
  • the exposure apparatus 10 is a step-and-scan type scanning exposure apparatus (scanning stepper; US Pat. No. 5,473,410) in which a reticle R and a wafer W are synchronously moved to scan and expose a reticle scale pattern.
  • the present invention can be applied to a step-and-repeat type projection exposure apparatus (stepper) that exposes the pattern of the reticle R while the reticle R and the wafer W are stationary and sequentially moves the wafer W. it can.
  • the present invention partially separates at least two patterns on the wafer W. It can also be applied to a step-and-stitch type exposure apparatus that transfers images in a superimposed manner. ⁇
  • the type of the exposure apparatus 10 is not limited to an exposure apparatus for manufacturing a semiconductor element for exposing a semiconductor element pattern onto a wafer W, but may be an exposure apparatus for manufacturing a liquid crystal display element or a display, a thin film magnetic head, and an imaging apparatus.
  • the present invention can be widely applied to an exposure apparatus for manufacturing a device (CCD) or a reticle or a mask.
  • emission lines g-line (436 nm), h-line (404.nm), i-line (365 nm)
  • KrF excimer laser 248 nm
  • electrostatic particle beams such as an electron beam or an ion beam.
  • the electron gun in the case of using an electron beam, thermionic emission type Kisaborai bets to lanthanum (L a B 6), can be used tantalum (T a).
  • harmonics such as a YAG laser or a semiconductor laser may be used.
  • a single-wavelength laser in the infrared or visible range emitted from a DFB semiconductor laser or fiber laser is amplified by a fiber amplifier doped with, for example, erbium (or both erbium and yttrium (Yb)) and nonlinearly amplified.
  • a harmonic converted to ultraviolet light using an optical crystal may be used as exposure light.
  • the oscillation wavelength of the single-wavelength laser is in the range of 1.544 to 1.553 m, the 8th harmonic in the range of 193 to 194 nm, that is, almost the same wavelength as the ArF excimer laser If the oscillation wavelength is within the range of 1.57-1.58 ⁇ , the 10th harmonic within the range of 157-158 nm, that is, almost the same wavelength as the F 2 laser Is obtained.
  • a soft X-ray region having a wavelength of about 5 to 50 nm generated from a laser plasma light source or SOR, for example, EUV (Extreme Ultra Violet) light having a wavelength of 13.411111 or 11.511111 is used as exposure light.
  • EUV exposure apparatus uses a reflection type reticle, and the projection optical system is a reduction system including only a plurality of (for example, about 3 to 6) reflection optical elements (one mirror).
  • the magnification of the projection optical system PL is not limited to the reduction system, but can be any Good. Further, as the projection optical system PL, when far ultraviolet rays such as an excimer laser are used, a material which transmits far ultraviolet rays such as quartz or fluorite is used as a glass material, and when a F 2 laser or X-ray is used, a catadioptric system is used. An optical system of a refraction system (a reticle R of a reflection type is also used), and when an electron beam is used, an electron optical system including an electron lens and a deflector may be used as the optical system. It goes without saying that the optical path through which the electron beam passes is in a vacuum state.
  • each stage WST, RST may be a type that moves along a guide, or may be a guideless type without a guide.
  • the Y motors 61A and 6IB and the X motors 62A and 62B either may be provided with or without a guide.
  • each stage WST, RST is a magnet unit (permanent magnet) with a two-dimensionally arranged magnet and an armature unit with a two-dimensionally arranged coil, and each stage WST, RS ⁇ ⁇ ⁇ May be used.
  • one of the magnet unit and the armature cut is connected to the stage WST, RST, and the other of the magnet unit and the armature unit is provided on the moving surface side (base) of the stage WST, RST. I just need.
  • the exposure apparatus 10 performs various types of subsystems including each component listed in the claims of the present application with predetermined mechanical accuracy, electrical accuracy, and optical accuracy.
  • the air system is adjusted to achieve electrical accuracy.
  • the process of assembling the exposure apparatus from various subsystems includes mechanical connections, wiring connections of electric circuits, and piping connections of pneumatic circuits among the various subsystems. It goes without saying that there is an individual assembly process for each subsystem before the assembly process from these various subsystems to the exposure apparatus. The assembly process of the various subsystems into the exposure equipment has been completed. Therefore, comprehensive adjustment is performed, and various precisions of the entire exposure apparatus are secured. It is desirable to manufacture the exposure equipment in a clean room where the temperature and cleanliness are controlled.
  • a micro device such as a semiconductor device has a step 201 for designing the function and performance of the micro device, a step 202 for fabricating a mask (reticle) based on this design step, and Manufacturing wafer from silicon material Step 203, exposure processing step 204 for exposing the reticle pattern to the wafer using the exposure apparatus of the above-described embodiment, device assembling step (Dicing process, bonding process, package process It is manufactured through 205 and the inspection step 206.
  • the supporting portion for supporting the stator is provided independently of the base, even if the stator moves due to the reaction force accompanying the movement of the stage main body, it is caused by the movement of the stator. Strain energy ⁇ vibration can be prevented from being transmitted to the base.
  • the independent state of the base and the support is released, and the base and the support are subordinate to each other. It is possible to prevent the gap between the movable element and the movable element from becoming smaller than a predetermined value, thereby preventing damage due to contact.
  • the gap between the stator and the mover is made unnecessarily large to reduce the thrust of the first drive unit, and the stroke of the second drive unit for driving the base in the first direction is reduced and prevented.
  • the reaction force due to the movement of the stage body can be processed without lowering the vibration performance.

Abstract

A stage device comprises a stage main body (1A) movably supported by a base (22) having a movement surface, and a first drive unit (61A) having a fixed element (65) and a movable element (64A) disposed in the stage main body (1A) for driving the state main body (1A). The fixed element (65) is disposed in a support section (7), which is independent from the base (22). A canceling device (45) cancels the independent state of the support section (7) from the base (22) in response to relative displacement between the base (22) and the support section (7) in a first direction substantially orthogonal to the movement surface.

Description

明 細 書 ステージ装置および露光装置 技術分野  Description Stage equipment and exposure equipment Technical field
本発明は、 基板を保持するステージ本体が複数の方向に移動するステージ装置 およびこのステージ装置に保持されたマスクと基板とを用いて露光処理を行う露 光装置に関し、 特に半導体集積回路や液晶ディスプレイ等のデバイスを製造する 際に、 リソグラフイエ程で好適に用いられるステージ装置および露光装置に関す る。 背景技術  The present invention relates to a stage device in which a stage body holding a substrate moves in a plurality of directions, and an exposure device that performs an exposure process using a mask and a substrate held by the stage device, and particularly relates to a semiconductor integrated circuit and a liquid crystal display. The present invention relates to a stage apparatus and an exposure apparatus suitably used in the production of devices such as a lithographic apparatus. Background art
従来より、 半導体デバイスの製造工程の 1つであるリソグラフイエ程において は、 マスク又はレチクル (以下、 レチクルと称する) に形成された回路パターン をレジスト (感光剤) が塗布されたウェハ又はガラスプレート等の基板上に転写 する種々の露光装置が用いられている。 例えば、 半導体デバイス用の露光装置と しては、 近年における集積回路の高集積化に伴うパターンの最小線幅 (デバイス ルール) の微細化に応じて、 レチクルのパターンを投影光学系を用いてウェハ上 に縮小転写する縮小投影露光装置が主として用いられている。  Conventionally, in a lithographic process, which is one of the semiconductor device manufacturing processes, a circuit pattern formed on a mask or a reticle (hereinafter, referred to as a reticle) is formed on a wafer or glass plate coated with a resist (photosensitive agent). Various exposure apparatuses for transferring images onto a substrate have been used. For example, as an exposure apparatus for a semiconductor device, a pattern of a reticle is projected on a wafer using a projection optical system in accordance with the miniaturization of the minimum line width (device rule) of a pattern accompanying the high integration of integrated circuits in recent years. A reduction projection exposure apparatus that performs reduction transfer on the top is mainly used.
この縮小投影露光装置としては、 レチクルのパターンをウェハ上の複数のショ ット領域 (露光領域) に順次転写するステップ · アンド · リビート方式の静止露 光型の縮小投影露光装置 (いわゆるステツパ) や、 このステツパを改良したもの で、 特開平 8— 1 6 6 0 4 3号公報等に開示されるようなレチクルとウェハとを 一次元方向に同期移動してレチクルパターンをウェハ上の各ショット領域に転写 するステップ 'アンド · スキャン方式の走查露光型の露光装置 (いわゆるスキヤ ニング · ステツパ) が知られている。  Examples of this reduction projection exposure apparatus include a step-and-beat type static exposure reduction projection exposure apparatus (so-called stepper) that sequentially transfers a reticle pattern to a plurality of shot areas (exposure areas) on a wafer. This stepper is an improvement on the reticle and wafer in a one-dimensional direction by synchronously moving the reticle and the wafer as disclosed in Japanese Patent Laid-Open No. 8-16643, etc. 2. Description of the Related Art A scanning exposure type exposure apparatus (so-called scanning stepper) of an "and scan type" is known.
これらの縮小投影露光装置においては、 ステージ装置として、 床面に先ず装置 の基準になるベースプレートが設置され、 その上に床振動を遮断するための防振 台を介してレチクルステージ、 ウェハステージおよび投影光学系 (投影レンズ) 等を支持する本体コラムが载置されたものが多く用いられている。 最近のステー ジ装置では、 前記防振台として、 内圧が制御可能なエアマウント、 ボイスコイル モータ等のァクチユエータを備え、 本体コラム (メインフレーム) に取り付けら れた、 例えば 6個の加速度計の計測値に基づいて前記ボイスコイルモータ等を制 御することにより本体コラムの振動を制御するァクティブ防振台が採用されてい る。 In these reduction projection exposure apparatuses, a base plate serving as a reference for the apparatus is first installed on the floor as a stage apparatus, and a reticle stage, a wafer stage, and a projection apparatus are placed on the base plate via a vibration isolating table for isolating floor vibration. Optical system (projection lens) The one in which a main body column for supporting etc. is arranged is often used. Recent stage devices are equipped with an air mount that can control the internal pressure, an actuator such as a voice coil motor, etc. as the vibration isolating table, and measure, for example, six accelerometers attached to the main body column (main frame). An active vibration isolator that controls the vibration of the main body column by controlling the voice coil motor or the like based on the value is employed.
ところが、 上記のステツパ等では、 ウェハ上のあるショット領域に対する露光 の後、 他のショット領域に対して順次露光を繰り返すものであるから、 ウェハス テージ (ステツパの場合)、 あるいはレチクルステージおよびウェハステージ (ス キヤニング .ステツパの場合) の加速、 減速運動によって生じる反力が本体コラ ムの振動要因となって、 投影光学系とウェハ等との相対位置誤差を生じさせると いう不都合があった。 特に、 ァライメント時や露光時における上記相対位置誤差 は、 結果的にウェハ上で設計値と異なる位置にパターンが転写されたり、 その位 置誤差に振動成分を含む場合には像ボケ (パターン線幅の増大) を招いたりする 原因になるという不都合があった。  However, in the above-described stepper and the like, after exposing a certain shot area on a wafer and then sequentially exposing the other shot areas, the wafer stage (in the case of a stepper) or a reticle stage and a wafer stage ( The reaction force generated by the acceleration and deceleration movements of the scanning (stepping step) causes vibration of the main body column, causing a relative position error between the projection optical system and the wafer. In particular, the relative position error at the time of alignment or exposure may result in an image blur (pattern line width) when a pattern is transferred to a position different from the design value on the wafer as a result, or when the position error includes a vibration component. Or increase).
従って、 係る不都合を抑制するためには、 上記のアクティブ防振台等により本 体コラムの振動を十分に減衰させる必要がある。 例えばステツパの場合には、 ゥ ェハステージが所望の位置に位置決めされ十分に整定されるのを待ってァライメ ント動作や露光動作を開始する必要がある。 また、 スキャニング ·ステツパの場 合には、 レチクルステージとウェハステージとの同期整定を十分に確保した状態 で露光を行う必要があった。 このため、 スループット (生産性) を悪化させる要 因となっていた。  Therefore, in order to suppress such inconvenience, it is necessary to sufficiently attenuate the vibration of the main body column by the above-described active vibration isolator. For example, in the case of a stepper, it is necessary to start an alignment operation and an exposure operation after the wafer stage is positioned at a desired position and sufficiently settled. Further, in the case of a scanning stepper, it was necessary to perform exposure in a state where the synchronous setting of the reticle stage and the wafer stage was sufficiently ensured. For this reason, the throughput (productivity) was reduced.
また、近年におけるレチクルやウェハの大型化に伴い、両ステージが大型化し、 上記特開平 8— 1 6 6 4 7 5号公報ゃ特開平 8— 3 3 0 2 2 4号公報に記載され た発明を用いても、 フレーム部材を伝わって床側に逃げる反力に起因してフレー ム部材自身が振動したり、 床に逃げた反力が防振台を介して投影光学系を保持す る本体コラム (メインボディ) に伝わってこれを加振する、 いわゆる揺れ返しが 生じる虞がある。 そのため、 スループットをある程度確保しつつ高精度な露光を 行うことは困難になっている。 そこで、 例えば特開平 8— 6 3 2 3 1号公報には、 ベース上に浮揚支持される ステージ本体と駆動フレームとを設け、 ステージ本体の前進移動に伴う反力で駆 動フレームが後退するステージ装置が開示されている。 この技術によれば、 ステ 一ジ本体と駆動フレームとの間に運動量保存の法則が働き、 ベース上における装 置の重心の位置が維持されるため、 フレーム部材への振動の影響を小さくするこ とができる。 In addition, with the recent increase in size of reticles and wafers, both stages have become larger, and the invention described in the above-mentioned Japanese Patent Application Laid-Open No. H08-1666475 and Japanese Patent Application Laid-Open No. H08-333024 has been disclosed. When the frame member is used, the frame member itself vibrates due to the reaction force that escapes to the floor side through the frame member, or the reaction force that escapes to the floor holds the projection optical system through the vibration isolator It may be transmitted to the column (main body) and vibrate it, so-called swingback may occur. Therefore, it is difficult to perform high-precision exposure while securing a certain level of throughput. Therefore, for example, Japanese Patent Application Laid-Open No. 8-632321 discloses a stage in which a drive frame is provided with a stage body floating and supported on a base, and the drive frame is retracted by a reaction force accompanying the forward movement of the stage body. An apparatus is disclosed. According to this technology, the law of conservation of momentum acts between the stage body and the drive frame, and the position of the center of gravity of the device on the base is maintained, so that the effect of vibration on the frame member is reduced. Can be.
図 1 3 Aおよび図 1 3 Bに、 この種のステージ装置の一例を示す。 このステー ジ装置では、 基板 (感光基板) としてのウェハ Wを保持する XZYステージ (ス テージ本体) 1がリニアモータ等により駆動され、 Xガイ ドバー 2に沿って X方 向 (図中左右方向) に移動するとともに、 Xガイ ドバー 2に設けられた可動子 5 がリニアモータを構成する固定子 3に沿って Y方向に移動することで、 ウェハ W が X Y平面に沿う 2次元方向に移動する。 各固定子 3は、 ベース (定盤) 4上に それぞれエアパッド 6を介して Y方向に移動自在に浮揚支持されたカゥンターマ ス (3 , 6 ) を構成している。  Figures 13A and 13B show an example of this type of stage device. In this stage apparatus, an XZY stage (stage main body) 1 for holding a wafer W as a substrate (photosensitive substrate) is driven by a linear motor or the like, and is moved along an X guide bar 2 in the X direction (the horizontal direction in the figure). As the mover 5 provided on the X guide bar 2 moves in the Y direction along the stator 3 constituting the linear motor, the wafer W moves in a two-dimensional direction along the XY plane. Each stator 3 constitutes a countermass (3, 6) that is levitated and supported on a base (platen) 4 via an air pad 6 so as to be movable in the Y direction.
XZYステージ 1力 s、 例えば図中矢印で示す + Y方向に加速 (移動) すると、 加速に伴う反力でカウンターマス (3 , 6 ) が一 Y方向に加速することで運動量 保存の法則が働き、ベース上における装置の重心の位置が維持される。その結果、 装置の外部に反力を伝えることなく X/Yステージ 1 (すなわちウェハ W) を移 動させることができ、ステージ移動時の振動を最小限に抑えることが可能になる。 ところが、 上記のステージ装置では、 装置の重心位置は維持されるものの、 重 量物である固定子 3が移動することでベース 4が歪み、 XZYステージ 1の滑走 面に悪影響を及ぼす虞がある。 XZY stage 1 force s , for example, when accelerating (moving) in the + Y direction indicated by the arrow in the figure, the countermass (3, 6) accelerates in one Y direction due to the reaction force accompanying acceleration, and the law of momentum conservation works. , The position of the center of gravity of the device on the base is maintained. As a result, the X / Y stage 1 (ie, the wafer W) can be moved without transmitting a reaction force to the outside of the apparatus, and vibration during the stage movement can be minimized. However, in the above stage device, although the position of the center of gravity of the device is maintained, the base 4 is distorted due to the movement of the stator 3 which is a heavy object, and there is a possibility that the sliding surface of the XZY stage 1 may be adversely affected.
このような問題を解消するために、 例えば図 1 4に示す構成のステージ装置が 考えられている。 この図に示すステージ装置では、 固定子 3は、 ベース 4とは分 離 ·独立して設けられたサイ ド定盤 (支持部) 7上にエアパッド 6を介して浮揚 支持されている。この構成では、ベース 4とサイ ド定盤 7とが独立しているため、 固定子 3がカウンターマス (3, 6 ) として移動した場合でも、 移動に伴う歪み エネルギゃ振動がベース 4に伝わらないため、 滑走面の面精度が維持されるとと もに、 振動から XZYステージ 1を保護することができる。 ところで、 上記ステージ装置では、 ベース 4は一般的に除振のために床上に防 振台 8を介して搭載されることが多い。 通常、 この種の防振台は駆動ストローク を有しているが、 防振台の許容ストロークはリニアモータを構成する固定子 3と 可動子 5間のギャップより小さくする必要がある。 防振台の駆動ストロークを大 きくする場合には、固定子 3—可動子 5間のギヤップを大きくしなければならず、 この場合モータ駆動による熱を発したり、 モータ自体が大きくなるという弊害を 生じる。 In order to solve such a problem, for example, a stage device having a configuration shown in FIG. 14 has been considered. In the stage device shown in this figure, the stator 3 is levitated and supported via an air pad 6 on a side surface plate (support portion) 7 provided separately and independently from the base 4. In this configuration, since the base 4 and the side surface plate 7 are independent, even when the stator 3 moves as the counter mass (3, 6), the distortion due to the movement Energy / vibration is not transmitted to the base 4 Therefore, the surface accuracy of the running surface is maintained, and the XZY stage 1 can be protected from vibration. By the way, in the above stage apparatus, the base 4 is generally mounted on the floor via a vibration isolator 8 for vibration isolation. Normally, this type of vibration isolator has a drive stroke, but the allowable stroke of the vibration isolator must be smaller than the gap between the stator 3 and the mover 5 constituting the linear motor. To increase the drive stroke of the vibration isolator, the gap between the stator 3 and the mover 5 must be increased. In this case, heat generated by driving the motor or the motor itself becomes larger. Occurs.
そこで、 図 1 5に示すステージ装置では、 モータや空気バネ、 空気シリンダ等 のァクチユエータ 9を介して床上にサイ ド定盤 7を設置する構成が考えられてい る。 この構成では、 防振台 8のストロークに対してサイ ド定盤 7が位置追従する ことが可能になるため、 モータの固定子 3—可動子 5間のギヤップを維持するこ とができる。  Therefore, in the stage device shown in FIG. 15, a configuration is considered in which a side surface plate 7 is installed on the floor via an actuator 9 such as a motor, an air spring, or an air cylinder. In this configuration, since the side surface plate 7 can follow the position of the stroke of the vibration isolator 8, the gap between the stator 3 and the mover 5 of the motor can be maintained.
しかしながら、 上述したような従来のステージ装置および露光装置には、 以下 のような問題が存在する。  However, the conventional stage apparatus and exposure apparatus as described above have the following problems.
サイ ド定盤 7を駆動するためのァクチユエータ 9が常にサーボ状態で頻繁に駆 動されることにより、 熱が生じる。 また、 ァクチユエータ 9が正常に作動してい る場合は問題がないが、 万一、 不測の事態が生じてァクチユエータが作動しなか つたり、 所定外の動作をした場合、 モータの固定子 3と可動子 5とが接触して破 損する虞がある。  The actuator 9 for driving the side surface plate 7 is frequently driven in a servo state, and generates heat. There is no problem if the actuator 9 is operating normally, but if an unexpected situation occurs and the actuator does not operate or operates outside the specified range, it can move with the motor stator 3. There is a risk of contact with the child 5 and damage.
そこで、 固定子 3—可動子 5間のギャップを大きくしたり、 防振台 8のスト口 ークを短くすることが考えられるが、 ギヤップを大きくすることはモータの推力 低下という問題を生じさせ、 ストロークを短くすることは防振性能の低下という 問題を生じさせてしまう。  Therefore, it is conceivable to increase the gap between the stator 3 and the mover 5 or to shorten the stroke of the vibration isolator 8, but increasing the gap causes a problem that the thrust of the motor decreases. However, shortening the stroke causes a problem of deterioration of the vibration isolation performance.
本発明は、 以上のような点を考慮してなされたもので、 ベースに対してモータ の固定子を分離 .独立して配置した場合でも、 モータの推力低下や防振性能の低 下、 モータの破損を招くことなく反力処理を実施できるステージ装置および露光 装置を提供することを目的とする。 発明の開示 上記の目的を達成するために本発明は、 実施の形態を示す図 1ないし図 1 1 に対応付けした以下の構成を採用している。 The present invention has been made in consideration of the above points, and separates the motor stator from the base.Even if the motor stator is arranged independently, the thrust of the motor is reduced and the vibration isolation performance is reduced. It is an object of the present invention to provide a stage apparatus and an exposure apparatus capable of performing a reaction force treatment without causing damage to the stage. Disclosure of the invention In order to achieve the above object, the present invention employs the following configuration corresponding to FIGS. 1 to 11 showing the embodiment.
本発明のステージ装置は、 移動面を有するベースに移動可能に支持されたステ 一ジ本体と、 固定子とステージ本体に設けられた可動子とを有しステージ本体を 駆動する第 1駆動装置とを備えたステージ装置であって、 固定子が設けられると ともに、 ベースとは独立して設けられた支持部と、 移動面とほぼ直交する第 1方 向 (Z方向) のベースと支持部との相対変位に応じて、 ベースに対する支持部の 独立状態を解除する解除装置とを有する。  A stage device of the present invention comprises: a stage main body movably supported by a base having a moving surface; a first driving device having a stator and a mover provided on the stage main body for driving the stage main body; A stage provided with a stator, a support provided independently of the base, a base and a support in a first direction (Z direction) substantially perpendicular to the moving surface. And a release device for releasing the support portion from the independent state with respect to the base in accordance with the relative displacement of
本発明のステージ装置では、 固定子を支持する支持部がベースと独立して設け られているため、 ステージ本体の移動に伴う反力で固定子が移動した場合でも、 固定子の移動に起因する歪みエネルギゃ振動がベースに伝わることを防止できる。 また、 不測の事態が生じて、 ベースと支持部との第 1方向の相対変位が大きくな つた場合には、 ベースと支持部との独立状態が解除されて従属状態になるため、 固定子と可動子との間のギヤップが所定以上に小さくなり接触して破損すること を防止できる。 そのため、 固定子と可動子との間のギャップを必要以上に大きく して第 1駆動装置の推力が低下したり、 ベースを第 1方向に駆動するための第 2 駆動装置のストロークを抑えて防振性能が低下することがなく、 ステージ本体の 移動による反力の処理が行える。  In the stage device of the present invention, since the supporting portion for supporting the stator is provided independently of the base, even if the stator moves due to the reaction force accompanying the movement of the stage main body, it is caused by the movement of the stator. Strain energy ゃ vibration can be prevented from being transmitted to the base. In addition, if an unexpected situation occurs and the relative displacement between the base and the support in the first direction increases, the independent state of the base and the support is released, and the base and the support are subordinate to each other. It is possible to prevent the gap between the movable element and the movable element from becoming smaller than a predetermined value, thereby preventing damage due to contact. For this reason, the gap between the stator and the mover is made unnecessarily large to reduce the thrust of the first drive unit, and the stroke of the second drive unit for driving the base in the first direction is reduced and prevented. The reaction force due to the movement of the stage body can be processed without lowering the vibration performance.
前記解除装置は、 前記ベースに設けられた第 1部材と、 前記支持部に設けられ た第 2部材とを有していてもよい。 この場合、 第 1部材と第 2部材とによりべ一 スに対する支持部の独立状態を解除できる。  The release device may include a first member provided on the base and a second member provided on the support. In this case, the independent state of the support portion with respect to the base can be released by the first member and the second member.
前記支持部が前記ベースから独立している際には、 前記第 1部材と前記第 2部 材とが非接触であり、 独立状態を解除する際には接触する構成であってもよい。 この場合、 第 1部材と第 2部材との接触、 非接触によりベースに対する支持部の 独立状態を解除できる。  The first member and the second member may be in non-contact when the support portion is independent of the base, and may be in contact when releasing the independent state. In this case, the independent state of the support portion with respect to the base can be released by contact and non-contact between the first member and the second member.
前記第 1部材と前記第 2部材とのレ、ずれか一方が、 第 1方向と直交する方向に 沿って複数設けられていてもよい。 この場合、 ベースが第 1方向以外の方向に相 対変位した場合でも、 確実にベースに対する支持部の独立状態を解除して追従移 動させることができる。 前記支持部の自重を弾性部材で支持する構成であってもよい。 この場合、 支持 部に対する支持で熱が生じることを防止できる。 One or more of the first member and the second member may be provided along the direction orthogonal to the first direction. In this case, even when the base is relatively displaced in a direction other than the first direction, the independent state of the supporting portion with respect to the base can be reliably released and the base can be moved. The structure may be such that the weight of the support portion is supported by an elastic member. In this case, it is possible to prevent heat from being generated by the support on the support portion.
前記弾性部材は、 少なくとも三角形の頂点を成す 3点で支持部を支持する構成 となっていてもよい。 この場合、 支持部を平面的に安定して支持できる。  The elastic member may be configured to support the supporting portion at at least three points forming the vertices of a triangle. In this case, the support portion can be stably supported in a planar manner.
前記ベースを第 1方向に駆動する第 2駆動装置を有していてもょレ、。この場合、 第 1方向に駆動されるベースに対して支持部の独立状態を解除できる。  A second driving device for driving the base in a first direction. In this case, the support part can be released from the independent state with respect to the base driven in the first direction.
前記支持部を第 1方向に駆動する第 3駆動装置を有していてもよい。この場合、 独立状態の解除時にベースを歪ませる力を大幅に小さくできる。  A third driving device for driving the support portion in a first direction may be provided. In this case, the force for distorting the base when the independent state is released can be significantly reduced.
前記固定子を支持部に対して移動可能に支持する支持機構を有していてもよレ、。 この場合、 固定子が移動する場合でも、 独立状態の解除時にベースを歪ませる力 を大幅に小さくできる。  It may have a support mechanism for movably supporting the stator with respect to a support portion. In this case, even when the stator moves, the force that distorts the base when the independent state is released can be significantly reduced.
前記固定子の移動に伴う支持部の重心の移動を補正するように第 3駆動装置を 制御する制御装置を有していてもよい。 この場合、 固定子の移動に伴う重心移動 を補うことができ、 弾性部材の剛性を低くできる。  A control device may be provided for controlling the third driving device so as to correct the movement of the center of gravity of the support part accompanying the movement of the stator. In this case, the movement of the center of gravity accompanying the movement of the stator can be compensated for, and the rigidity of the elastic member can be reduced.
前記第 3駆動装置は、 駆動停止時に支持部と移動自在に接続されてもよい。 こ の場合、 第 3駆動装置の駆動停止時にも支持部を確実にベースに追従させること ができる。  The third drive device may be movably connected to the support when the drive is stopped. In this case, even when the driving of the third driving device is stopped, the support portion can reliably follow the base.
一方、 本発明の露光装置は、 マスクステージに保持されたマスクのパターンを 基板ステージに保持された基板に露光する露光装置において、 マスクステージと 基板ステージとの少なくとも一方のステージとして、 前記いずれかのステージ装 置が用いられる。  On the other hand, an exposure apparatus of the present invention is an exposure apparatus that exposes a pattern of a mask held on a mask stage to a substrate held on a substrate stage, wherein at least one of a mask stage and a substrate stage Stage equipment is used.
この露光装置では、 露光処理時にマスクや基板の移動に伴う反力で固定子が移 動する際にも、 第 1駆動装置が破損することなく、 第 1駆動装置の推力低下や、 第 2駆動装置の防振性能低下を防止した状態で、 ステージ本体の移動による反力 処理を実施することが可能になる。  In this exposure apparatus, even when the stator moves due to the reaction force accompanying the movement of the mask or the substrate during the exposure processing, the first drive unit is not damaged, the thrust of the first drive unit is reduced, and the second drive unit is not damaged. It is possible to carry out reaction force treatment by moving the stage body in a state where the vibration isolation performance of the device is prevented from deteriorating.
本発明の他の態様に係る露光装置は、 第 1基板ステージに保持された第 1基板 にパターンを露光するものであって、 前記第 1基板ステージに接続された可動子 と、 固定子とを備え、 前記第 1基板ステージを駆動する第 1駆動装置、 前記第 1 基板ステージが移動する移動面を有した第 1定盤、 前記固定子が設けられるとと もに、 前記第 1定盤とは独立して設けられた第 2定盤、 前記第 1定盤を前記移動 面とほぼ直交する第 1方向に駆動する第 2駆動装置、 および前記第 2定盤を前記 第 1方向に駆動する第 3駆動装置を備える。 An exposure apparatus according to another aspect of the present invention exposes a pattern on a first substrate held on a first substrate stage, and comprises: a movable element connected to the first substrate stage; and a stator. A first driving device that drives the first substrate stage, a first platen having a moving surface on which the first substrate stage moves, and the stator is provided. A second platen provided independently of the first platen; a second drive device for driving the first platen in a first direction substantially orthogonal to the moving surface; and the second platen. A third drive device for driving the board in the first direction.
前記露光装置は、 前記固定子を前記第 2定盤に対して移動可能に支持する支持 機構を備えていてもよい。  The exposure apparatus may include a support mechanism that movably supports the stator with respect to the second platen.
前記露光装置は、 前記第 1基板ステージの移動に伴う前記第 1定盤の重心の変 化を補正するように前記第 2駆動装置を制御するとともに、 前記第 1基板ステー ジの移動に応じた前記固定子移動に伴う前記第 2定盤の重心の変化を補正するよ うに前記第 3駆動装置を制御する制御装置を備えていてもよレ、。  The exposure apparatus controls the second driving device so as to correct a change in the center of gravity of the first platen accompanying the movement of the first substrate stage, and responds to the movement of the first substrate stage. A control device may be provided for controlling the third drive device so as to correct a change in the center of gravity of the second platen caused by the movement of the stator.
前記露光装置は、 前記パターンを前記第 1基板に投影する投影光学系と、 前記 第 1定盤と前記第 2定盤とから独立して、 前記投影光学系を支持する第 3定盤と を備えていてもよい。  The exposure apparatus includes: a projection optical system that projects the pattern onto the first substrate; and a third surface plate that supports the projection optical system independently of the first surface plate and the second surface plate. You may have.
前記露光装置は、 前記第 3定盤を前記第 1方向に駆動する第 4駆動装置とを備 えていてもよい。  The exposure apparatus may include a fourth driving device that drives the third surface plate in the first direction.
' 前記露光装置は、 前記第 1定盤の前記移動面を移動する第 2基板ステージを備 えていてもよい。  'The exposure apparatus may include a second substrate stage that moves on the moving surface of the first platen.
本発明の他の態様に係る露光装置は、 基板ステージに保持された基板にパター ンを露光する方法であって、 前記基板ステージに接続された可動子と、 固定子と によつて前記基板ステ一ジを駆動するステツプ、 前記基板ステ一ジが移動する移 動面を有した第 1定盤を前記移動面とほぼ直交する第 1方向に駆動するステップ、 および前記固定子が設けられるとともに、 前記第 1定盤とは独立して設けられた 第 2定盤を前記第 1方向に駆動するステップを有する。  An exposure apparatus according to another aspect of the present invention is a method for exposing a pattern on a substrate held on a substrate stage, the method comprising: a movable member connected to the substrate stage; A step of driving a first platen having a moving surface on which the substrate stage moves, in a first direction substantially orthogonal to the moving surface, and a step of driving the stator. Driving a second surface plate provided independently of the first surface plate in the first direction.
本発明のさらに他の態様に係る露光装置は、 基板ステージに保持された基板に パターンを露光する方法であって、 移動画を有した第 1定盤に前記基板ステージ を設けるステップ、 前記第 1定盤とは独立して設けられた第 2定盤に固定子を設 けるステップ、 前記固定子と、 前記基板ステージに接続された可動子とにより前 記基板ステージを駆動するステップ、 およぴ前記第 1定盤と前記第 2定盤との前 記独立状態を解除するステップを有する。 図面の簡単な説明 An exposure apparatus according to still another aspect of the present invention is a method of exposing a pattern on a substrate held on a substrate stage, the method comprising: providing the substrate stage on a first platen having a moving image; Installing a stator on a second surface plate provided independently of the surface plate, driving the substrate stage by the stator and a mover connected to the substrate stage, and Releasing the independent state of the first and second surface plates. BRIEF DESCRIPTION OF THE FIGURES
図 1は、 本発明の第 1の実施形態に係る露光装置の全体構成を示す概略図であ る。  FIG. 1 is a schematic diagram showing an overall configuration of an exposure apparatus according to a first embodiment of the present invention.
図 2は、 本発明に係るウェハステージの外観の斜視図である。  FIG. 2 is a perspective view of the external appearance of the wafer stage according to the present invention.
図 3は、 防振ユニット、 コイルスプリングの配置を示す平面図である。  FIG. 3 is a plan view showing an arrangement of a vibration isolation unit and a coil spring.
図 4は、 本発明の第 1の実施形態に係るウェハステージの概略構成図である。 図 5は、 ウェハステージを構成する解除装置の拡大図である。  FIG. 4 is a schematic configuration diagram of the wafer stage according to the first embodiment of the present invention. FIG. 5 is an enlarged view of a release device constituting the wafer stage.
図 6は、 同解除装置の側断面図である。  FIG. 6 is a side sectional view of the releasing device.
図 7は、 第 1の実施形態における制御のブロック図である。  FIG. 7 is a block diagram of the control according to the first embodiment.
図 8は、 本発明の第 2の実施形態に係るウェハステージの概略図である。 図 9は、 防振ユニット、 コイルスプリング、 ァクチユエータの配置を示す平面 図である。  FIG. 8 is a schematic diagram of a wafer stage according to the second embodiment of the present invention. FIG. 9 is a plan view showing the arrangement of the vibration isolating unit, the coil spring, and the actuator.
図 1 0は、 第 2の実施形態における制御プロック図である。  FIG. 10 is a control block diagram according to the second embodiment.
図 1 1は、 弾性部材及ぴ第 3駆動装置の別の形態を示す図である。  FIG. 11 is a diagram showing another embodiment of the elastic member and the third driving device.
図 1 2は、 半導体デバイスの製造工程の一例を示すフローチャート図である。 図 1 3 Aおよぴ図 1 3 Bは、 従来のステージ装置の一例を示し、 図 1 3 Aは平 面図、 図 1 3 Bは正面図である。  FIG. 12 is a flowchart illustrating an example of a semiconductor device manufacturing process. FIGS. 13A and 13B show an example of a conventional stage device, where FIG. 13A is a plan view and FIG. 13B is a front view.
図 1 4は、 従来のステージ装置の別の例を示す正面図である。  FIG. 14 is a front view showing another example of the conventional stage device.
図 1 5は、 従来のステージ装置の別の例を示す正面図である。 発明を実施するための最良の形態  FIG. 15 is a front view showing another example of the conventional stage device. BEST MODE FOR CARRYING OUT THE INVENTION
以下、 本発明のステージ装置および露光装置の第 1の実施形態を、 図 1ないし 図 7を参照して説明する。 ここでは、 露光装置として、 レチクルとウェハとを一 次元方向 (ここでは Y軸方向とする) に同期移動しつつ、 レチクルに形成された 半導体デバイスの回路パターンをウェハ上に転写する、 ステップ'アンド 'スキ ヤン方式、 またはステップ ·アンド ·スティツチ方式からなる走査露光方式の露 光装置を使用する場合を例として説明する。 また、 この露光装置では、 本発明の ステージ装置をウェハステージとして使用している。 これらの図において、 従来 例として示した図 1 3〜図 1 5と同一の構成要素には同一符号を付し、 その説明 を省略する。 Hereinafter, a first embodiment of a stage apparatus and an exposure apparatus according to the present invention will be described with reference to FIGS. Here, as an exposure apparatus, a reticle and a wafer are synchronously moved in a one-dimensional direction (here, the Y-axis direction) while a circuit pattern of a semiconductor device formed on the reticle is transferred onto the wafer. An example will be described in which a scanning exposure type exposure device including a scan type or a step-and-stitch type is used. In this exposure apparatus, the stage device of the present invention is used as a wafer stage. In these figures, the same components as those in FIGS. 13 to 15 shown as conventional examples are denoted by the same reference numerals, and the description thereof will be omitted. Is omitted.
図 1には本発明の一実施形態に係る露光装置 1 0の全体構成が概略的に示され ている。 露光装置 1 0は、 露光用照明光 (以下、 「照明光」 と略述する) I Lによ りマスクとしてのレチクル R上の矩形状 (あるいは円弧状) の照明領域を均一な 照度で照明する不図示の照明系と、 レチクル Rを保持するマスクステージとして のレチクルステージ R S T、 レチクル Rから射出される照明光をウェハ W上に投 射する投影光学系 P L、 ウェハ Wを保持する基板ステージとしてのウェハステー ジ (ステージ装置) W S T、 投影光学系 P L , レチクルステージ R S T及びゥェ ハステージ W S Tが搭載されたボディとしての本体コラム 1 4、 及び本体コラム FIG. 1 schematically shows the entire configuration of an exposure apparatus 10 according to one embodiment of the present invention. The exposure apparatus 10 illuminates a rectangular (or arc-shaped) illumination area on a reticle R as a mask with uniform illumination by exposure illumination light (hereinafter simply referred to as “illumination light”) IL. An illumination system (not shown), a reticle stage RST as a mask stage for holding the reticle R, a projection optical system PL for projecting illumination light emitted from the reticle R onto the wafer W, and a substrate stage for holding the wafer W Wafer stage (stage device) WST, projection optical system PL, reticle stage RST, wafer stage Main body column 14 as body mounted with WST, and main body column
1 4の振動を抑制あるいは除去する防振システム等を備えている。 It is equipped with an anti-vibration system that suppresses or eliminates the vibration of 14.
前記照明光 I Lとしては、例えば超高圧水銀ランプからの紫外域の輝線(g線、 i線) 及び K r Fエキシマレーザ光 (波長 2 4 8 n m) 等の遠紫外光 (D U V光) や、 A r Fエキシマレーザ光 (波長 1 9 3 n m) 及び F 2 レーザ光 (波長 1 5 7 n m) 等の真空紫外光 (V U V光) などが用いられる。 As the illumination light IL, for example, an ultraviolet bright line (g-line, i-line) from an ultra-high pressure mercury lamp and far ultraviolet light (DUV light) such as KrF excimer laser light (wavelength 248 nm), a r F excimer laser light (wavelength 1 9 3 nm) and F 2 laser beam (wavelength: 1 5 7 nm) vacuum ultraviolet light (VUV light) is used.
本体コラム 1 4は、 床面 F Dに水平に載置された装置の基準となる矩形板状の ベースプレート B Pと、 このベースプレート B P上面の三角形頂点部分の近傍に それぞれ配置された防振ュニット 1 6 A〜 1 6 C (但し、 図 1においては紙面奥 側の防振ュニット 1 6 Cは図示せず) 及びこれらの防振ュニット 1 6 A〜l 6 C を介してほぼ水平に支持された鏡筒定盤 1 8と、 鏡筒定盤 1 8に装着されたファ ーストインバと呼ばれる投影光学系 P Lの支持部材 2 4 (以下、 「ファーストイン ノく 2 4」 と呼ぶ) と、 鏡筒定盤 1 8上に立設されたセカンドインバと呼ばれるレ チクルステ一ジ定盤 2 5の支持部材 2 6 (以下、 「セカンドインバ 2 6」 と呼ぶ) とを備えている。  The main body column 14 is composed of a rectangular base plate BP serving as a reference for the device placed horizontally on the floor FD, and the vibration isolation units 16 A arranged near the apex of the triangle on the upper surface of the base plate BP. 16 C (however, the vibration isolating unit 16 C on the far side of the drawing is not shown in FIG. 1) and the lens barrel supported substantially horizontally via these vibration isolating units 16 A to 16 C. The base plate 18, the support member 24 of the projection optical system PL called the fast inva attached to the lens barrel base 18 (hereinafter referred to as “first in 24”), and the lens barrel base 1 And a support member 26 (hereinafter, referred to as “second invar 26”) of a reticle stage base 25 called “second invar” which is erected on the upper surface 8.
防振ュニット 1 6 A〜1 6 Cは、 ベースプレート B Pの上部に直列に配置され たァクチユエータ部 2 8と内圧が調整可能なエアマウント 3 0とをそれぞれ含ん でいる。 防振ユニット 1 6 A〜 1 6 Cの各ァクチユエータ部 2 8には、 ボイスコ ィルモータがそれぞれ少なくとも 1つ含まれている。 この場合、 防振ュ-ット 1 6 A〜 1 6 Cの全体としてァクチユエータ部に、 鉛直方向 (すなわち図 1の Z方 向) 駆動用のボイスコイルモータが少なくとも 3個、 X方向駆動用のボイスコィ ルモータ及び Y方向駆動用のボイスコイルモータが合計で少なくとも 3個(但し、 X方向駆動用のボイスコイルモータ及び Υ方向駆動用のボイスコイルモータが各 1つ含まれる) 含まれている。 Each of the vibration isolation units 16A to 16C includes an actuator section 28 arranged in series above the base plate BP and an air mount 30 whose internal pressure is adjustable. Each of the actuator units 28 of the anti-vibration units 16A to 16C includes at least one voice coil motor. In this case, at least three voice coil motors for driving in the vertical direction (ie, the Z direction in FIG. 1) and the X direction Voice Cally At least three voice coil motors for Y-direction drive and at least three voice coil motors for X-direction drive and one direction coil drive are included.
鏡筒定盤 1 8には、 図 1では図示が省略されているが、 この鏡筒定盤 1 8を含 む本体コラム 1 4の Ζ軸方向の振動を検出する振動センサ (例えば半導体加速度 センサ等の加速度計) が少なくとも 3つ、 X方向、 Υ方向の振動を検出する振動 センサ (例えば半導体加速度センサ等の加速度計) が合計で少なくとも 3つ (伹 し、 X方向振動検出用センサ及び Υ方向振動検出用センサを各 1つ含む) 取り付 けられている。これらの少なくとも 6つの振動センサ(以下、 「振動センサ群 3 2」 と呼ぶ) の出力が後述する主制御装置 5 0 (図 7参照) に供給され、 該主制御装 置 5 0によって本体コラム 1 4の 6自由度方向の運動が求められ、 防振ュニット 1 6 A〜l 6 Cが制御される。 すなわち、 本実施形態では、 振動センサ群と防振 ュニット 1 6 Α〜 1 6 Cと、 主制御装置 5 0とによって本体コラム 1 4の振動を 制振するためのァクティブ防振システムが構成されている。  Although not shown in FIG. 1, the lens barrel base 18 has a vibration sensor (for example, a semiconductor acceleration sensor) that detects the vibration in the axial direction of the main body column 14 including the lens barrel base 18. ), And at least three vibration sensors (for example, accelerometers such as semiconductor accelerometers) that detect vibrations in the X and Υ directions. (Includes one sensor for directional vibration detection.) The outputs of at least six of these vibration sensors (hereinafter referred to as “vibration sensor group 32”) are supplied to a main controller 50 (see FIG. 7), which will be described later, and the main controller 50 controls the main body column 1 Movement in the direction of 4 6 degrees of freedom is required, and the vibration isolation units 16 A to 16 C are controlled. That is, in the present embodiment, an active vibration isolation system for controlling the vibration of the main body column 14 is configured by the vibration sensor group, the vibration isolation units 16 # to 16 C, and the main controller 50. I have.
セカンドィンバ 2 6は、 側面視略台形状で底面及び上面が八角形の多面体の全 体形状を有し、 各側面に台形状の開口が形成され、 底面が完全に開口したフレー ムである。 このセカンドィンバ 2 6の上面はレチクルステージ定盤 2 5を支持す る支持プレートとされており、 該支持プレートには、 照明光 I Lの通路を成す矩 形の開口部 (不図示) が形成され、 この開口部を含む領域の上面にレチクルステ 一ジ定盤 2 5が載置されている。 レチクルステージ定盤 2 5にも開口部に対向し て所定の開口が形成されている。  The second chamber 26 is a frame having a substantially trapezoidal shape in a side view, an overall shape of a polyhedron having an octagonal bottom surface and a top surface, a trapezoidal opening formed on each side surface, and a completely open bottom surface. The upper surface of the second illuminator 26 is a support plate for supporting the reticle stage base 25. The support plate is formed with a rectangular opening (not shown) forming a passage for the illumination light IL. A reticle stage base 25 is placed on the upper surface of the region including the opening. The reticle stage base 25 also has a predetermined opening facing the opening.
レチクルステージ R S Τは、 上記レチクルステージ定盤 2 5上に配置されてい る。 レチクルステージ R S Τは、 レチクル Rをレチクルステージ定盤 2 5上で Υ 軸方向に大きなストロークで直線駆動するとともに、 X軸方向及び Θ Ζ方向 (Ζ 軸回りの回転方向) に関しても微小駆動が可能な構成となっている。  Reticle stage R S Τ is arranged on reticle stage surface plate 25 described above. The reticle stage RS す る can linearly drive the reticle R on the reticle stage surface plate 25 with a large stroke in the 軸 axis direction, and can minutely drive in the X axis direction and the Θ Ζ direction (rotation direction around the 軸 axis). Configuration.
レチクルステージ R S Τは、 レチクルステージ定盤 2 5上に Υ軸方向に沿って 設けられた不図示の Υガイ ドに沿って移動するレチクル粗動ステージ 1 1と、 こ のレチクル粗動ステージ 1 1上を一対の Xボイスコイルモータ 3 6 Α、 3 6 Β (図 1では図示せず、 図 7参照) と一対の Υボイスコイルモータ 3 6 C、 3 6 D (図 1では図示せず、 図 7参照) とによって X、 Y、 0 Ζ方向に微少駆動されるレチ クル微動ステージ 1 2とを含んで構成されている。 レチクル Rはレチクル微動ス テージ 1 2に、 例えば真空吸着等によって固定されている。 The reticle stage RS 、 includes a reticle coarse movement stage 11 that moves along a の guide (not shown) provided on the reticle stage surface plate 25 along the 定 axis direction, and a reticle coarse movement stage 11 1 Above are a pair of X voice coil motors 36 6, 36Β (not shown in FIG. 1; see FIG. 7) and a pair of ボ イ ス voice coil motors 36 C, 36 D (Fig. 1, not shown, but see FIG. 7), and a reticle fine movement stage 12 that is finely driven in the X, Y, and 0 ° directions. Reticle R is fixed to reticle fine movement stage 12 by, for example, vacuum suction.
レチクル粗動ステージ 1 1は、 不図示のエアベアリングによって Υガイ ドに対 して非接触で支持されており、 Υリニアモータ 3 4 Α、 3 4 Β (図 1では図示せ ず、 図 7参照) によって Υ軸方向に所定ス トロークで駆動される構成になってい る。 本実施形態では、 Υリニアモータ 3 4 Α、 3 4 Β、 Xボイスコイルモータ 3 6 Α、 3 6 Β及び Υボイスコィノレモータ 3 6 C、 3 6 Dによってレチクルステー ジ1 3丁の駆動系3 7 (図 7参照) が構成されている。  The reticle coarse movement stage 11 is supported by an air bearing (not shown) in a non-contact manner with respect to Υ guide, and Υ linear motors 34 Α and 34 Β (not shown in FIG. 1, see FIG. 7) ), It is configured to be driven with a predetermined stroke in the Υ-axis direction. In the present embodiment, the driving system of the reticle stage 13 is driven by the Υlinear motors 34 Α, 34 Β, the X voice coil motors 36 Α, 36 Β and the コ voice coil motors 36 C, 36 D. 3 7 (see Figure 7).
γリニアモータ 3 4 A、 3 4 Bのそれぞれは、 レチクルステージ定盤 2 5上に 複数のエアべァリングによって浮上支持され Y軸方向に延びる固定子と、 該固定 子に対応して設けられレチクル粗動ステージ 1 1に固定された可動子とから構成 されている。 従って、 本実施形態では、 レチクルステージ R S Tが走査方向 (Y 軸方向) に移動する際には、 一対の Yリニアモータ 3 4 A、 3 4 Bの可動子と固 定子とが相対的に逆方向に移動する。 すなわち、 レチクルステージ R S Tと固定 子とが相対的に逆方向に移動する。 レチクルステージ R S Tと固定子とレチクル ステージ定盤 2 5との 3者間の摩擦が零である場合には、 運動量保存の法則が成 立し、 レチクルステージ R S Tの移動に伴う固定子の移動量は、 レチクルステー ジ R S T全体と固定子との重量比で決定される。 このため、 レチクルステージ R S Tの走查方向の加減速時の反力は固定子の移動によって吸収されるので、 上記 反力によってレチクルステージ定盤 2 5が振動するのを効果的に防止することが できる。また、レチクルステージ R S Tと固定子とが相対的に逆方向に移動して、 レチクルステージ R S T、 レチクルステージ定盤 2 5等を含む系の全体の重心位 置が所定の位置に維持されるので、 重心位置の移動による偏荷重が発生しないよ うになつている。 かかる詳細は、 例えば、 特開平 8— 6 3 2 3 1号公報に記載さ れている。  Each of the γ linear motors 34 A and 34 B is a reticle provided on the reticle stage base 25, which is supported by a plurality of air bearings and is extended in the Y-axis direction. Coarse stage 11 Consists of a mover fixed to 1. Therefore, in the present embodiment, when the reticle stage RST moves in the scanning direction (Y-axis direction), the mover and the stator of the pair of Y linear motors 34A and 34B are relatively in opposite directions. Go to That is, reticle stage R ST and the stator relatively move in opposite directions. When the friction between the reticle stage RST and the stator and the reticle stage base 25 is zero, the law of conservation of momentum is established, and the amount of movement of the stator accompanying the movement of the reticle stage RST is The reticle stage is determined by the weight ratio of the entire RST to the stator. For this reason, the reaction force at the time of acceleration / deceleration in the running direction of reticle stage RST is absorbed by the movement of the stator, so that it is possible to effectively prevent reticle stage base plate 25 from vibrating due to the above reaction force. it can. Further, the reticle stage RST and the stator relatively move in opposite directions, and the center of gravity of the entire system including the reticle stage RST, the reticle stage base plate 25, and the like is maintained at a predetermined position. Uneven load due to movement of the center of gravity is prevented. Such details are described in, for example, JP-A-8-63231.
レチクル微動ステージ 1 2の一部には、 その位置や移動量を計測するための位 置計測装置であるレチクルレーザ干渉計システム 3 8からの測長ビームを反射す る移動鏡 4 0が取り付けられている。 レチクルレーザ干渉計システム 3 8は、 鏡 筒定盤 1 8の上面に固定されている。 レチクルレーザ干渉計システム 3 8に対応 した固定鏡 4 2は、 投影光学系 P Lの鏡筒の側面に設けられている。 そして、 レ チタルレーザ干渉計システム 3 8によってレチクルステージ R S T (具体的には レチクル微動ステージ 1 2 ) の X, Υ, θ Ζ方向の位置計測が投影光学系 P Lを 基準として行われる。 A moving mirror 40 that reflects the length measurement beam from the reticle laser interferometer system 38, which is a position measuring device for measuring the position and amount of movement, is attached to a part of the reticle fine movement stage 12. ing. Reticle laser interferometer system 38 It is fixed to the upper surface of the cylinder platen 18. The fixed mirror 42 corresponding to the reticle laser interferometer system 38 is provided on the side surface of the lens barrel of the projection optical system PL. The position of the reticle stage RST (specifically, the reticle fine movement stage 12) in the X, Υ, and θΖ directions is measured by the retinal laser interferometer system 38 with reference to the projection optical system PL.
上記のレチクルレーザ干渉計システム 3 8によって計測されるレチクルステー ジ R S T (即ちレチクル R) の位置情報 (又は速度情報) はステージ制御装置 4 4 (図 1では図示せず、 図 7参照) 及ぴこれを介して主制御装置 5 0に供給され る (図 7参照)。 ステージ制御装置 4 4は、 基本的にはレチクルレーザ干渉計シス テム 3 8から出力される位置情報 (或いは速度情報) が主制御装置 5 0からの指 令値 (目標位置、 目標速度) と一致するように上記の Υリニアモータ 3 4 Α、 3 4 Β及ぴボイスコィノレモータ 3 6 Α〜3 6 Dを制御する。  The position information (or speed information) of the reticle stage RST (ie, reticle R) measured by the reticle laser interferometer system 38 described above is transmitted to the stage controller 44 (not shown in FIG. 1; see FIG. 7) and Through this, it is supplied to the main controller 50 (see FIG. 7). The stage controller 44 basically has the same position information (or speed information) output from the reticle laser interferometer system 38 as the command values (target position, target speed) from the main controller 50. The above-mentioned Υlinear motor 34 4, 34 4 and voice control motor 36 6 to 36ΑD are controlled.
前記鏡筒定盤 1 8の中央部には円形開口が形成されており、 この円形開口内に 上端にフランジが設けられた円筒状部材から成るフアーストインバ 2 4が揷入さ れ、 このファーストインバ 2 4の内部に投影光学系 P Lがその光軸方向を Ζ軸方 向として上方から挿入されている。 ファース トインバ 2 4の素材としては、 低熱 膨張の材質、 例えばインバー (Inver;ニッケル 3 6 %、 マンガン 0 . 2 5 %、 及 び微量の炭素と他の元素を含む鉄からなる低膨張の合金) が用いられている。 投影光学系 P Lの鏡筒部の外周部には、 該鏡筒部に一体化された铸物等から成 るフランジ F L Gが設けられている。 このフランジ F L Gは、 投影光学系 P Lを ファーストインバ 2 4に対して点と面と V溝とを介して 3点で支持するいわゆる キネマティック支持マウントを構成している。 このようなキネマティック支持構 造を採用すると、 投影光学系 P Lのファーストインバ 2 4に対する組み付けが容 易で、 しかも組み付け後のファーストインバ 2 4及ぴ投影光学系 P Lの振動、 温 度変化、姿勢変化等に起因する応力を最も効果的に軽減できるという利点がある。 前記投影光学系 P Lとしては、 ここでは、 物体面 (レチクル R) 側と像面 (ゥ ェハ W) 側の両方がテレセントリックで円形の投影視野を有し、 石英や螢石を光 学硝材とした屈折光学素子 (レンズ素子) のみから成り投影倍率 が 1 Z 4 (又 は 1 / 5 ) の屈折光学系が使用されている。 このため、 レチクル Rに照明光 I L が照射されると、 レチクル R上の回路パターン領域のうちの照明光 I Lによって 照明された部分からの結像光束が投影光学系 P Lに入射し、 その回路パターンの 部分倒立像が投影光学系 P Lの像面側の円形視野の中央にスリット状に制限され て結像される。 これにより、 投影された回路パターンの部分倒立像は、 投影光学 系 P Lの結像面に配置されたウェハ W上の複数のショット領域のうちの 1つのシ ョット領域表面のレジスト層に縮小転写される。 A circular opening is formed at the center of the lens barrel base plate 18, and a first invar 24 made of a cylindrical member having a flange provided at an upper end is inserted into the circular opening. The projection optical system PL is inserted into the inside of 4 from above with its optical axis direction set to the Ζ axis direction. The material of the first invar 24 is a low thermal expansion material, for example, Invar (a low-expansion alloy made of 36% nickel, 0.25% manganese, and iron containing trace amounts of carbon and other elements). Is used. A flange FLG made of a material or the like integrated with the lens barrel is provided on the outer periphery of the lens barrel of the projection optical system PL. This flange FLG constitutes a so-called kinematic support mount that supports the projection optical system PL at three points with respect to the first invar 24 via points, surfaces, and V-grooves. Adopting such a kinematic support structure makes it easy to assemble the projection optical system PL to the first invar 24, and furthermore, the vibration, temperature change, and posture of the first invar 24 and the projection optical system PL after assembly. There is an advantage that stress caused by a change or the like can be reduced most effectively. Here, as the projection optical system PL, here, both the object plane (reticle R) side and the image plane (Jeha W) side are telecentric and have a circular projection field, and quartz and fluorite are used as optical glass materials. A refracting optical system consisting of only a refracting optical element (lens element) with a projection magnification of 1 Z 4 (or 1/5) is used. Therefore, illumination light IL is applied to reticle R. Illuminates the projection optical system PL from the portion of the circuit pattern area on the reticle R that is illuminated by the illumination light IL, and a partial inverted image of the circuit pattern is projected onto the projection optical system PL. In the center of the circular field of view on the image plane side, an image is formed in a slit shape limited. As a result, the projected partial inverted image of the circuit pattern is reduced and transferred to the resist layer on the surface of one of the plurality of shot areas on the wafer W arranged on the imaging plane of the projection optical system PL. You.
前記ウェハステージ W S Tは、ウェハ Wを保持して X Y 2次元方向に移動する。 これを更に詳述すると、 ウェハステージ W S Tは、 図 1では簡略化して示されて いるが、 実際には、 図 2に示されるように、 移動面 2 2 aを有するウェハステー ジ定盤 (ベース) 2 2、 移動ステージ (ステージ本体) 1 A、 1 B (適宜、 移動 ステージ 1と総称する)、移動ステージ 1 A、 1 Bをそれぞれ Y方向に駆動する Y モータ (第 1駆動装置) 6 1 A、 6 1 B、 移動ステージ 1 A、 1 Bをそれぞれ X 方向に駆動する Xモータ 6 2 A、 6 2 Bを主体として構成されたダブル ·ステー ジ方式であり、 例えば移動ステージ 1 A側でウェハ (基板) W 1に対する走查露 光中に、 移動ステージ 1 B側でウェハ (基板) W 2の交換およびァライメントが 実施可能になっている。  The wafer stage WST holds the wafer W and moves in the XY two-dimensional direction. To explain this in more detail, the wafer stage WST is shown in a simplified form in FIG. 1, but in fact, as shown in FIG. 2, a wafer stage base (base) having a moving surface 22 a is provided. 2 2, moving stage (stage body) 1 A, 1 B (collectively referred to as moving stage 1 as appropriate), Y motor (first driving device) that drives moving stages 1 A, 1 B in the Y direction, respectively 6 1 A , 61B, and X stage motors 62A and 62B that drive the moving stages 1A and 1B in the X direction, respectively. The wafer (substrate) W2 can be exchanged and aligned on the moving stage 1B side during the traveling exposure to (substrate) W1.
ウェハステージ定盤 2 2は、 ベースプレート B Pの上方に、 防振ユニット (第 2駆動装置) 2 9を介してほぼ水平に支持されている。 防捩ュニット 2 9は、 上 記防振ュニット 1 6 A〜1 6 Cと同様に、 ァクチユエータ部と内圧が調整可能な エアマゥントとをそれぞれ含んだァクティブ防振システムを構成しており、 図 3 に示すように、 三角形の頂点をなす三力所に配置されている (なお、 図 1では紙 面奥側の防振ュニットについては図示せず)。 そして、 図示していないものの、 ゥ ェハステージ定盤 2 2には、定盤 2 2の Z軸方向の振動を検出する振動センサ(例 えば半導体加速度センサ等の加速度計) が少なくとも 3つ、 X方向、 Y方向の振 動を検出する振動センサ (例えば半導体加速度センサ等の加速度計) が合計で少 なくとも 3つ (但し、 X方向振動検出用センサ及び Y方向振動検出用センサを各 1つ含む) 取り付けられている。 これらの少なくとも 6つの振動センサ (以下、 「振動センサ群 3 3」 と呼ぶ) の出力が後述する主制御装置 5 0 (図 7参照) に 供給され、 該主制御装置 5 0によってウェハステージ定盤 2 2の 6自由度方向の 運動が求められ、 防振ユニット 2 9が移動面 2 2 aとほぼ直交する Z方向 (第 1 方向) に駆動されることでベースプレート B Pを介してウェハステージ定盤 2 2 に伝わる微振動がマイクロ Gレベルで絶縁されるように制御される。 ウェハステ 一ジ定盤 2 2の投影光学系 P Lに対する相対位置は、位置センサ 7 7 (図 7参照) で検出され主制御系 5 0に出力される。 The wafer stage base 22 is supported substantially horizontally above the base plate BP via a vibration isolating unit (second driving device) 29. The screw-proof unit 29, like the above-mentioned vibration-proof unit 16A to 16C, constitutes an active vibration-damping system including an actuator and an air mount whose internal pressure can be adjusted. As shown in the figure, they are located at the three power points forming the vertices of the triangle (note that the anti-vibration unit on the far side of the paper is not shown in Fig. 1). Although not shown, the wafer stage base 22 has at least three vibration sensors (for example, accelerometers such as semiconductor acceleration sensors) for detecting vibration of the base 22 in the Z-axis direction, and the X direction. , At least three vibration sensors (for example, accelerometers such as semiconductor acceleration sensors) that detect vibration in the Y direction (including one sensor for X direction vibration detection and one sensor for Y direction vibration detection) ) Installed. Outputs of at least six of these vibration sensors (hereinafter, referred to as “vibration sensor group 33”) are supplied to a main controller 50 (see FIG. 7), which will be described later, and the main controller 50 controls the wafer stage base. 2 2 of 6 degrees of freedom Motion is required, and the vibration isolating unit 29 is driven in the Z direction (first direction) substantially perpendicular to the moving surface 22 a, so that micro vibration transmitted to the wafer stage base 22 via the base plate BP is It is controlled to be insulated at G level. The relative position of wafer stage base 22 to projection optical system PL is detected by position sensor 77 (see FIG. 7) and output to main control system 50.
移動ステージ 1 A、 I Bは、 ウェハステージ定盤 2 2上にそれぞれ不図示のェ ァベアリングを介して浮上支持されている。 移動ステージ 1 A、 I B上には、 試 料台 (ホルダ) 6 3 A、 6 3 Bがそれぞれ載置され、 これら試料台 6 3 A、 6 3 B上には感光基板であるウェハ (基板) W l、 W 2 (適宜、 ウェハ Wと総称する) が真空吸着等によってそれぞれ保持される。 試料台 6 3 A、 6 3 Bは、 移動ステ ージ 1 A、 I Bに対して X方向、 Y方向および Z軸回りの回転方向に微動可能で あるとともに、 レベリングぉよびフォーカシングを行うために Z方向の変位、 お よび 2軸の回り (すなわち、 X軸および Y軸回り) の傾斜が可能な構成になって レ、る。  The moving stages 1A and IB are supported on the wafer stage base plate 22 via floating bearings (not shown). Specimen tables (holders) 63 A and 63 B are placed on the moving stages 1 A and IB, respectively, and wafers (substrates) that are photosensitive substrates are mounted on these sample tables 63 A and 63 B. Wl and W2 (collectively referred to as wafer W as appropriate) are held by vacuum suction or the like. The sample stage 63 A and 63 B can be finely moved in the X direction, Y direction, and rotation direction around the Z axis with respect to the moving stage 1 A, IB, and Z for leveling and focusing. The configuration allows for directional displacement and tilting around two axes (ie, around the X and Y axes).
Xモータ 6 2 Aは、 移動ステージ 1 Aをステップ移動方向である X方向に駆動 するものであって、 X方向に延在する Xガイドバー 2 Aに埋設された不図示の X 固定子 (以下 Xガイドパーを X固定子として説明する) と、 移動ステージ 1 Aに 設けられ、 X固定子との間の電磁気的相互作用により X方向に駆動される X移動 子 (不図示) とから構成されている。 同様に、 Xモータ 6 2 Bは、 移動ステージ 1 Bを X方向に駆動するものであって、 X方向に延在する Xガイ ドバー 2 B (適 宜、 Xガイ ドバー 2と総称する) に埋設された X固定子 (不図示) と、 移動ステ ージ 1 Bに設けられ、 X固定子との間の電磁気的相互作用により X方向に駆動さ れる X移動子 (不図示) とから構成されている。  The X motor 62A drives the moving stage 1A in the X direction, which is the step moving direction, and includes an X stator (not shown) embedded in an X guide bar 2A extending in the X direction. The X guide par is described as an X stator), and an X mover (not shown) provided on the moving stage 1A and driven in the X direction by electromagnetic interaction with the X stator. ing. Similarly, the X motor 62B drives the moving stage 1B in the X direction, and is embedded in an X guide bar 2B extending in the X direction (collectively referred to as X guide bar 2 as appropriate). X stator (not shown) provided on the moving stage 1B and driven in the X direction by electromagnetic interaction with the X stator. ing.
Yモータ 6 1 Aは、 移動ステージ 1 Aをスキャン方向 (走查方向) である Y方 向に駆動するものであって、 図 4に簡略化して示すように、 Xガイドバー 2 Aを 介して移動ステージ 1 Aの両端に設けられた Y可動子 (可動子) 6 4 A、 6 4 A と、 Y可動子 6 4 Aに向けて開口し、 Y可動子 6 4 A、 6 4 Aとの間の電磁気的 相互作用により当該 Y可動子 6 4 A、 6 4 Aを Y方向に駆動させる固定子として の断面コ字状のカウンターマス (適宜、 固定子と称する) 6 5 (但し、 図 2に示 すように、 カウンターマスの形状は左右で異なるが図 1、 3では便宜上同形状に 図示) とから構成されている。 なお、 一X側 (図 2中、 左側) に配置されるカウ ンターマス 6 5には、 Xガイ ドバー 2 A、 2 8ゃ丫可動子6 4 、 6 4 Bに接続 されるエア用配管、 冷媒用配管、 電力配線、 信号供給用のシステム配線等の各種 用力供給ケーブル等に応力集中を発生させずに (緩和して) 導くための傾斜面が 形成されている。 The Y motor 61A drives the moving stage 1A in the Y direction, which is the scanning direction (running direction), and as shown in FIG. 4 through the X guide bar 2A. Y movers (movers) 64 A, 64 A provided at both ends of the moving stage 1 A and open to Y movers 64 A, and Y movers 64 A, 64 A A counter mass having a U-shaped cross section as a stator for driving the Y movers 64 A, 64 A in the Y direction by electromagnetic interaction between them (referred to as a stator as appropriate) 6 5 (FIG. 2 Shown in As shown in Fig. 1 and Fig. 3, the countermass has the same shape for convenience. The counter mass 65 arranged on the X side (the left side in Fig. 2) has air guide pipes connected to the X guide bars 2A, 28 ゃ 丫 movers 64, 64B and refrigerant. An inclined surface is formed to guide (relieve) stress concentration to various utility supply cables such as utility pipes, power wiring, and system wiring for signal supply without causing stress concentration.
同様に、 Yモータ 6 1 Bは、 移動ステージ 1 Bをスキャン方向 (走査方向) で ある Y方向に駆動するものであって、 Xガイ ドバー 2 Bを介して移動ステージ 1 Bの両端に設けられた Y可動子 (可動子) 6 4 B、 6 4 Bと、 Y可動子 6 4 Bに 向けて開口し、 Y可動子 6 4 B、 6 4 Bとの間の電磁気的相互作用により当該 Y 可動子 6 4 B、 6 4 Bを Y方向に駆動させる固定子としての断面コ字状のカウン ターマス 6 5とから構成されている。 すなわち、 Y移動子 6 4 A、 6 4 Bは、 複 数の移動ステージ 1 A、 I B毎に設けられるが、 カウンターマス 6 5は、 移動ス テージ 1 A、 1 Bの移動範囲に!:る長さを有することで、これら Y移動子 6 4 A、 6 4 Bに共用される構成になっている。 なお、 上記 Yモータ 6 1 A、 6 I Bは、 ムービングコイル形式のリニアモータを構成している。  Similarly, the Y motor 61B drives the moving stage 1B in the Y direction, which is the scanning direction (scanning direction), and is provided at both ends of the moving stage 1B via the X guide bar 2B. The Y mover (movable element) 6 4 B, 6 4 B is opened toward the Y mover 6 4 B, and the Y mover 6 4 B, 6 4 B is opened by the electromagnetic interaction. It comprises a mover 64 B, a counter mass 65 having a U-shaped cross section as a stator for driving the mover 64 B in the Y direction. That is, the Y movers 64 A and 64 B are provided for each of the plurality of moving stages 1 A and IB, but the counter mass 65 is in the moving range of the moving stages 1 A and 1 B! : It has a configuration in which it is shared by these Y movers 64 A and 64 B by having a length. The Y motors 61A and 6IB constitute a moving coil type linear motor.
カウンターマス 6 5は、 ウェハステージ定盤 2 2の X方向両側に設けられたサ ィ ド定盤 (支持部) 7、 7上に、 Y方向へのガイ ド機構 (支持機構) を有するェ ァパッド 6を介して Y方向に移動自在にそれぞれ浮揚支持されている。 サイ ド定 盤 7は、 ウェハステージ定盤 2 2と (振動的に) 独立して設けられており、 ベー スプレート B P上に設置された弾性部材としてのコイルスプリング 3 1により自 重を支持されている。 コイルスプリング 3 1は、 カウンターマス 6 5の重心が移 動したときも含めてサイ ド定盤 7の自重を充分に支持できる剛性を有するバネ定 数に設定されており、 図 3に示すように、 両端側及び中央部の、 三角形の頂点を 成す 3点でサイ ド定盤 7を支持している。  The countermass 65 is an air pad having a guide mechanism (support mechanism) in the Y direction on side bases (supporting parts) 7, 7 provided on both sides in the X direction of the wafer stage base 22. Each is levitated and supported movably in the Y direction via 6. The side platen 7 is provided (vibrationally) independently of the wafer stage platen 22 and its own weight is supported by a coil spring 31 as an elastic member installed on the base plate BP. ing. The coil spring 31 is set to have a rigidity enough to support the own weight of the side platen 7 even when the center of gravity of the counter mass 65 moves, as shown in Fig. 3. The side surface plate 7 is supported at three points forming the vertices of the triangle at both ends and the center.
このサイ ド定盤 7とウェハステージ定盤 2 2とは、 解除装置 4 5により上記独 立状態が解除自在に連結されている。 解除装置 4 5は、 これらサイ ド定盤 7及び ウェハステージ定盤 2 2の Y方向両端面にそれぞれ設けられており (図 1、 2及 び 4では一 Y側の解除装置のみ図示)、図 5に示すように、 ウェハステージ定盤 2 2に設けられたステンレス製のストッパー用アーム (第 1部材) 4 6と、 サイド 定盤 7に X方向に間隔をあけて突設された軸部材 (第 2部材) 4 7、 4 7とから' 構成されている。 The independent surface of the side surface plate 7 and the wafer stage surface plate 22 are releasably connected by a release device 45. The release devices 45 are provided on both end surfaces in the Y direction of the side surface plate 7 and the wafer stage surface plate 22 (only the release device on one Y side is shown in FIGS. 1, 2 and 4). As shown in 5, the wafer stage surface plate 2 The stopper arm (first member) 46 made of stainless steel provided in 2 and the shaft member (second member) 47 and 47 protruding from the side surface plate 7 with an interval in the X direction ' It is configured.
ストッパー用アーム 4 6は、 ボルト等の不図示の締結手段によりウェハステー ジ定盤 2 2に固定されており、 軸部材 4 7、 4 7と対向する位置には X方向に延 びる嵌合溝 4 8が形成されている。 図 6に示すように、 嵌合溝 4 8は、 ウェハス テージ W S Tの正常稼働時に、 軸部材 4 7との間に Z方向 (及び一部 X方向) に 隙間 L 1が形成される幅及び位置に形成されている。 この隙間 L 1は、 Yモータ 6 1 A、 6 1 Bにおける Y可動子 6 4 A、 6 4 Bと固定子 6 5との間のギャップ 量を L 2 (図 4参照) とすると、 次式の関係が成り立つように設定されている。  The stopper arm 46 is fixed to the wafer stage base 22 by fastening means (not shown) such as a bolt, and a fitting groove 4 extending in the X direction is provided at a position facing the shaft members 47. 8 are formed. As shown in FIG. 6, when the wafer stage WST operates normally, the fitting groove 48 has a width and a position at which a gap L1 is formed in the Z direction (and partially in the X direction) between the wafer stage WST and the shaft member 47. Is formed. This gap L 1 is given by the following equation, where L 2 (see FIG. 4) is the gap between the Y movers 64 A, 64 B and the stator 65 in the Y motors 61 A, 61 B. Is set so that the following relationship holds.
L 1 < L 2 … ( 1 )  L 1 <L 2… (1)
一方、 ウェハステージ W S Tには、 移動ステージ 1 A、 I Bや Xガイドバー 2 A、 2 Bに対して各種用力を供給するための上述した用力供給ケーブル、 チュー ブ等を保持し、 且つ Xガイドパー 2 A、 2 Bの移動 (すなわち、 移動ステージ 1 A、 I Bの Y方向の移動) とそれぞれ同期移動する不図示のチューブキャリアが 設けられている。 チューブキャリアが移動ステージ 1 A、 I Bとそれぞれ同期移 動することで、 チューブキャリアと移動ステージ 1 A、 I Bとの間に配されたケ 一ブル ·チューブの変形が阻止されるため、 複数のケーブル ·チューブ同士の擦 れ、 叩きやケーブル ·チューブの変形に伴う抗カ等の外乱に起因する振動の発生 を防止することができる。  On the other hand, the wafer stage WST holds the above-mentioned utility supply cables, tubes, etc. for supplying various utilities to the moving stages 1A, IB and the X guide bars 2A, 2B. A tube carrier (not shown) that moves synchronously with the movement of 2A and 2B (that is, the movement of the moving stages 1A and IB in the Y direction) is provided. By moving the tube carrier synchronously with the moving stages 1A and IB, deformation of the cables and tubes arranged between the tube carrier and the moving stages 1A and IB is prevented. · It is possible to prevent the tubes from rubbing each other, hitting each other and cables · Vibration caused by disturbances such as resistance due to deformation of the tubes can be prevented.
前記移動ステージ 1 A、 1 B上面の X方向一側の端部には、 移動鏡 7 9 Xが Y 方向に延設され、 Y方向の一側の端部には、 移動鏡 7 9 Yが X方向に延設されて いる。 これらの移動鏡 7 9 X、 7 9 Yに位置検出装置であるウェハレーザ干渉計 システム 8 0 (図 1参照) を構成する各レーザ干渉計からの測長ビームがそれぞ れ照射されている。 これらの測長ビームに対応する各レーザ干渉計の少なくとも —方は、 測長軸を 2本有する 2軸干渉計が用いられている。  A moving mirror 79X extends in the Y direction at one end of the upper surface of the moving stages 1A and 1B in the X direction, and a moving mirror 79Y extends at one end of the Y direction. It extends in the X direction. These movable mirrors 79X and 79Y are irradiated with length measuring beams from the laser interferometers constituting the wafer laser interferometer system 80 (see FIG. 1) as position detectors. At least one of the laser interferometers corresponding to these measurement beams uses a two-axis interferometer having two measurement axes.
ウェハレーザ干渉計システム 8 0を構成する各レーザ干渉計に対応する各固定 鏡は、 投影光学系 P Lの鏡筒の下端部に固定されている。 ウェハレーザ干渉計シ ステム 8 0は、 鏡筒定盤 1 8上面に配置されている。 前述の如く、 ジ WS T上には、 移動鏡として移動鏡 79 X、 79Υが設けられ、 これに対応し て固定鏡も X方向位置計測用の固定鏡と Υ方向位置計測用の固定鏡とがそれぞれ 設けられ、 レーザ干渉計も X方向位置計測用のものと Υ方向位置計測用のものと が設けられているが、 図 1ではこれらが代表的に移動鏡 79、 固定鏡 8 1、 ゥェ ハレーザ干渉計システム 80として示されている。 Each fixed mirror corresponding to each laser interferometer constituting the wafer laser interferometer system 80 is fixed to the lower end of the lens barrel of the projection optical system PL. Wafer laser interferometer system 80 is disposed on the top surface of lens barrel base 18. As mentioned above, On the WST, movable mirrors 79X and 79Υ are provided as movable mirrors, and correspondingly, fixed mirrors are provided for the X-direction position measurement and for the Υ-direction position measurement, respectively. The laser interferometer is also provided with one for X-direction position measurement and one for Υ-direction position measurement. In Fig. 1, these are typically the moving mirror 79, fixed mirror 81, and wafer laser interferometer. Shown as system 80.
上記のウェハレーザ干渉計システム 80によってウェハステージ WS Τの X, Υ, θ Ζ (Ζ周りの回転) 方向の位置計測が投影光学系 PLを基準として行われ る。 ウェハレーザ干渉計システム 80によって計測されるウェハステージ WS T の位置情報 (又は速度情報) はステージ制御装置 44及びこれを介して主制御装 置 50に送られる。 ステージ制御装置 44は、 基本的にはウェハレーザ干渉計シ ステム 80から出力される位置情報 (或いは速度情報) が主制御装置 50から与 えられる指令値(目標位置、目標速度)と一致するように上記の Yモータ 6 1A、 6 1 B及び Xモータ 62 A、 62 Bを制御する。  The wafer laser interferometer system 80 measures the position of the wafer stage WS X in the X, Υ, θ Ζ (rotation around Ζ) directions with reference to the projection optical system PL. Position information (or speed information) of the wafer stage WST measured by the wafer laser interferometer system 80 is sent to the stage controller 44 and the main controller 50 via the stage controller 44. The stage control device 44 basically controls the position information (or speed information) output from the wafer laser interferometer system 80 so that it matches the command value (target position, target speed) given from the main control device 50. The above Y motors 61A and 61B and the X motors 62A and 62B are controlled.
図 7には、 本実施形態に係る露光装置 1 0の制御系の主要な構成がプロック図 にて示されている。 この制御系は、 マイクロコンピュータ (あるいはワークステ ーション)から成る制御系としての主制御装置 50を中心として構成されている。 この図に示すように、 振動センサ群 32、 33、 位置センサ 77の計測結果は、 主制御装置 50に出力される。 主制御装置 50は、 入力した計測結果に基づいて 防振ュニット 1 6A〜1 6 C、 29の駆動をそれぞれ制御する。 ステージ制御装 置 44は、 主制御装置 50の制御下で、 レチクルレーザ干渉計システム 38およ びウェハレーザ干渉計システム 80の計測結果に基づいて、 Yリニァモータ 34 A、 34 B、 Xボイスコイルモータ 36 A、 36 B、 Yボイスコイルモータ 36 C、 36D、 Yモータ 6 1A、 6 1 B、 Xモータ 62A、 62 B、 トリムモータ 72、 ボイスコィルモータ 73の駆動を制御する。  FIG. 7 is a block diagram showing a main configuration of a control system of exposure apparatus 10 according to the present embodiment. This control system mainly includes a main controller 50 as a control system including a microcomputer (or a workstation). As shown in this figure, the measurement results of the vibration sensor groups 32 and 33 and the position sensor 77 are output to the main controller 50. Main controller 50 controls the driving of vibration isolation units 16A to 16C and 29 based on the input measurement results. Under the control of the main controller 50, the stage controller 44 controls the Y linear motors 34A and 34B and the X voice coil motor 36 based on the measurement results of the reticle laser interferometer system 38 and wafer laser interferometer system 80. A, 36B, Y voice coil motor 36C, 36D, Y motor 61A, 61B, X motor 62A, 62B, trim motor 72, voice coil motor 73 are controlled.
次に、 上記の構成の露光装置のうち、 まずウェハステージ WSTの動作につい て説明する。  Next, the operation of the wafer stage WST in the exposure apparatus having the above configuration will be described first.
例えば、 Yモータ 6 1 Aが作動して Y移動子 64 Aが固定子 65に対して相対 移動することにより、 移動ステージ 1 Aが試料台 63 A (およびウェハ W1) と ともに Y方向に移動すると、 この移動による反力でカウンターマスとしての固定 子 6 5がサイ ド定盤 7上を移動ステージ 1 Aの移動方向とは逆方向に相対移動す る。 この結果、 移動ステージ 1 Aの Y方向の加減速時の反力はカウンターマス 6 5の移動により吸収され、 ベースプレート B Pに与える運動量は理論的にゼロと なり、 ウェハステージ W S Tにおける重心の位置が Y方向において実質的に固定 される。 なお、 Yモータ 6 1 Bが作動して移動ステージ 1 Bが Y方向に移動する 際にも同様の動作となる。 For example, when the Y motor 61A operates and the Y mover 64A moves relative to the stator 65, the moving stage 1A moves in the Y direction together with the sample stage 63A (and the wafer W1). , Fixed as a counter mass by the reaction force of this movement The child 65 moves relatively on the side surface plate 7 in the direction opposite to the moving direction of the moving stage 1A. As a result, the reaction force of the moving stage 1A during acceleration and deceleration in the Y direction is absorbed by the movement of the counter mass 65, the momentum applied to the base plate BP becomes theoretically zero, and the position of the center of gravity in the wafer stage WST becomes Y Substantially fixed in the direction. The same operation is performed when the moving stage 1B moves in the Y direction by operating the Y motor 61B.
移動ステージ 1 A、 I Bの移動に際しては、 主制御装置 5 0からの指示に応じ てステージ制御装置 4 4がレーザ干渉計システム 8 0等の計測値に基づいて、 移 動ステージ 1 A、 1 Bの移動に伴う重心の変化による影響をキャンセルするカウ ンターフォースを防振ュ-ット 2 9に対してフィードフォヮ一ドで与え、 この力 を発生するようにエアマウントおよびァクチユエ一タ部を駆動する。 また、 移動 ステージ 1 A、 1 Bとウェハステージ定盤 2 2との摩擦が零でない等の理由で、 ウェハステージ定盤 2 2の 6自由度方向の微少な振動が残留した場合にも、 振動 センサ群 3 3や位置センサ 7 7の計測値に基づいて上記残留振動を除去すべく、 エアマウントおよびァクチユエ一タ部をフィードバック制御する。  When moving the moving stages 1 A and IB, the stage controller 44 responds to an instruction from the main controller 50 and moves the moving stages 1 A and 1 B based on the measured values of the laser interferometer system 80 and the like. A counterforce that cancels the influence of the change in the center of gravity due to the movement of the air is given to the anti-vibration hood 29 by feed feed, and the air mount and the actuator unit are driven to generate this force. . In addition, when slight vibrations in the six-degree-of-freedom direction of the wafer stage base 22 remain because the friction between the moving stages 1A and 1B and the wafer stage base 22 is not zero, etc. Based on the measurement values of the sensor group 33 and the position sensor 77, the air mount and the actuator unit are feedback-controlled to eliminate the residual vibration.
カウンターマス 6 5の移動でサイ ド定盤 7には偏荷重が掛かるが、 剛性の高い コイルスプリング 3 1に支持されているため、 大きな姿勢変化は生じず、 従って サイ ド定盤 7とウェハステージ定盤 2 2との相対変位も上記 L 1以下の微小なも のとなる。 このとき、 カウンターマス 6 5の移動でサイ ド定盤 7には歪みが生じ るが、 定盤 7 , 2 2間の相対変位が微小であり、 ス トッパー用アーム 4 6と軸部 材 4 7とが非接触なので、 定盤 7、 2 2の独立状態が維持され、 歪みエネルギゃ 振動はウェハステージ定盤 2 2に伝わらず、 移動ステージ 1 A、 I Bの滑走面の 面精度が維持される。  The side plate 7 is biased by the movement of the counter mass 6 5, but a large change in posture does not occur because it is supported by the rigid coil spring 31. The relative displacement with respect to the surface plate 22 is also very small, not more than the above L1. At this time, the side plate 7 is distorted by the movement of the counter mass 65, but the relative displacement between the plate 7 and 22 is very small, and the stopper arm 46 and the shaft member 4 7 Are not in contact with each other, the independent states of the surface plates 7 and 22 are maintained, the distortion energy and the vibration are not transmitted to the wafer stage surface plate 22, and the surface accuracy of the sliding surfaces of the moving stages 1A and IB is maintained. .
一方、 万一、 防振ユニット 2 9が機能しなくなったり、 暴走して定盤 7、 2 2 間の相対変位が大きくなつた場合、 具体的には、 Z方向の相対変位がストッパー 用アーム 4 6と軸部材 4 7との間の隙間 L 1を超えるような大きさになる場合に は、 Yモータ 6 1 A、 6 1 Bにおける Y可動子 6 4 A、 6 4 Bと固定子 6 5とが 接触する前に、ストッパー用アーム 4 6と軸部材 4 7とが接触する。これにより、  On the other hand, if the anti-vibration unit 29 stops functioning or runs away and the relative displacement between the surface plates 7 and 22 becomes large, specifically, the relative displacement in the Z direction becomes If the size exceeds the gap L1 between the shaft member 4 and the shaft member 47, the Y movers 64A, 64B and the stator 65 in the Y motor 61A, 61B Before the contact, the stopper arm 46 and the shaft member 47 come into contact with each other. This allows
-ジ定盤 2 2とサイ ド定盤 7との独立状態が解除され、 ウェハステ一 ジ定盤 2 2が + Z方向や一 Z方向に大きく変位した場合でもサイド定盤 7が追従 移動する。 そのため、 Y可動子 6 4 A、 6 4 Bと固定子 6 5との間のギャップ量 は、 L 2— L 1 ( > 0 ;式 (1 ) より) が維持され、 Y可動子 6 4 A、 6 4 Bと 固定子 6 5との接触が回避される。 -The independent state of the surface plate 22 and the side plate 7 is released, and the wafer stage Even when the surface plate 22 is displaced greatly in the + Z direction or the 1Z direction, the side surface plate 7 moves. Therefore, the gap between the Y movers 64 A, 64 B and the stator 65 remains L 2 − L 1 (>0; from equation (1)), and the Y mover 64 A , 64 B and the stator 65 are prevented from contacting.
また、 ウェハステージ定盤 2 2が Z方向のみならず、 (Y軸周りの回転) 方向に変位した場合、 軸部材 4 7がー力所では、 この軸部材の軸周りに定盤 2 2 が変位すると、 軸部材とストッパー用アーム 4 6との間の隙間は維持されるもの の、 Y可動子 6 4 A、 6 4 Bと固定子 6 5とが接触してしまう虞がある。 し力 し、 本実施の形態では軸部材 4 7を X方向に 2力所設けることで、 ウェハステージ定 盤 2 2が Θ Υ方向に大きく相対変位した場合でも、 ストッパー用アーム 4 6と軸 部材 4 7とが接触して、 定盤 7、 2 2間の独立状態を解除して追従移動させるこ とができるため、 Y可動子 6 4 A、 6 4 Bと固定子 6 5との接触を回避できる。 次に、 露光装置 1 0における露光動作について説明する。  Also, when the wafer stage base 22 is displaced not only in the Z direction but also in the (rotation about the Y axis) direction, when the shaft member 47 When displaced, the gap between the shaft member and the stopper arm 46 is maintained, but the Y movers 64 A, 64 B and the stator 65 may come into contact with each other. In this embodiment, the shaft member 47 is provided in two places in the X direction, so that the stopper arm 46 and the shaft member can be moved even when the wafer stage base 22 is relatively displaced in the Υ direction. 4 7 comes into contact with each other, and the independent state between the surface plates 7 and 2 can be released and the platen can be moved to follow.Therefore, the contact between the Y movers 64 A and 64 B and the stator 65 Can be avoided. Next, the exposure operation in the exposure apparatus 10 will be described.
前提として、 ウェハ W上のショッ ト領域を適正露光量 (目標露光量) で走査露 光するための各種の露光条件が予め設定される。 また、 不図示のレチクル顕微鏡 及び不図示のオファクシス ·ァライメントセンサ等を用いたレチクルァライメン ト、 ベースライン計測等の準備作業が行われ、 その後、 ァライメントセンサを用 いたウェハ Wのファインァライメント ( E G A (ェンハンス ト · グロ一バル · ァ ライメント) 等) が終了し、 ウェハ W上の複数のショット領域の配列座標が求め ら; る。  As a premise, various exposure conditions for scanning and exposing the shot area on the wafer W with an appropriate exposure amount (target exposure amount) are set in advance. Preparation work such as reticle alignment and baseline measurement using a reticle microscope (not shown) and an off-axis alignment sensor (not shown) is performed, and thereafter, a wafer W finer using the alignment sensor is prepared. The alignment (eg, enhanced global alignment) is completed, and the arrangement coordinates of a plurality of shot areas on the wafer W are obtained.
このようにして、 ウェハ Wの露光のための準備動作が終了すると、 ステージ制 御装置 4 4では、 主制御装置 5 0からの指示に応じてァライメント結果に基づい てウェハレーザ干渉計システム 8 0の計測値をモニタしつつ Yモータ 6 1 A、 6 1 B、 及び Xモータ 6 2 A、 6 2 Bを制御してウェハ Wの第 1ショットの露光の ための走査開始位置に移動ステージ 1を移動する。  In this way, when the preparatory operation for exposure of the wafer W is completed, the stage controller 44 measures the wafer laser interferometer system 80 based on the alignment result in accordance with the instruction from the main controller 50. While monitoring the values, control the Y motors 61A and 61B and the X motors 62A and 62B to move the moving stage 1 to the scanning start position for the exposure of the first shot of the wafer W. .
ステージ制御装置 4 4では、 主制御装置 5 0からの指示に応じてレチクル駆動 部 3 7及びウェハ駆動部 3 9を介してレチクルステージ R S Tとウェハステージ W S Tとの Y方向の走查を開始し、 両ステージ R S T、 W S Tがそれぞれの目標 走査速度に達すると、 照明光 I Lによってレチクル Rのパターン領域が照明され 始め、 走查露光が開始される。 The stage controller 44 starts running in the Y direction between the reticle stage RST and the wafer stage WST via the reticle driver 37 and the wafer driver 39 in response to an instruction from the main controller 50. When both stages RST and WST reach their respective target scanning speeds, the pattern area of reticle R is illuminated by illumination light IL. At first, running exposure is started.
ステージ制御装置 4 4では、 特に上記の走查露光時にレチクルステージ R S T の Y軸方向の移動速度とウェハステージ W S Tの Y軸方向の移動速度とが投影光 学系 P Lの投影倍率 (1 Z 5倍或いは 1 / 4倍) に応じた速度比に維持されるよ うにレチクルステージ R S T及びウェハステージ W S T (移動ステージ 1 ) を同 期制御する。  In the stage control device 4 4, the moving speed of the reticle stage RST in the Y-axis direction and the moving speed of the wafer stage WST in the Y-axis direction, particularly during the above-mentioned scanning exposure, are determined by the projection magnification of the projection optical system PL (1 Z 5 times). The reticle stage RST and the wafer stage WST (moving stage 1) are synchronously controlled so as to maintain the speed ratio according to (or 1/4 times).
レチクル Rのパターン領域の異なる領域が照明光 I Lで逐次照明され、 パター ン領域全面に対する照明が完了することにより、 ウェハ W上の第 1ショットの走 查露光が終了する。 これにより、 レチクル Rのパターンが投影光学系 P Lを介し て第 1ショットに縮小転写される。  The different areas of the pattern area of the reticle R are sequentially illuminated with the illumination light IL, and the illumination of the entire pattern area is completed, whereby the scanning exposure of the first shot on the wafer W ends. Thus, the pattern of the reticle R is reduced and transferred to the first shot via the projection optical system PL.
このようにして、 第 1ショットの走查露光が終了すると、 ステージ制御装置 4 4により主制御装置 5 0の指示に応じてウェハ駆動部 3 9を介して移動ステージ 1が X、 Y軸方向にステップ移動され、 第 2ショットの露光のため走查開始位置 に移動される。 このステッピングの際に、 ステージ制御装置 4 4ではウェハレー ザ干渉計システム 8 0の計測値に基づいて移動ステージ 1の X、 Υ、 Θ Ζ方向の 位置変位をリアルタイムに計測する。 この計測結果に基づき、 ステージ制御装置 4 4ではウェハ駆動部 3 9を制御して Χ Υ位置変位が所定の状態になるように移 動ステージ 1の位置を制御する。  In this way, when the running exposure of the first shot is completed, the stage controller 44 moves the moving stage 1 in the X and Y axis directions via the wafer drive unit 39 in accordance with the instruction of the main controller 50. It is moved step by step and moved to the running start position for the exposure of the second shot. At the time of this stepping, the stage controller 44 measures the position displacement of the moving stage 1 in the X, Υ, and Ζ directions in real time based on the measurement value of the wafer laser interferometer system 80. Based on the measurement result, the stage control device 44 controls the position of the moving stage 1 by controlling the wafer driving unit 39 so that the positional displacement becomes a predetermined state.
主制御装置 5 0の指示に基づきステージ制御装置 4 4では第 2ショットに対し て上記と同様の走查露光を行う。 このようにして、 ウェハ W上のショットの走査 露光と次ショット露光のためのステッピング動作とが繰り返し行われ、 ウェハ W 上の露光対象ショットの全てにレチクル Rのパターンが順次転写される。 すなわ ち、 以上のようにして、 ステップ ·アンド 'スキャン方式の露光が行われる。 続いて、 2つの移動ステージ 1 Α、 1 Βによる並行処理について説明する。 本 実施の形態では、 例えば移動ステージ 1 Α (すなわち試料台 6 3 A) 上のウェハ W 1を投影光学系 P Lを介して露光動作を行っている間に、 移動ステージ 1 Bに おいてウェハ交換が行われ、 ウェハ交換に引き続いてァライメント動作およびォ 一トフォーカス/ォートレベリングが行われる。  Based on the instruction of the main controller 50, the stage controller 44 performs the same running exposure on the second shot as described above. In this way, the scanning exposure of the shot on the wafer W and the stepping operation for the next shot exposure are repeatedly performed, and the pattern of the reticle R is sequentially transferred to all the exposure target shots on the wafer W. That is, the exposure of the step-and-scan method is performed as described above. Subsequently, the parallel processing by the two moving stages 1 # and 1 # will be described. In the present embodiment, for example, while exposing wafer W 1 on moving stage 1 1 (that is, sample stage 63 A) through projection optical system PL, wafer changing is performed on moving stage 1 B. After the wafer exchange, an alignment operation and autofocus / autoleveling are performed.
移動ステージ 1 B側で、 上記のウェハ交換、 ァライメント動作が行われている 間に、 移動ステージ 1 A側では、 2枚のレチクルを使った場合、 露光条件を変え ながら連続してステップ ' アンド · スキャン方式により二重露光を行うことも可 能である。 2つの移動ステージ 1 A、 1 B上で並行して行われる露光シーケンス とウェハ交換 ·ァライメントシーケンスとは、 先に終了したウェハステージの方 が待ち状態となり、 両方の動作が終了した時点で移動ステージ 1 A、 I Bが移動 制御される。 露光シーケンスが終了した移動ステージ 1 A上のウェハ W 1は、 口 一ディングポジションでウェハ交換がなされ、 ァライメントシーケンスが終了し た移動ステージ 1 B (すなわち試料台 6 3 B ) 上のウェハ W 2は、 投影光学系 P Lの下で露光シーケンスが行われる。 The above wafer exchange and alignment operations are performed on the moving stage 1B side In the meantime, on the moving stage 1A side, when two reticles are used, it is possible to perform double exposure by the step-and-scan method continuously while changing the exposure conditions. In the exposure sequence and wafer replacement sequence performed in parallel on the two moving stages 1A and 1B, the wafer stage that has been completed first enters the waiting state, and moves when both operations are completed. Stages 1A and IB are controlled for movement. The wafer W 1 on the moving stage 1 A after the exposure sequence has been replaced at the mouthing position, and the wafer W 2 on the moving stage 1 B (ie, the sample stage 63 B) after the alignment sequence has been completed. The exposure sequence is performed under the projection optical system PL.
このように、 一方の移動ステージ側でウェハ交換とァライメント動作を実行す る間に、 他方の移動ステージ側で露光動作を実行することとし、 両方の動作が終 了した時点でお互いの動作を切り換えるようにすることで、 スループットを大 ; に向上させることが可能になる。  In this way, while the wafer exchange and the alignment operation are performed on one moving stage, the exposure operation is performed on the other moving stage, and the operations are switched when both operations are completed. By doing so, it is possible to greatly improve the throughput.
以上のように、 本実施の形態では、 ウェハステージ定盤 2 2とサイ ド定盤 7と の相対変位に応じてウェハステージ定盤 2 2に対するサイ ド定盤 7の独立状態を 解除するので、 Y可動子 6 4 A、 6 4 Bと固定子 6 5とが接触して破損する事態 を回避することができる。 そのため、 Y可動子 6 4 A、 6 4 Bと固定子 6 5との ギヤップ量を大きく したり、 防振ュニット 2 9の駆動ストロークを短くする必要 がなくなり、 モータの推力低下や防振性能の低下を防ぐことが可能になる。 さらに、 本実施の形態では、 サイ ド定盤 7の自重をコイルスプリング 3 1で支 持しているので、 ァクチユエ一タでサイ ド定盤 7の自重を支えた場合のように駆 動に伴う熱が生じることを防止できる。  As described above, in the present embodiment, the independent state of the side surface plate 7 with respect to the wafer stage surface 22 is released in accordance with the relative displacement between the wafer stage surface 22 and the side surface plate 7, It is possible to avoid a situation in which the Y movers 64 A, 64 B and the stator 65 come into contact with each other and are damaged. Therefore, there is no need to increase the gap between the Y movers 64 A and 64 B and the stator 65 and to shorten the drive stroke of the vibration isolating unit 29, which reduces the thrust of the motor and reduces the vibration isolation performance. It is possible to prevent the drop. Further, in the present embodiment, the self-weight of the side platen 7 is supported by the coil springs 31, so that the actuators support the self-weight of the side platen 7, as in the case where the self-weight of the side platen 7 is supported. The generation of heat can be prevented.
また、 本実施の形態では、 コイルスプリング 3 1が三角形の頂点を成す 3点に 配置されているので、 サイ ド定盤 7を平面的に安定して支持することも可能にな る。  Further, in the present embodiment, since the coil springs 31 are arranged at three points forming the vertices of the triangle, it is also possible to stably support the side surface plate 7 in a planar manner.
図 8乃至図 1 1は、 本発明のステージ装置および露光装置の第 2の実施形態を 示す図である。 これらの図において、 図 1乃至図 7に示す第 1の実施形態の構成 要素と同一の要素については同一符号を付し、 その説明を省略する。 第 2の実施 の形態と上記の第 1の実施の形態とが異なる点は、 サイ ド定盤 7を Z方向に駆動 するァクチユエ一タを設けたことである。 8 to 11 are views showing a second embodiment of the stage apparatus and the exposure apparatus of the present invention. In these drawings, the same elements as those of the first embodiment shown in FIGS. 1 to 7 are denoted by the same reference numerals, and description thereof will be omitted. The difference between the second embodiment and the first embodiment is that the side platen 7 is driven in the Z direction. That is, we have established an actuator.
図 8に示すように、 本実施の形態では、 ボイスコイルモータ等のァクチユエ一 タ (第 3駆動装置) 4 9がサイド定盤 7とベースプレート B Pとの間に設けられ ている。 ァクチユエータ 4 9は、 図 9に示すように、 コイルスプリング 3 1と対 となるように当該コイルスプリング 3 1の近傍に X方向に隣り合って、 且つコィ ルスプリング 3 1と同様に、 三角形の頂点を成す 3力所に配置され、 主制御装置 (制御装置) 5 0の制御によりサイド定盤 7を Z方向に駆動する構成となってい る (図 1 0参照)。 ァクチユエータ 4 9は、 その駆動を停止した際に、 サイ ド定盤 7に対して移動自在となるように連結 '接続される。 また、 コイルスプリング 3 1は、 カウンターマス 6 5の移動に伴う重心移動に対する剛性を考慮することな く、 単にサイ ド定盤 7の自重を支持できる程度の比較的低いパネ定数に設定され る。  As shown in FIG. 8, in the present embodiment, an actuator (third drive device) 49 such as a voice coil motor is provided between the side surface plate 7 and the base plate BP. As shown in FIG. 9, the actuator 49 is adjacent to the coil spring 31 in the X direction so as to be paired with the coil spring 31, and, like the coil spring 31, has a vertex of a triangle. The main control unit (control unit) 50 drives the side surface plate 7 in the Z direction (see Fig. 10). The actuator 49 is connected to and connected to the side surface plate 7 so as to be movable when its driving is stopped. Further, the coil spring 31 is set to a relatively low panel constant that can simply support the weight of the side platen 7 without considering the rigidity against the movement of the center of gravity accompanying the movement of the counter mass 65.
また、 本実施形態では、 サイ ド定盤 7の Z方向の位置を検出する位置センサ 7 8 (図 8では図示せず、 図 1 0参照) が設けられており、 その検出結果が主制御 装置 5 0に出力される構成になっている。 主制御装置 5 0は、 位置センサ 7 7、 7 8の検出結果に基づいてァクチユエータ 4 9の駆動を制御する。  Further, in the present embodiment, a position sensor 78 (not shown in FIG. 8, see FIG. 10) for detecting the position of the side platen 7 in the Z direction is provided, and the detection result is determined by the main controller. 50 is output. Main controller 50 controls the driving of actuator 49 based on the detection results of position sensors 77, 78.
他の構成は、 上記第 1の実施形態と同様である。  Other configurations are the same as those of the first embodiment.
上記の構成では、 露光装置 1 0の通常稼働時にはサイ ド定盤 7の自重はコイル スプリング 3 1によって支持され、 移動ステージ 1 A、 I Bの移動に伴うカウン ターマス 6 5の移動については、 カウンターマス 6 5の重心移動に伴うサイ ド定 盤 7の重心移動を補正するために、 ウェハステージ定盤 2 2の位置にサイ ド定盤 7が追従するように主制御装置 5 0がァクチユエータ 4 9を駆動させる。従って、 常時ァクチユエータ 4 9を駆動する必要がなくなり、 駆動に伴う発熱を抑制する ことが可能になる。  In the above configuration, during normal operation of the exposure apparatus 10, the own weight of the side platen 7 is supported by the coil spring 31, and the counter mass 65 accompanying the movement of the moving stages 1A and IB is moved by the counter mass. 6 In order to compensate for the shift of the center of gravity of the side plate 7 due to the movement of the center of gravity of 5, the main controller 50 controls the actuator 49 so that the side plate 7 follows the position of the wafer stage base 22. Drive. Therefore, it is not necessary to constantly drive the actuator 49, and it is possible to suppress heat generation due to the driving.
一方、 防振ユニット 2 9が機能停止状態や暴走状態に陥った場合も、 通常稼働 時と同様に、 位置センサ 7 7、 7 8の検出結果 (の差分) に応じてァクチユエ一 タ 4 9を駆動し、 サイ ド定盤 7をウェハステージ定盤 2 2に位置追従させること で、 Y可動子 6 4 A、 6 4 Bと固定子 6 5との接触を回避することができる。 そ れとは逆に、 ァクチユエータ 4 9が機能停止状態や暴走状態に陥った場合、 主制 御装置 5 0はァクチユエータ 4 9の電源をオフにしてその駆動を停止させ、 コィ ルスプリング 3 1のみでサイ ド定盤 7を支持させる。 この場合、 サイ ド定盤 7は 解除装置 4 5 (すなわちストッパー用アーム 4 6と軸部材 4 7 ) により、 所定距 離 (L 2— L 1 ) 以上離間しないように拘束されるため、 Y可動子 6 4 A、 6 4 Bと固定子 6 5との接触を回避することができる。 上記の動作は、 防振ュニット 2 9及びァクチユエータ 4 9の双方が機能停止状態や暴走状態に陥った場合も同 様である。 On the other hand, when the anti-vibration unit 29 is in a function stop state or a runaway state, as in the case of the normal operation, the actuator unit 49 is activated according to (the difference between) the detection results of the position sensors 77, 78. By driving and causing the side surface plate 7 to follow the position of the wafer stage surface plate 22, contact between the Y movers 64 A and 64 B and the stator 65 can be avoided. Conversely, if the actuator 49 goes out of function or runs out of control, The control device 50 turns off the power of the actuator 49 to stop its driving, and supports the side platen 7 only with the coil spring 31. In this case, the side surface plate 7 is restrained by the release device 45 (that is, the stopper arm 46 and the shaft member 47) so that the side surface plate 7 does not separate more than a predetermined distance (L2—L1). Contact between the stators 64A and 64B and the stator 65 can be avoided. The above operation is the same when both the vibration isolation unit 29 and the actuator 49 fall into a function stop state or a runaway state.
上記第 1の実施形態では、 力ゥンターマス 6 5の重心移動にも耐え得るように コイルスプリング 3 1のバネ定数を比較的大きく設定したため、 解除装置 4 5に よってコイルスプリング 3 1の付勢力に抗してサイド定盤 7をウェハステージ定 盤 2 2に位置追従させる際に、 付勢力が大きいためにウェハステージ定盤 2 2の 方がねじ曲げられて歪む虞があった。 しかし、 本実施の形態では、 上記第 1の実 施形態と同様の作用 '効果が得られることに加えて、 ァクチユエータ 4 9がカウ ン'ターマス 6 5の重心移動を補うことでコイルスプリング 3 1のバネ定数を低く 抑えることができるので、 独立状態の解除時にウェハステージ定盤 2 2を歪ませ る力を大幅に小さくすることができる。  In the first embodiment, the spring constant of the coil spring 31 is set to be relatively large so as to withstand the movement of the center of gravity of the force center 65, so that the release device 45 resists the urging force of the coil spring 31. When the side surface plate 7 is caused to follow the position of the wafer stage surface plate 22, there is a possibility that the wafer stage surface plate 22 is distorted by being twisted due to a large urging force. However, in this embodiment, in addition to obtaining the same operation and effect as in the first embodiment, the actuator 49 compensates for the movement of the center of gravity of the cowl terminus 65, so that the coil spring 31 Since the spring constant of the wafer stage can be kept low, the force for distorting the wafer stage base 22 when the independent state is released can be significantly reduced.
上記第 2の実施形態では、 対となるコイルスプリング 3 1とァクチユエ一タ 4 9とが隣り合う配置としたが、 実際にはァクチユエータ 4 9の駆動を制御には同 軸上に配置することが好ましい。 これを実現する構成としては、 例えば図 1 1に 示すように、 弾性部材としてのベローズ管 5 1内に、 第 3駆動装置として Z方向 駆動用の A Cサーボモータ 5 2及びボールネジ機構 5 3を設ける構成を採用でき る。 この場合、 その駆動を停止した際に、 サイド定盤 7が Z方向に移動自在とな るようにネジ部のリ一ドを大きく設定することが好ましい。 ボールネジ機構の代 わりにギア構造とすることも可能である。  In the second embodiment, the paired coil spring 31 and the actuator 49 are arranged adjacent to each other. However, in practice, it is necessary to arrange the actuator 49 on the same axis for controlling the driving of the actuator 49. preferable. As a configuration for realizing this, for example, as shown in FIG. 11, an AC servomotor 52 for Z-direction driving and a ball screw mechanism 53 are provided as a third driving device in a bellows tube 51 as an elastic member. A configuration can be adopted. In this case, it is preferable to set a large screw lead so that the side surface plate 7 can move in the Z direction when the driving is stopped. A gear structure can be used instead of the ball screw mechanism.
また、 上記第 2の実施形態では、 位置センサ 7 7、 7 8の計測結果の差分によ りァクチユエータ 4 9を駆動する構成としたが、 Y可動子 6 4 A、 6 4 Bと固定 子 6 5との間のギャップ量を計測するセンサを設け、 このセンサの計測結果に基 づいてァクチユエータ 4 9を駆動する構成としてもよい。  In the second embodiment, the actuator 49 is driven based on the difference between the measurement results of the position sensors 77, 78. However, the Y movers 64A, 64B and the stator 6 are driven. It is also possible to provide a sensor for measuring the gap amount between the actuator and the actuator 5 and drive the actuator 49 based on the measurement result of this sensor.
上記実施の形態において、 ストッパー用アーム 4 6をウェハステージ定盤 2 2 に設け、 軸部材 4 7をサイド定盤 7に設ける構成としたが、 これとは逆にストツ パー用アーム 4 6をサイド定盤 7に設け、 軸部材 4 7をウェハステージ定盤 2 2 に設ける構成としてもよい。この場合も、軸部材 4 7は X方向に沿って 2力所(複 数) 設けられることが望ましい。 また、 防振ユニット 2 9やコイルスプリング 3 1の数は、 3力所に限定するものではなく、 一直線上に配置しなければ 4力所以 上配置する構成であってもよい。 さらに、 サイ ド定盤 7の自重を支持する弾性部 材としては、 コイルスプリングのみならず、 空気バネゃエアシリンダ等も使用す ることができる。 なお、 ァクチユエータ 4 9としては、 ボイスコイルモータゃリ ニァモータを用いることができる。 In the above embodiment, the stopper arm 46 is connected to the wafer stage base 22 The shaft member 47 is provided on the side surface plate 7 .On the contrary, the stopper arm 46 is provided on the side surface plate 7, and the shaft member 47 is provided on the wafer stage surface 22. A configuration may be provided. Also in this case, it is desirable that the shaft member 47 be provided at two places (plurality) along the X direction. Further, the number of the vibration isolating units 29 and the coil springs 31 is not limited to three places, but may be four or more places if they are not arranged on a straight line. Furthermore, not only a coil spring but also an air spring / air cylinder can be used as the elastic member supporting the weight of the side surface plate 7. Note that, as the actuator 49, a voice coil motor / linear motor can be used.
上記実施の形態においては、 移動ステージが 2基設けられた、 いわゆるダブル ステージ型の例を用いたが、 これに限定されるものではなく、 移動ステージが 1 基や、 3基以上設けられる構成であってもよい。 また、 上記実施の形態では、 本 発明のステージ装置を露光装置 1 0のウェハステージ W S Tに適用する構成とし たが、これに限られず、 レチクルステージ R S Tにも適用可能である。この場合、 レチクルステージ用サイド定盤はウェハステージ用サイ ド定盤と分離して設けて も、 同一のコラム等で一体的に支持する構成としてもよい。 さらに、 上記実施の 形態では、 本発明のステージ装置を露光装置のウェハステージに適用した構成と したが、 露光装置以外にも転写マスクの描画装置、 マスクパターンの位置座標測 定装置等の精密測定機器にも適用可能である。  In the above-described embodiment, a so-called double stage type example in which two moving stages are provided is used, but the present invention is not limited to this, and a configuration in which one moving stage or three or more moving stages are provided is used. There may be. In the above embodiment, the stage apparatus of the present invention is applied to the wafer stage WST of the exposure apparatus 10. However, the present invention is not limited to this, and can be applied to the reticle stage RST. In this case, the side platen for the reticle stage may be provided separately from the side platen for the wafer stage, or may be integrally supported by the same column or the like. Further, in the above embodiment, the stage apparatus of the present invention is applied to the wafer stage of the exposure apparatus. However, in addition to the exposure apparatus, precision measurement such as a transfer mask drawing apparatus, a mask pattern position coordinate measuring apparatus, etc. It is also applicable to equipment.
本実施の形態の基板としては、 半導体デバイス用の半導体ウェハ W、 W l、 W 2のみならず、 液晶ディスプレイデバイス用のガラス基板や、 薄膜磁気ヘッド用 のセラミックウェハ、 あるいは露光装置で用いられるマスクまたはレチクルの原 版 (合成石英、 シリコンウェハ) 等が適用される。  Examples of the substrate of the present embodiment include not only semiconductor wafers W, Wl, and W2 for semiconductor devices, but also glass substrates for liquid crystal display devices, ceramic wafers for thin-film magnetic heads, or masks used in exposure apparatuses. Alternatively, a reticle master (synthetic quartz, silicon wafer) or the like is applied.
露光装置 1 0としては、 レチクル Rとウェハ Wとを同期移動してレチクル尺の パターンを走査露光するステップ ·アンド ·スキャン方式の走査型露光装置 (ス キヤニング .ステッパー; USP5,473,410) の他に、 レチクル Rとウェハ Wとを 静止した状態でレチクル Rのパターンを露光し、 ウェハ Wを順次ステップ移動さ せるステップ · アンド · リピート方式の投影露光装置 (ステッパー) にも適用す ることができる。 また、 本発明はウェハ W上で少なくとも 2つのパターンを部分 的に重ねて転写するステップ .アンド ·スティツチ方式の露光装置にも適用でき る。 · The exposure apparatus 10 is a step-and-scan type scanning exposure apparatus (scanning stepper; US Pat. No. 5,473,410) in which a reticle R and a wafer W are synchronously moved to scan and expose a reticle scale pattern. In addition, the present invention can be applied to a step-and-repeat type projection exposure apparatus (stepper) that exposes the pattern of the reticle R while the reticle R and the wafer W are stationary and sequentially moves the wafer W. it can. In addition, the present invention partially separates at least two patterns on the wafer W. It can also be applied to a step-and-stitch type exposure apparatus that transfers images in a superimposed manner. ·
露光装置 1 0の種類としては、 ウェハ Wに半導体素子パターンを露光する半導 体素子製造用の露光装置に限られず、 液晶表示素子製造用又はディスプレイ製造 用の露光装置や、 薄膜磁気ヘッド、 撮像素子 (CCD) あるいはレチクル又はマ スクなどを製造するための露光装置などにも広く適用できる。  The type of the exposure apparatus 10 is not limited to an exposure apparatus for manufacturing a semiconductor element for exposing a semiconductor element pattern onto a wafer W, but may be an exposure apparatus for manufacturing a liquid crystal display element or a display, a thin film magnetic head, and an imaging apparatus. The present invention can be widely applied to an exposure apparatus for manufacturing a device (CCD) or a reticle or a mask.
また、 不図示の露光用光源として、 超高圧水銀ランプから発生する輝線 (g線 (436 nm)、 h線 (404. n m)、 i線 (365 nm))、 Kr Fエキシマレ 一ザ (248 nm)、 A r Fエキシマレーザ (193 nm)、 F2 レーザ (1 57 nm)、 Ar2レーザ (1 26 nm) のみならず、 電子線やイオンビームなどの荷 電粒子線を用いることができる。例えば、電子線を用いる場合には電子銃として、 熱電子放射型のランタンへキサボライ ト (L a B6)、 タンタル (T a) を用いる ことができる。 また、 Y AGレーザや半導体レーザ等の高調波などを用いてもよ レ、。 In addition, emission lines (g-line (436 nm), h-line (404.nm), i-line (365 nm)) and KrF excimer laser (248 nm ), a r F excimer laser (193 nm), F 2 laser (1 57 nm), Ar 2 not only laser (1 26 nm), can be used load electrostatic particle beams such as an electron beam or an ion beam. For example, as the electron gun in the case of using an electron beam, thermionic emission type Kisaborai bets to lanthanum (L a B 6), can be used tantalum (T a). Also, harmonics such as a YAG laser or a semiconductor laser may be used.
例えば、 D F B半導体レーザ又はファイバーレーザから発振される赤外域又は 可視域の単一波長レーザを、 例えばエルビウム (又はエルビウムとイツトリピウ ム (Yb) の両方) がドープされたファイバーアンプで増幅し、 かつ非線形光学 結晶を用いて紫外光に波長変換した高調波を露光光として用いてもよい。 なお、 単一波長レーザの発振波長を 1. 544〜1. 553 mの範囲内とすると、 1 93〜 1 94 nmの範囲内の 8倍高調波、 即ち A r Fエキシマレーザとほぼ同一 波長となる紫外光が得られ、 発振波長を 1. 57〜1. 58 μπιの範囲内とする と、 1 57〜 1 58 nmの範囲内の 1 0倍高調波、 即ち F 2レーザとほぼ同一波 長となる紫外光が得られる。 For example, a single-wavelength laser in the infrared or visible range emitted from a DFB semiconductor laser or fiber laser is amplified by a fiber amplifier doped with, for example, erbium (or both erbium and yttrium (Yb)) and nonlinearly amplified. A harmonic converted to ultraviolet light using an optical crystal may be used as exposure light. If the oscillation wavelength of the single-wavelength laser is in the range of 1.544 to 1.553 m, the 8th harmonic in the range of 193 to 194 nm, that is, almost the same wavelength as the ArF excimer laser If the oscillation wavelength is within the range of 1.57-1.58 μπι, the 10th harmonic within the range of 157-158 nm, that is, almost the same wavelength as the F 2 laser Is obtained.
また、 レーザプラズマ光源、 又は SORから発生する波長 5〜50 nm程度の 軟 X線領域、例えば波長 1 3. 4 11111、又は1 1. 5 11111の E U V(Extreme Ultra Violet)光を露光光として用いてもよく、 EUV露光装置では反射型レチクルが用 いられ、 かつ投影光学系が複数枚 (例えば 3〜6枚程度) の反射光学素子 (ミラ 一) のみからなる縮小系となっている。  In addition, a soft X-ray region having a wavelength of about 5 to 50 nm generated from a laser plasma light source or SOR, for example, EUV (Extreme Ultra Violet) light having a wavelength of 13.411111 or 11.511111 is used as exposure light. The EUV exposure apparatus uses a reflection type reticle, and the projection optical system is a reduction system including only a plurality of (for example, about 3 to 6) reflection optical elements (one mirror).
投影光学系 PLの倍率は、 縮小系のみならず等倍系および拡大系のいずれでも よい。 また、 投影光学系 P Lとしては、 エキシマレーザなどの遠紫外線を用いる 場合は硝材として石英や蛍石などの遠紫外線を透過する材料を用い、 F 2 レーザ や X線を用いる場合は反射屈折系または屈折系の光学系にし (レチクル Rも反射 型タイプのものを用いる)、また電子線を用いる場合には光学系として電子レンズ および偏向器からなる電子光学系を用いればよい。 なお、 電子線が通過する光路 は、 真空状態にすることはいうまでもない。 The magnification of the projection optical system PL is not limited to the reduction system, but can be any Good. Further, as the projection optical system PL, when far ultraviolet rays such as an excimer laser are used, a material which transmits far ultraviolet rays such as quartz or fluorite is used as a glass material, and when a F 2 laser or X-ray is used, a catadioptric system is used. An optical system of a refraction system (a reticle R of a reflection type is also used), and when an electron beam is used, an electron optical system including an electron lens and a deflector may be used as the optical system. It goes without saying that the optical path through which the electron beam passes is in a vacuum state.
ウェハステージ W S Tゃレチクルステージ R S Tに リ ユアモータ (USP5,623,853または USP5, 528,118参照) を用いる場合は、 エアベアリング を用いたエア浮上型およびローレンツ力またはリアクタンス力を用いた磁気浮上 型のどちらを用いてもよい。 また、 各ステージ W S T、 R S Tは、 ガイドに沿つ て移動するタイプでもよく、ガイドを設けないガイドレスタイプであってもよレ、。 また、 Yモータ 6 1 A、 6 I Bや Xモータ 6 2 A、 6 2 Bにおいても、 ガイドを 設けても設けなくとも、 どちらでもよい。  When using a reversing motor (see US Pat. Is also good. In addition, each stage WST, RST may be a type that moves along a guide, or may be a guideless type without a guide. Also, in the Y motors 61A and 6IB and the X motors 62A and 62B, either may be provided with or without a guide.
各ステージ W S T、 R S Tの駆動機構としては、 二次元に磁石を配置した磁石 ユニット (永久磁石) と、 二次元にコイルを配置した電機子ユニットとを対向さ せ電磁力により各ステージ W S T、 R S Τを駆動する平面モータを用いてもよい。 この場合、 磁石ュニットと電機子ュ-ットとのいずれか一方をステージ W S T、 R S Tに接続し、 磁石ユニットと電機子ユニットとの他方をステージ W S T、 R S Tの移動面側 (ベース) に設ければよい。  The drive mechanism of each stage WST, RST is a magnet unit (permanent magnet) with a two-dimensionally arranged magnet and an armature unit with a two-dimensionally arranged coil, and each stage WST, RS に よ りMay be used. In this case, one of the magnet unit and the armature cut is connected to the stage WST, RST, and the other of the magnet unit and the armature unit is provided on the moving surface side (base) of the stage WST, RST. I just need.
以上のように、 本願実施形態の露光装置 1 0は、 本願特許請求の範囲に挙げら れた各構成要素を含む各種サブシステムを、 所定の機械的精度、 電気的精度、 光 学的精度を保つように、 組み立てることで製造される。 これら各種精度を確保す るために、 この組み立ての前後には、 各種光学系については光学的精度を達成す るための調整、 各種機械系については機械的精度を達成するための調整、 各種電 気系については電気的精度を達成するための調整が行われる。 各種サブシステム から露光装置への組み立て工程は、 各種サブシステム相互の、 機械的接続、 電気 回路の配線接続、 気圧回路の配管接続等が含まれる。 この各種サブシステムから 露光装置への組み立て工程の前に、 各サブシステム個々の組み立て工程があるこ とはいうまでもない。 各種サブシステムの露光装置への組み立て工程が終了した ら、 総合調整が行われ、 露光装置全体としての各種精度が確保される。 なお、 露 光装置の製造は温度およびクリーン度等が管理されたクリーンルームで行うこと が望ましい。 As described above, the exposure apparatus 10 according to the embodiment of the present invention performs various types of subsystems including each component listed in the claims of the present application with predetermined mechanical accuracy, electrical accuracy, and optical accuracy. Manufactured by assembling to keep. Before and after this assembly, adjustments to achieve optical accuracy for various optical systems, adjustments to achieve mechanical accuracy for various mechanical systems, and various electrical The air system is adjusted to achieve electrical accuracy. The process of assembling the exposure apparatus from various subsystems includes mechanical connections, wiring connections of electric circuits, and piping connections of pneumatic circuits among the various subsystems. It goes without saying that there is an individual assembly process for each subsystem before the assembly process from these various subsystems to the exposure apparatus. The assembly process of the various subsystems into the exposure equipment has been completed. Therefore, comprehensive adjustment is performed, and various precisions of the entire exposure apparatus are secured. It is desirable to manufacture the exposure equipment in a clean room where the temperature and cleanliness are controlled.
半導体デバイス等のマイクロデバイスは、 図 1 2に示すように、 マイクロデバ イスの機能 ·性能設計を行うステップ 2 0 1、 この設計ステップに基づいたマス ク (レチクル) を製作するステップ 2 0 2、 シリコン材料からウェハを製造する ステップ 2 0 3、 前述した実施形態の露光装置によりレチクルのパターンをゥェ ハに露光する露光処理ステップ 2 0 4、 デバイス組み立てステップ (ダイシング 工程、 ボンディング工程、 パッケージ工程を含む) 2 0 5、 検查ステップ 2 0 6 等を経て製造される。 産業上の利用の可能性  As shown in Fig. 12, a micro device such as a semiconductor device has a step 201 for designing the function and performance of the micro device, a step 202 for fabricating a mask (reticle) based on this design step, and Manufacturing wafer from silicon material Step 203, exposure processing step 204 for exposing the reticle pattern to the wafer using the exposure apparatus of the above-described embodiment, device assembling step (Dicing process, bonding process, package process It is manufactured through 205 and the inspection step 206. Industrial applicability
本発明のステージ装置では、 固定子を支持する支持部がベースと独立して設け られているため、 ステージ本体の移動に伴う反力で固定子が移動した場合でも、 固定子の移動に起因する歪みエネルギゃ振動がベースに伝わることを防止できる。 また、 不測の事態が生じて、 ベースと支持部との第 1方向の相対変位が大きくな つた場合には、 ベースと支持部との独立状態が解除されて従属状態になるため、 固定子と可動子との間のギヤップが所定以上に小さくなり接触して破損すること を防止できる。 そのため、 固定子と可動子との間のギャップを必要以上に大きく して第 1駆動装置の推力が低下したり、 ベースを第 1方向に駆動するための第 2 駆動装置のストロークを抑えて防振性能が低下することがなく、 ステージ本体の 移動による反力の処理が行える。  In the stage device of the present invention, since the supporting portion for supporting the stator is provided independently of the base, even if the stator moves due to the reaction force accompanying the movement of the stage main body, it is caused by the movement of the stator. Strain energy ゃ vibration can be prevented from being transmitted to the base. In addition, if an unexpected situation occurs and the relative displacement between the base and the support in the first direction increases, the independent state of the base and the support is released, and the base and the support are subordinate to each other. It is possible to prevent the gap between the movable element and the movable element from becoming smaller than a predetermined value, thereby preventing damage due to contact. For this reason, the gap between the stator and the mover is made unnecessarily large to reduce the thrust of the first drive unit, and the stroke of the second drive unit for driving the base in the first direction is reduced and prevented. The reaction force due to the movement of the stage body can be processed without lowering the vibration performance.

Claims

請求の範囲 The scope of the claims
1 . ステージ装置であって、 1. A stage device,
移動面を有するベースに移動可能に支持されたステージ本体、  A stage body movably supported by a base having a moving surface,
固定子および前記ステージ本体に設けられた可動子を有し前記ステージ本体を 駆動する第 1駆動装置、  A first driving device having a stator and a mover provided on the stage main body and driving the stage main body;
前記固定子が設けられるとともに、前記ベースとは独立して設けられた支持部、 および  While the stator is provided, a support portion provided independently of the base, and
前記移動面とほぼ直交する第 1方向の前記ベースと前記支持部との相対変位に 応じて、前記べ一スに対する前記支持部の独立状態を解除する解除装置を有する。  A release device configured to release an independent state of the support portion with respect to the base in accordance with a relative displacement between the base and the support portion in a first direction substantially orthogonal to the moving surface.
2 . 請求項 1記載のステージ装置であって、 2. The stage device according to claim 1, wherein
刖 「己解除装置は、 前記ベースに設けられた第 1部材と、 前記支持部に設けられ た第 2部材とを有する。  刖 "The self-release device has a first member provided on the base and a second member provided on the support.
3 . 請求項 2記載のステージ装置であって、 3. The stage device according to claim 2, wherein
前記第 1部材と前記第 2部材とほ、 前記支持部が前記ベースから独立している 際には非接触であり、 前記独立状態を解除する際には接触する。  The first member and the second member are not in contact with each other when the supporting portion is independent of the base, and are in contact with each other when the independent state is released.
4 . 請求項 2記載のステージ装置であって、 4. The stage device according to claim 2, wherein
前記第 1部材と前記第 2部材とのレ、ずれか一方は、 前記第 1方向と直交する方 向に沿つて複数設けられている。  One or more of the first member and the second member are provided along a direction orthogonal to the first direction.
5 . 請求項 1記載のステージ装置であって、 5. The stage device according to claim 1, wherein
前記支持部の自重を支える弾性部材を有する。  An elastic member for supporting the weight of the support portion is provided.
6 . 請求項 5記載のステージ装置であって、 6. The stage device according to claim 5, wherein
前記弾性部材は、少なくとも三角形の頂点を成す 3点で前記支持部を支持する。 The elastic member supports the support at at least three points forming the vertices of a triangle.
7 . 請求項 1記載のステージ装置であって、 7. The stage device according to claim 1, wherein
前記ベースを前記第 1方向に駆動する第 2駆動装置を有する。  A second driving device that drives the base in the first direction.
8 . 請求項 1記載のステージ装置であって、 8. The stage device according to claim 1, wherein
前記支持部を前記第 1方向に駆動する第 3駆動装置を有する。  A third driving device that drives the support portion in the first direction.
9 . 請求項 8記載のステージ装置であって、 9. The stage device according to claim 8, wherein
前記固定子を前記支持部に対して移動可能に支持する支持機構を有する。  A support mechanism for movably supporting the stator with respect to the support portion;
1 0 . 請求項 9記載のステージ装置であって、 10. The stage device according to claim 9, wherein
前記固定子は、 前記ステージ本体の移動による反力により移動し、  The stator moves by a reaction force due to the movement of the stage body,
前記固定子の移動に伴う前記支持部の重心の移動を補正するように前記第 3駆 動装置を制御する制御装置を有する。  A control device for controlling the third driving device so as to correct the movement of the center of gravity of the support part accompanying the movement of the stator.
1 1 . 請求項 8記載のステージ装置であって、 11. The stage device according to claim 8, wherein
前記第 3駆動装置は、 駆動停止時に前記支持部と移動自在に接続される。  The third driving device is movably connected to the support portion when driving is stopped.
1 2 . マスクステージに保持されたマスクのパタ一ンを基板ステ一ジに保持され た基板に露光する露光装置であって、 12. An exposure apparatus for exposing a pattern of a mask held on a mask stage to a substrate held on a substrate stage,
前記マスクステージと前記基板ステージとの少なくとも一方のステージとして、 請求項 1記載のステージ装置を有する。  2. The stage device according to claim 1, wherein the stage is at least one of the mask stage and the substrate stage.
1 3 . 第 1基板ステージに保持された第 1基板にパターンを露光する露光装置で あって、 13. An exposure apparatus for exposing a pattern on a first substrate held on a first substrate stage,
前記第 1基板ステージに接続された可動子と、 固定子とを備え、 前記第 1基板 ステージを駆動する第 1駆動装置、  A first driving device that includes a mover connected to the first substrate stage, and a stator, and drives the first substrate stage;
前記第 1基板ステージが移動する移動面を有した第 1定盤、  A first surface plate having a moving surface on which the first substrate stage moves,
前記固定子が設けられるとともに、 前記第 1定盤とは独立して設けられた第 2 定盤、 前記第 1定盤を前記移動面とほぼ直交する第 1方向に駆動する第 2駆動装置、 および A second surface plate provided independently of the first surface plate, while the stator is provided, A second driving device that drives the first platen in a first direction substantially orthogonal to the moving surface; and
前記第 2定盤を前記第 1方向に駆動する第 3駆動装置を備える。  A third driving device that drives the second surface plate in the first direction.
1 4 . 請求項 1 3記載の露光装置であって、 14. The exposure apparatus according to claim 13, wherein
前記固定子を前記第 2定盤に対して移動可能に支持する支持機構を備える。  A support mechanism for movably supporting the stator with respect to the second platen.
1 5 . 請求項 1 4記載の露光装置であって、 15. The exposure apparatus according to claim 14, wherein
前記第 1基板ステージの移動に伴う前記第 1定盤の重心の変化を補正するよう に前記第 2駆動装置を制御するとともに、 前記第 1基板ステージの移動に応じた 前記固定子 Q移動に伴う前記第 2定盤の重心の変化を補正するように前記第 3駆 動装置を制御する制御装置を備える。  The second drive device is controlled so as to correct a change in the center of gravity of the first platen accompanying the movement of the first substrate stage, and the movement of the stator Q according to the movement of the first substrate stage is performed. A control device is provided for controlling the third drive device so as to correct a change in the center of gravity of the second platen.
1 6 . 請求項 1 3記載の露光装置であって、 16. The exposure apparatus according to claim 13, wherein
前記パターンを前記第 1基板に投影する投影光学系と、  A projection optical system that projects the pattern onto the first substrate,
前記第 1定盤と前記第 2定盤とから独立して、 前記投影光学系を支持する第 3 定盤とを備える。  A third surface plate that supports the projection optical system independently of the first surface plate and the second surface plate.
1 7 . 請求項 1 6記載の露光装置であって、 17. The exposure apparatus according to claim 16, wherein
前記第 3定盤を前記第 1方向に駆動する第 4駆動装置とを備える。  A fourth driving device for driving the third platen in the first direction.
1 8 . 請求項 1 3記載の露光装置であって、 18. The exposure apparatus according to claim 13, wherein
前記第 1定盤の前記移動面を移動する第 2基板ステージを備える。  A second substrate stage that moves on the moving surface of the first platen.
1 9 . 基板ステージに保持された基板にパターンを露光する露光方法であって、 前記基板ステージに接続された可動子と、 固定子とによって前記基板ステージ を駆動するステップ、 19. An exposure method for exposing a pattern on a substrate held by a substrate stage, comprising: a step of driving the substrate stage by a mover connected to the substrate stage and a stator;
前記基板ステージが移動する移動面を有した第 1定盤を前記移動面とほぼ直交 する第 1方向に駆動するステップ、 および 前記固定子が設けられるとともに、 前記第 1定盤とは独立して設けられた第 2 定盤を前記第 1方向に駆動するステップを有する。 Driving a first surface plate having a moving surface on which the substrate stage moves, in a first direction substantially orthogonal to the moving surface; and A step of driving the second surface plate provided independently of the first surface plate in the first direction while the stator is provided.
2 0 . 基板ステージに保持された基板にパターンを露光する露光方法であって、 移動画を有した第 1定盤に前記基板ステージを設けるステツプ、 20. An exposure method for exposing a pattern on a substrate held by a substrate stage, the method comprising: providing the substrate stage on a first platen having a moving image;
前記第 1定盤とは独立して設けられた第 2定盤に固定子を設けるステップ、 前記固定子と、 前記基板ステージに接続された可動子とにより前記基板ステー ジを駆動するステップ、 および  Providing a stator on a second surface plate provided independently of the first surface plate; driving the substrate stage by the stator and a mover connected to the substrate stage; and
前記第 1定盤と前記第 2定盤との前記独立状態を解除するステツプを有する。  A step of releasing the independent state of the first and second platens.
PCT/JP2003/000267 2002-01-21 2003-01-15 Stage device and exposure device WO2003063212A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003562979A JPWO2003063212A1 (en) 2002-01-21 2003-01-15 Stage apparatus and exposure apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002011806 2002-01-21
JP2002-11806 2002-01-21

Publications (1)

Publication Number Publication Date
WO2003063212A1 true WO2003063212A1 (en) 2003-07-31

Family

ID=27606021

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/000267 WO2003063212A1 (en) 2002-01-21 2003-01-15 Stage device and exposure device

Country Status (3)

Country Link
JP (1) JPWO2003063212A1 (en)
TW (1) TW200302507A (en)
WO (1) WO2003063212A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005098911A1 (en) 2004-04-09 2005-10-20 Nikon Corporation Drive method for mobile body, stage device, and exposure device
JP2006086442A (en) * 2004-09-17 2006-03-30 Nikon Corp Stage device and exposure device
US8379187B2 (en) 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US8446579B2 (en) 2008-05-28 2013-05-21 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
US8451427B2 (en) 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US8462317B2 (en) 2007-10-16 2013-06-11 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8520291B2 (en) 2007-10-16 2013-08-27 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US8675177B2 (en) 2003-04-09 2014-03-18 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger in first and second pairs of areas
US8854601B2 (en) 2005-05-12 2014-10-07 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9097981B2 (en) 2007-10-12 2015-08-04 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9140993B2 (en) 2003-10-28 2015-09-22 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9164209B2 (en) 2003-11-20 2015-10-20 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power having different thicknesses to rotate linear polarization direction

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI384340B (en) * 2008-04-15 2013-02-01 Ind Tech Res Inst Manufacturing apparatus
CN105824200B (en) 2016-05-31 2017-08-29 京东方科技集团股份有限公司 A kind of substrate support structure and exposure machine

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001023896A (en) * 1999-07-13 2001-01-26 Nikon Corp Stage device and aligner
US20010027595A1 (en) * 2000-04-11 2001-10-11 Nikon Corporation Stage device, exposure apparatus incorporating the stage device, and method of using the same
JP2001345256A (en) * 2000-06-01 2001-12-14 Nikon Corp Stage device and aligner

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001023896A (en) * 1999-07-13 2001-01-26 Nikon Corp Stage device and aligner
US20010027595A1 (en) * 2000-04-11 2001-10-11 Nikon Corporation Stage device, exposure apparatus incorporating the stage device, and method of using the same
JP2001345256A (en) * 2000-06-01 2001-12-14 Nikon Corp Stage device and aligner

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9885959B2 (en) 2003-04-09 2018-02-06 Nikon Corporation Illumination optical apparatus having deflecting member, lens, polarization member to set polarization in circumference direction, and optical integrator
US9678437B2 (en) 2003-04-09 2017-06-13 Nikon Corporation Illumination optical apparatus having distribution changing member to change light amount and polarization member to set polarization in circumference direction
US9164393B2 (en) 2003-04-09 2015-10-20 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger in four areas
US9146474B2 (en) 2003-04-09 2015-09-29 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger and different linear polarization states in an on-axis area and a plurality of off-axis areas
US8675177B2 (en) 2003-04-09 2014-03-18 Nikon Corporation Exposure method and apparatus, and method for fabricating device with light amount distribution having light larger in first and second pairs of areas
US9140993B2 (en) 2003-10-28 2015-09-22 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9760014B2 (en) 2003-10-28 2017-09-12 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9423698B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9423697B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9244359B2 (en) 2003-10-28 2016-01-26 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9146476B2 (en) 2003-10-28 2015-09-29 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9140992B2 (en) 2003-10-28 2015-09-22 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9164209B2 (en) 2003-11-20 2015-10-20 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power having different thicknesses to rotate linear polarization direction
US10281632B2 (en) 2003-11-20 2019-05-07 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power to rotate linear polarization direction
US9885872B2 (en) 2003-11-20 2018-02-06 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical integrator and polarization member that changes polarization state of light
US10241417B2 (en) 2004-02-06 2019-03-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US9423694B2 (en) 2004-02-06 2016-08-23 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US9140990B2 (en) 2004-02-06 2015-09-22 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10234770B2 (en) 2004-02-06 2019-03-19 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US9429848B2 (en) 2004-02-06 2016-08-30 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10007194B2 (en) 2004-02-06 2018-06-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US7852034B2 (en) 2004-04-09 2010-12-14 Nikon Corporation Drive method of moving body, stage unit, and exposure apparatus
WO2005098911A1 (en) 2004-04-09 2005-10-20 Nikon Corporation Drive method for mobile body, stage device, and exposure device
JP2006086442A (en) * 2004-09-17 2006-03-30 Nikon Corp Stage device and exposure device
US9429851B2 (en) 2005-05-12 2016-08-30 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9891539B2 (en) 2005-05-12 2018-02-13 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9360763B2 (en) 2005-05-12 2016-06-07 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9310696B2 (en) 2005-05-12 2016-04-12 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US8854601B2 (en) 2005-05-12 2014-10-07 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US9366970B2 (en) 2007-09-14 2016-06-14 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US8451427B2 (en) 2007-09-14 2013-05-28 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US9057963B2 (en) 2007-09-14 2015-06-16 Nikon Corporation Illumination optical system, exposure apparatus, optical element and manufacturing method thereof, and device manufacturing method
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9097981B2 (en) 2007-10-12 2015-08-04 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US8508717B2 (en) 2007-10-16 2013-08-13 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8462317B2 (en) 2007-10-16 2013-06-11 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8520291B2 (en) 2007-10-16 2013-08-27 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8379187B2 (en) 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9857599B2 (en) 2007-10-24 2018-01-02 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9057877B2 (en) 2007-10-24 2015-06-16 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9116346B2 (en) 2007-11-06 2015-08-25 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US8446579B2 (en) 2008-05-28 2013-05-21 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method
US8456624B2 (en) 2008-05-28 2013-06-04 Nikon Corporation Inspection device and inspecting method for spatial light modulator, illumination optical system, method for adjusting the illumination optical system, exposure apparatus, and device manufacturing method

Also Published As

Publication number Publication date
JPWO2003063212A1 (en) 2005-05-26
TW200302507A (en) 2003-08-01

Similar Documents

Publication Publication Date Title
WO2001027978A1 (en) Substrate, stage device, method of driving stage, exposure system and exposure method
US6816232B2 (en) Support device and manufacturing method thereof, stage device, and exposure apparatus
KR20020009483A (en) Stage apparatus and exposure apparatus
WO2003063212A1 (en) Stage device and exposure device
JP2002289515A (en) Method for manufacturing product, method for manufacturing aligner, aligner, and method for manufacturing device
JP2001148341A (en) Aligner
JP2002198284A (en) Stage device and projection aligner
JP2004014915A (en) Stage apparatus and aligner
WO2005048325A1 (en) Stage drive method, stage apparatus, and exposure apparatus
JP2002343850A (en) Stage apparatus and exposure system
WO1999066542A1 (en) Exposure method and exposure apparatus
CN113359397A (en) Movable body apparatus, exposure apparatus, and device manufacturing method
JP2001023894A (en) Stage device and aligner
US20040145751A1 (en) Square wafer chuck with mirror
JP2002198285A (en) Stage device and its damping method and projection aligner
JP4122815B2 (en) Linear motor, stage apparatus, and linear motor control method
JP2001023896A (en) Stage device and aligner
JP2002217082A (en) Stage system and aligner
JP2002343706A (en) Stage system and its driving method, exposing system and exposing method, and device and its fabricating method
JP2001102286A (en) Aligner
JP2000269118A (en) Method of exposure and aligner
JP2002175963A (en) Stage system and method of controlling position thereof, and aligner and method of exposure
WO2018181912A1 (en) Mobile unit apparatus, exposure apparatus, method for manufacturing flat panel display, method for manufacturing device, and method for driving mobile unit
JP4626753B2 (en) Stage apparatus and exposure apparatus
JP2001345256A (en) Stage device and aligner

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2003562979

Country of ref document: JP

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase